KR20230020633A - Multiple Remote Plasma Source System Using the RF Power - Google Patents

Multiple Remote Plasma Source System Using the RF Power Download PDF

Info

Publication number
KR20230020633A
KR20230020633A KR1020210102257A KR20210102257A KR20230020633A KR 20230020633 A KR20230020633 A KR 20230020633A KR 1020210102257 A KR1020210102257 A KR 1020210102257A KR 20210102257 A KR20210102257 A KR 20210102257A KR 20230020633 A KR20230020633 A KR 20230020633A
Authority
KR
South Korea
Prior art keywords
remote plasma
plasma source
power
generator
variable
Prior art date
Application number
KR1020210102257A
Other languages
Korean (ko)
Inventor
금병섭
이진석
김태우
이동헌
Original Assignee
주식회사 알에프피티
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 알에프피티 filed Critical 주식회사 알에프피티
Priority to KR1020210102257A priority Critical patent/KR20230020633A/en
Publication of KR20230020633A publication Critical patent/KR20230020633A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Abstract

The present invention relates to a multi-remote plasma source system using RF power, provided to improve plasma uniformity throughout the whole range in a large-capacity plasma process chamber by controlling a plurality of remote plasma sources. Since both a wafer processing process and a plasma cleaning process in a chamber can be performed through one single remote plasma source, the multi-remote plasma source system using RF power can improve plasma uniformity as well as can reduce process processing time and RF cleaning time.

Description

RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템{Multiple Remote Plasma Source System Using the RF Power}Multiple Remote Plasma Source System Using the RF Power

본 발명은 복수 개의 리모트 플라즈마 소스를 제어함으로써 대용량의 플라즈마 공정챔버 내의 모든 범위에 걸쳐서 플라즈마 균일성의 향상시키기 위한 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템으로서, 하나의 동일한 리모트 플라즈마 소스에 의하여 웨이퍼 가공공정 및 챔버 내의 플라즈마 세정(plasma cleaning)공정을 모두 수행할 수 있으므로 플라즈마 균일성(Plasma Uniformity)의 향상은 물론 공정처리시간 및 RF 세정시간을 단축할 수 있는 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템에 관한 것이다.The present invention is a multiple remote plasma source system using RF power for improving plasma uniformity over the entire range in a large-capacity plasma process chamber by controlling a plurality of remote plasma sources, and a wafer processing process and The present invention relates to a multiple remote plasma source system using RF power capable of reducing process processing time and RF cleaning time as well as improving plasma uniformity since all plasma cleaning processes in the chamber can be performed. .

종래의 반도체의 화학 기상증착 공정(CVD)에서 웨이퍼 가공공정과 세정(클리닝, cleaning) 공정 모두를 내부 RF 플라즈마(In suit RF)를 이용하여 처리하였다.In a conventional chemical vapor deposition process (CVD) of a semiconductor, both a wafer processing process and a cleaning process were treated using an in-suit RF.

그러나, 이와 같은 직접세정방식인 경우에는 공정용 챔버에서 플라즈마의 발생이 직접 일어나기 때문에, 챔버 내벽의 손상 및 장기간의 세정시간에 따른 에칭 비율의 저하를 유발할 뿐만 아니라, 지구온난화 가스인 CFC 및 PFC 계열의 환경유해 가스의 낮은 분해율이 단점으로 지적되고 있다.However, in the case of such a direct cleaning method, since plasma is directly generated in the process chamber, it causes damage to the inner wall of the chamber and a decrease in the etching rate due to a long cleaning time, as well as CFC and PFC series, which are global warming gases. The low decomposition rate of environmentally harmful gases is pointed out as a disadvantage.

따라서 이와 같은 단점을 보완하기 위한 방법으로서, 공정챔버와는 분리된 별도의 플라즈마 발생장치에서 플라즈마를 발생시켜서 반응가스를 이온화하여 래디칼 이온을 공정챔버에 주입시키는 방식으로써, 즉, 웨이퍼의 가공공정은 내부 RF 플라즈마를 이용하여 처리(In suit RF)하고, 세정공정은 외부의 리모트 플라즈마 소스(일명‘RPS’, Remote Plasma Source)를 이용하여 처리하는 방식(Remote RF)이 제시되고 있다.Therefore, as a method to compensate for this disadvantage, a plasma is generated in a separate plasma generator separate from the process chamber to ionize the reaction gas and inject radical ions into the process chamber, that is, the wafer processing process A process using internal RF plasma (In suit RF) and a cleaning process using an external remote plasma source (aka 'RPS', Remote Plasma Source) have been proposed (Remote RF).

상기한 리모트 플라즈마 소스(RPS)를 이용하는 방식은 간접세정방식으로서, 이는 400kHz의 RF 전력장치와 페라이트 코어를 이용한 고밀도 플라즈마 발생장치로 이루어지며, 공정챔버 외부에서 발생시킨 플라즈마 방전에 의한 발생된 삼불소 원자(NF3)를 이용하여 챔버의 내부를 세정하는 방법으로서, 기존의 내부 RF 플라즈마를 이용하는 직접세정방식에 비하여 단위 시간당 높은 세정 효율(2배 이상)에 따른 에너지 절감효과와, 또한 상대적으로 챔버 내부의 손실이 적고, 삼불소가스(Fluorine gas, NF3 가스), CF3, C3F8, C2F6, SF6 등의 지구 온난화 가스를 98% 이상으로 분해 효율이 높은 장점이 있으나, 이와 같은 높은 분해능을 얻기 위해서는 고출력의 RF 전력이 필요한 실정이다.The method using the remote plasma source (RPS) is an indirect cleaning method, which is composed of a 400kHz RF power device and a high-density plasma generator using a ferrite core, and trifluorine generated by plasma discharge generated outside the process chamber. As a method of cleaning the inside of a chamber using atoms (NF3), compared to the existing direct cleaning method using internal RF plasma, energy saving effect due to high cleaning efficiency (more than twice) per unit time, and also relatively inside the chamber Although the loss of fluorine gas (NF3 gas), CF3, C3F8, C2F6, and global warming gases such as SF6 are decomposed with a high efficiency of more than 98%, it is advantageous to obtain such a high resolution. RF power is required.

한편, 플라즈마를 발생시키기 위한 방법으로써, 화학 기상증착 장비에서는 용량성결합 플라즈마(CCP, Capacitively Coupled Plasma) 방식을 사용하고 있으나, 플라즈마 집속력에 한계를 갖고 있기 때문에, 최근에는 유도성결합 플라즈마(ICP, Inductively Coupled Plasma) 방식으로서, 페라이트 코어를 사용한 유도성결합 플라즈마 방식은 방전관에 중파 대역(300kHz~3MHz)의 전력을 인가하여 자기장을 발생시키고 변환된 전기장을 통해 플라즈마를 발생시키는 단일의 유도성결합 플라즈마 제어방식을 사용하고 있으나, 이와 같은 방식은 만약 공정챔버(Process Chamber)가 대용량, 대면적(large Volume)일 경우에 플라즈마 소스가 공급되는 공정챔버의 중심부로부터 외곽 주변부로 멀어질수록 플라즈마 균일성(Plasma Uniformity)이 낮아지기 때문에 막질의 균일성을 확보하는 것이 매우 어렵다는 단점이 발생되고 있으며, 또한 단일의 유도성결합 플라즈마를 제어하는 방식으로는 시장에서 원하는 대영역 및 대면적의 플라즈마 균일성을 효율적으로 높이는 데는 한계가 있으며, 유도성결합 플라즈마를 복수 개로 사용할 경우에 주파수 간섭현상이 발생되고 있으며, 웨이퍼 가공처리용 유도성결합 플라즈마와 RF 세정용 유도성결합 플라즈마를 각각 별도로 구비되어야 하기 때문에, 가격, 뿐만 아니라 유도성결합 플라즈마가 장착되는 공간 확보 차원에 있어서도 유도성결합 플라즈마 개수의 한계가 발생되고 있는 실정이다.On the other hand, as a method for generating plasma, capacitively coupled plasma (CCP) is used in chemical vapor deposition equipment, but since it has limitations in plasma focusing power, recently, inductively coupled plasma (ICP) , Inductively Coupled Plasma) method, the inductively coupled plasma method using a ferrite core generates a magnetic field by applying power in the medium frequency band (300kHz to 3MHz) to a discharge tube, and generates a plasma through a converted electric field. Although a plasma control method is used, this method improves plasma uniformity as the distance from the center to the outer periphery of the process chamber where the plasma source is supplied is increased when the process chamber has a large capacity and a large volume. Since the (plasma uniformity) is lowered, it is very difficult to secure the uniformity of the film quality, and in addition, the method of controlling a single inductively coupled plasma efficiently achieves the large-area and large-area plasma uniformity desired in the market. There is a limit to increase it to , and frequency interference occurs when a plurality of inductively coupled plasmas are used, and inductively coupled plasmas for wafer processing and inductively coupled plasmas for RF cleaning must be provided separately. , as well as the situation in which the number of inductively coupled plasmas is limited in terms of securing a space in which inductively coupled plasmas are mounted.

즉, 이와 같은 종래의 리모트 플라즈마 소스 발생장치는 RF 전력을 사용하는 유도 결합형 플라즈마 또는 마이크로파를 이용한 방식으로서, 플라즈마 반응기와 RF 전력 발생부 사이에 정합(matching) 기술이 요구되는 등 부하변동에 취약하며, 높은 압력에서 구동이 어려울 뿐만 아니라, 특히 RF 전력 기술의 한계로 인하여 전력증가와 대용량 및 대면적의 플라즈마 공정챔버를 처리하기에는 한계가 발생되고 있는 실정이다.That is, such a conventional remote plasma source generator is a method using inductively coupled plasma or microwave using RF power, and is vulnerable to load fluctuations such as requiring a matching technology between a plasma reactor and an RF power generator. In addition, it is difficult to drive at high pressure, and in particular, due to limitations of RF power technology, there are limitations in processing power increase and large-capacity and large-area plasma process chambers.

한국 등록특허공보 10-1105907(2012.01.06)Korean Registered Patent Publication No. 10-1105907 (2012.01.06) 공개특허공보 10-2019-0100395 (2019.08.28)Publication of Patent Publication 10-2019-0100395 (2019.08.28) 한국 등록특허공보 10-1950024(2019.02.20)Korean Registered Patent Publication No. 10-1950024 (2019.02.20)

상기와 같은 종래 기술의 단점을 극복하기 위하여, 본 발명에 따르면, 대용량의 플라즈마 공정챔버 내에서 플라즈마 균일성을 향상시킴으로 인하여 웨이퍼 공정 시에 형성되는 막질의 균일성을 확보하고, 공정챔버 내부에 있어서 상면에 안착되는 웨이퍼를 지지하는 서셉터(susceptor)의 중심부와 외각부에서 이온 반응력을 균일하게 유지하여 공정처리시간 및 RF 세정시간을 단축할 수 있는 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템을 제공하고자 한다.In order to overcome the disadvantages of the prior art as described above, according to the present invention, the uniformity of the film quality formed during the wafer process is secured by improving the plasma uniformity in the large-capacity plasma process chamber, and in the process chamber In order to provide a multiple remote plasma source system using RF power that can shorten the processing time and RF cleaning time by uniformly maintaining the ion reaction force in the center and outer portion of the susceptor supporting the wafer placed on the upper surface do.

본 발명에 따르면, 플라즈마 균일성의 향상을 위하여 복수의 리모트 플라즈마 소스를 구비함에 따른 주파수 간섭현상이 발생할 경우에 복수의 리모트 플라즈마 소스 각각의 플라즈마 밀도(plasma density)를 전반적으로 제어할 수 있는 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템을 제공하고자 한다.According to the present invention, RF power capable of overall controlling the plasma density of each of the plurality of remote plasma sources when frequency interference occurs due to having a plurality of remote plasma sources in order to improve plasma uniformity. It is intended to provide a multiple remote plasma source system using

본 발명에 따르면, 공정처리용 및 RF 세정용 리모트 플라즈마 소스를 각기 별도로 구비하지 않고 통합된 리모트 플라즈마 소스를 복수 개로 구비함으로 인하여 부품 수의 절감을 통하여 부품비용 및 조립비용을 절감을 할 수 있으며, 플라즈마 균일성(Plasma Uniformity)의 향상으로 인하여 공정처리시간 및 RF 세정시간을 단축할 수 있음은 물론 RF 모듈인 외측용 RF 발생부와, 내측용 RF 발생부와, 외측용 RF 매칭기, 및 내측용 RF 매칭기 등이 각각 별도로 모듈화되어 구비되기 때문에 시스템 전체의 경량화 및 유지 보수가 탁월한 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템을 제공하고자 한다.According to the present invention, it is possible to reduce parts cost and assembly cost through a reduction in the number of parts by providing a plurality of integrated remote plasma sources instead of separate remote plasma sources for process treatment and RF cleaning, Process processing time and RF cleaning time can be shortened due to the improvement of plasma uniformity, as well as an external RF generator that is an RF module, an internal RF generator, an external RF matcher, and an internal RF module. It is intended to provide a multi-remote plasma source system using RF power that is excellent in light weight and maintenance of the entire system because the RF matchers and the like are separately modularized and provided.

본 발명에 따른 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템은, 증착공정과 세정공정을 수행하는 공정챔버와; 상기 공정챔버의 외부에서 상기 공정챔버에 RF 플라즈마 가스를 주입하는 리모트 플라즈마 소스와; 상기 리모트 플라즈마 소스에 연결되어 형성되는 가변 VVC; 및 상기 리모트 플라즈마 소스에 RF 전력을 인가하기 위한 RF 발생부; 를 포함하는 것을 특징으로 한다.A multiple remote plasma source system using RF power according to the present invention includes a process chamber for performing a deposition process and a cleaning process; a remote plasma source for injecting RF plasma gas into the process chamber from outside the process chamber; a variable VVC connected to the remote plasma source; and an RF generator for applying RF power to the remote plasma source. It is characterized in that it includes.

또한 본 발명에 따르면, 상기 리모트 플라즈마 소스와 상기 RF 발생부 상호간의 임피던스를 매칭하기 위하여 형성되는 RF 매칭기를 더 포함할 수 있다.In addition, according to the present invention, an RF matcher formed to match impedance between the remote plasma source and the RF generator may further include.

본 발명에 따르면, 상기 리모트 플라즈마 소스에 연결되는 가변 VVC를 가변시켜서 상기 리모트 플라즈마 소스에서 발생되는 플라즈마의 밀도를 조절하는 것을 특징으로 한다.According to the present invention, it is characterized in that the density of the plasma generated from the remote plasma source is adjusted by varying the variable VVC connected to the remote plasma source.

본 발명에 따르면, 상기 리모트 플라즈마 소스는, 솔레노이드 타입의 유도 결합형 플라즈마인 것을 특징으로 한다.According to the present invention, the remote plasma source is characterized in that the inductively coupled plasma of the solenoid type.

본 발명에 따르면, 상기 리모트 플라즈마 소스는, 주입된 반응가스가 발생되는 플라즈마에 의하여 이온화되는 내부 중공부와, RF 발생부로부터 RF 전력을 인가받아서 자기장을 발생하는 솔레노이드 코일부와, 반응가스가 주입되는 반응가스 주입부 및 상기 솔레노이드 코일부에 의하여 발생된 자기장으로부터 변화된 전기장에 의하여 생성된 RF 플라즈마에 의하여 주입된 반응가스가 플라즈마 이온화되어 발생된 RF 플라즈마 가스인 래디컬이온이 배출되는 RF 플라즈마 가스 배출구를 포함하여 이루어진다.According to the present invention, the remote plasma source includes an internal hollow portion ionized by plasma in which the injected reaction gas is generated, a solenoid coil portion receiving RF power from the RF generator and generating a magnetic field, and a reaction gas injected. An RF plasma gas outlet through which radical ions, which are RF plasma gases generated by plasma ionization of the reactive gas injected by the RF plasma generated by the electric field changed from the magnetic field generated by the reactive gas injection unit and the solenoid coil unit, are discharged. made including

본 발명의 일실시예에 따른 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템은, 증착공정과 세정공정을 수행하는 공정챔버와; 상기 공정챔버의 중심부 주변부에 RF 플라즈마 가스를 주입하기 위하여 복수 개로 형성되는 내측 리모트 플라즈마 소스와; 상기 공정챔버의 외곽 주변부에 RF 플라즈마 가스를 주입하기 위하여 복수 개로 형성되는 외측 리모트 플라즈마 소스와; 상기 내측 리모트 플라즈마 소스에 연결되어 형성되는 내측용 가변 VVC와; 상기 외측 리모트 플라즈마 소스에 연결되어 형성되는 외측용 가변 VVC와; 상기 내측 리모트 플라즈마 소스에 RF 전력을 인가하기 위한 내측용 RF 발생부; 및 상기 외측 리모트 플라즈마 소스에 RF 전력을 인가하기 위한 외측용 RF 발생부; 를 포함하는 것을 특징으로 한다.A multiple remote plasma source system using RF power according to an embodiment of the present invention includes a process chamber for performing a deposition process and a cleaning process; an inner remote plasma source formed of a plurality to inject RF plasma gas into the central periphery of the process chamber; an external remote plasma source formed in plurality to inject RF plasma gas into the outer periphery of the process chamber; an inner variable VVC connected to the inner remote plasma source; an external variable VVC connected to the external remote plasma source; an inner RF generator for applying RF power to the inner remote plasma source; and an external RF generator for applying RF power to the external remote plasma source. It is characterized in that it includes.

본 발명에 따르면, 상기 내측 리모트 플라즈마 소스와 상기 내측용 RF 발생부 상호간에 임피던스를 매칭하기 위하여 형성되는 내측용 RF 매칭기와; 상기 외측 리모트 플라즈마 소스와 상기 외측용 RF 발생부 상호간에 임피던스를 매칭하기 위하여 형성되는 외측용 RF 매칭기; 를 더 포함할 수 있다.According to the present invention, an inner RF matcher formed to match impedances between the inner remote plasma source and the inner RF generator; an external RF matcher configured to match impedances between the external remote plasma source and the external RF generator; may further include.

본 발명에 따르면, 상기 내측 리모트 플라즈마 소스 및 상기 외측 리모트 플라즈마 소스는, 솔레노이드 타입의 유도 결합형 플라즈마이다.According to the present invention, the inner remote plasma source and the outer remote plasma source are inductively coupled plasmas of a solenoid type.

본 발명에 따르면, 상기 솔레노이드 타입의 유도 결합형 플라즈마는, 주입된 반응가스가 발생되는 플라즈마에 의하여 이온화되는 내부 중공부와, RF 발생부로부터 RF 전력을 인가받아서 자기장을 발생하는 솔레노이드 코일부와, 반응가스가 주입되는 반응가스 주입부 및 상기 솔레노이드 코일부에 의하여 발생된 자기장으로부터 변화된 전기장에 의하여 생성된 RF 플라즈마에 의하여 주입된 반응가스가 플라즈마 이온화되어 발생된 RF 플라즈마 가스인 래디컬이온이 배출되는 RF 플라즈마 가스 배출구를 포함하여 이루어진다.According to the present invention, the solenoid-type inductively coupled plasma includes an internal hollow portion in which the injected reaction gas is ionized by the generated plasma, and a solenoid coil unit generating a magnetic field by receiving RF power from the RF generator unit; RF plasma generated by the RF plasma generated by the electric field changed from the magnetic field generated by the reactive gas injection unit and the solenoid coil unit into which the reactive gas is injected and the RF plasma generated by ionizing the reactive gas into plasma and discharging radical ions, which are RF plasma gases, are discharged. It is made including a plasma gas outlet.

본 발명에 따르면, 상기 내측 리모트 플라즈마 소스에 연결되는 내측용 가변 VVC 및 상기 외측 리모트 플라즈마 소스에 연결되는 외측용 가변 VVC를 가변시켜서 상기 내측 및 외측 리모트 플라즈마 소스에서 발생되는 플라즈마의 밀도를 조절하는 것을 특징으로 한다.According to the present invention, the density of plasma generated from the inner and outer remote plasma sources is adjusted by varying the inner variable VVC connected to the inner remote plasma source and the outer variable VVC connected to the outer remote plasma source. to be characterized

본 발명에 따르면, 상기 내측용 RF 발생부와 상기 외측용 RF 발생부는 동일 주파수가 되도록 상호 동기화된다.According to the present invention, the inner RF generator and the outer RF generator are mutually synchronized to have the same frequency.

본 발명에 따르면, 상기 내측용 RF 발생부와 상기 외측용 RF 발생부는 위상 변조기와 RF 케이블의 길이 조절에 따른 위상변조에 의하여 주파수가 상호 동기화되는 것을 특징으로 한다.According to the present invention, the frequency of the inner RF generator and the outer RF generator is synchronized with each other by phase modulation according to the length adjustment of the phase modulator and the RF cable.

본 발명에 따르면, 상기 내측용 RF 발생부와 상기 외측용 RF 발생부에서 발생되는 RF 주파수 대역은, 중파 대역에서 초단파 대역까지 커버할 수 있다.According to the present invention, RF frequency bands generated by the inner RF generator and the outer RF generator may cover a medium frequency band to a very high frequency band.

본 발명에 따르면, 상기 내측 리모트 플라즈마 소스 및 상기 외측 리모트 플라즈마 소스 각각으로부터 발생되는 플라즈마 밀도가 균일하도록 제어한다.According to the present invention, the plasma density generated from each of the inner remote plasma source and the outer remote plasma source is controlled to be uniform.

본 발명에 따르면, 일정한 시간을 주기로 교번적으로 수행되는 증착공정 및 세정공정 각각의 공정별로, 상기 내측 리모트 플라즈마 소스 및 상기 외측 리모트 플라즈마 소스로부터 발생되는 플라즈마 가스를 공정챔버에 주입하는 것을 특징으로 한다.According to the present invention, the plasma gas generated from the inner remote plasma source and the outer remote plasma source is injected into a process chamber for each of the deposition process and the cleaning process, which are alternately performed at regular intervals. .

본 발명에 따르면, 상기 내측 리모트 플라즈마 소스 및 상기 외측 리모트 플라즈마 소스에 RF 전력이 균일하게 분배되어 전달되고, 상기 내측 리모트 플라즈마 소스에 연결되는 내측용 가변 VVC와 상기 외측 리모트 플라즈마 소스에 연결되는 외측용 가변 VVC를 가변 조절하여 상기 내측 리모트 플라즈마 소스와 상기 외측 리모트 플라즈마 소스로부터 플라즈마 밀도가 균일하게 발생되는 것을 특징으로 한다.According to the present invention, RF power is uniformly distributed and transmitted to the inner remote plasma source and the outer remote plasma source, and the inner variable VVC connected to the inner remote plasma source and the outer remote plasma source connected to the outer remote plasma source. Plasma density is uniformly generated from the inner remote plasma source and the outer remote plasma source by variably adjusting the variable VVC.

본 발명에 따르면, 상기 내측 리모트 플라즈마 소스에 연결되는 내측용 가변 VVC와, 상기 외측 리모트 플라즈마 소스에 연결되는 외측용 가변 VVC를 각각 별도로 조정하여 상기 공정챔버에 주입되는 플라즈마 가스를 존(zone)별로 제어하는 것을 특징으로 한다.According to the present invention, the inside variable VVC connected to the inner remote plasma source and the outer variable VVC connected to the outer remote plasma source are separately adjusted to separate the plasma gas injected into the process chamber for each zone. characterized by control.

본 발명에 따른 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템은, 대용량의 플라즈마 공정챔버 내에서 플라즈마 균일성의 향상으로 공정 막질의 균일성을 확보하고, 공정챔버 내부에 있어서 상면에 안착되는 웨이퍼를 지지하는 서셉터(susceptor)의 중심부와 외각부에서 이온 반응력을 균일하게 하여 공정처리시간 및 RF 세정시간을 단축 할 수 있는 효과가 있다.The multiple remote plasma source system using RF power according to the present invention secures the uniformity of process film quality by improving plasma uniformity in a large-capacity plasma process chamber, and supports a wafer placed on the upper surface inside the process chamber. There is an effect of shortening the processing time and the RF cleaning time by making the ion reaction force uniform in the center and outer portion of the susceptor.

본 발명에 따른 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템은, 플라즈마 균일성의 향상을 위하여 복수의 리모트 플라즈마 소스를 구비함으로 인하여 주파수 간섭현상이 발생할 경우에 복수의 리모트 플라즈마 소스 각각에 대한 플라즈마 밀도(Plasma density)를 전반적으로 제어하여 다중 리모트 플라즈마 소스 시스템을 효율적으로 제어할 수 있는 효과가 있다.In the multiple remote plasma source system using RF power according to the present invention, the plasma density for each of the plurality of remote plasma sources when a frequency interference phenomenon occurs due to having a plurality of remote plasma sources in order to improve plasma uniformity. ) has the effect of efficiently controlling the multi-remote plasma source system by controlling the overall.

또한, 본 발명에 따른 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템은, 공정처리용 및 RF 세정용 리모트 플라즈마 소스를 각각 별도로 구비하지 않고 통합된 리모트 플라즈마 소스를 복수 개로 구비함으로 인하여 부품 수의 절감을 통하여 부품비용 및 조립비용을 절감을 할 수 있는 효과는 물론, 플라즈마 균일성(Plasma Uniformity)의 향상으로 인하여 공정처리시간 및 RF 세정시간을 단축할 수 있음은 물론 RF 모듈인 외측용 RF 발생부와, 내측용 RF 발생부와, 외측용 RF 매칭기, 및 내측용 RF 매칭기 등이 별도로 모듈화되어 구비되기 때문에 시스템 전체의 경량화 및 유지 보수가 탁월한 효과가 있다.In addition, the multiple remote plasma source system using RF power according to the present invention is provided with a plurality of integrated remote plasma sources instead of separate remote plasma sources for process treatment and RF cleaning, thereby reducing the number of parts. In addition to the effect of reducing parts cost and assembly cost, the process processing time and RF cleaning time can be shortened due to the improvement of plasma uniformity, as well as the external RF generator, which is an RF module, Since the internal RF generator, the external RF matcher, and the internal RF matcher are separately modularized and provided, the weight reduction and maintenance of the entire system are excellent.

도 1은 본 발명에 따른 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템의 블럭도이다.
도 2는 본 발명에 따른 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템의 개략도이다.
도 3a는 본 발명에 따른 리모트 플라즈마 소스의 외관사시도이다.
도 3b는 본 발명에 따른 리모트 플라즈마 소스의 내부사시도이다.
도 3c는 본 발명에 따른 리모트 플라즈마 소스의 내부단면도이다.
도 3d는 본 발명에 따른 리모트 플라즈마 소스의 내부를 설명하기 위한 단면도이다.
도 4a는 본 발명에 따른 공정챔버에 배치되는 리모트 플라즈마 소스의 평면 배치도이다.
도 4b는 본 발명에 따른 공정챔버에 배치되는 리모트 플라즈마 소스의 저면도이다.
도 4c는 본 발명에 따른 공정챔버에 배치되는 리모트 플라즈마 소스의 투영도이다.
1 is a block diagram of a multiple remote plasma source system using RF power according to the present invention.
2 is a schematic diagram of a multiple remote plasma source system using RF power according to the present invention.
Figure 3a is an external perspective view of a remote plasma source according to the present invention.
Figure 3b is an internal perspective view of a remote plasma source according to the present invention.
Figure 3c is an internal cross-sectional view of the remote plasma source according to the present invention.
Figure 3d is a cross-sectional view for explaining the inside of the remote plasma source according to the present invention.
4A is a plan layout view of a remote plasma source disposed in a process chamber according to the present invention.
Figure 4b is a bottom view of a remote plasma source disposed in a process chamber according to the present invention.
4C is a projection view of a remote plasma source disposed in a process chamber according to the present invention.

본 발명에 관한 설명은 구조적 내지 기능적 설명을 위한 실시예에 불과하므로, 본 발명의 권리범위는 본문에 설명된 실시예에 의하여 제한되는 것으로 해석되어서는 아니된다. 즉, 실시예는 다양한 변경이 가능하고 여러 가지 형태를 가질 수 있으므로 본 발명의 권리범위는 기술적 사상을 실현할 수 있는 균등물들을 포함하는 것으로 이해되어야 한다.Since the description of the present invention is only an embodiment for structural or functional description, the scope of the present invention should not be construed as being limited by the embodiments described in the text. That is, since the embodiment can be changed in various ways and can have various forms, it should be understood that the scope of the present invention includes equivalents capable of realizing the technical idea.

하기의 모든 도면에서 동일한 기능을 갖는 구성요소는 동일한 참조부호를 사용하여 반복적인 설명은 생략하며 아울러 후술하는 용어들은 본 발명에서의 기능을 고려하여 정의된 것으로서, 이는 본 발명의 기술적 사상에 부합하는 개념과 고유의 통용되는 의미로 해석되어야 함을 명시한다.Elements having the same functions in all the following drawings use the same reference numerals, and repetitive descriptions are omitted, and terms to be described later are defined in consideration of functions in the present invention, which conforms to the technical spirit of the present invention. It specifies that the concept should be interpreted in its own current meaning.

한편, 본 발명에서 서술되는 용어의 의미는 다음과 같이 이해되어야 할 것이다.On the other hand, the meaning of terms described in the present invention should be understood as follows.

"제1", "제2" 등의 용어는 하나의 구성요소를 다른 구성요소로부터 구별하기 위한 것으로, 이들 용어들에 의해 권리범위가 한정되어서는 아니된다. 예를 들어, 제1 구성요소는 제2 구성요소로 명명될 수 있고, 유사하게 제2 구성요소도 제1 구성요소로 명명될 수 있다.Terms such as "first" and "second" are used to distinguish one component from another, and the scope of rights should not be limited by these terms. For example, a first element may be termed a second element, and similarly, a second element may be termed a first element.

어떤 구성요소가 다른 구성요소에 "연결되어"있다고 언급된 때에는, 그 다른 구성요소에 직접적으로 연결될 수도 있지만, 중간에 다른 구성요소가 존재할 수도 있다고 이해되어야 할 것이다. 반면에, 어떤 구성요소가 다른 구성요소에 "직접 연결되어"있다고 언급된 때에는 중간에 다른 구성요소가 존재하지 않는 것으로 이해되어야 할 것이다. 한편, 구성요소들 간의 관계를 설명하는 다른 표현들, 즉 "~사이에"와 "바로 ~사이에" 또는 "~에 이웃하는"과 "~에 직접 이웃하는" 등도 마찬가지로 해석되어야 한다.It should be understood that when an element is referred to as being “connected” to another element, it may be directly connected to the other element, but other elements may exist in the middle. On the other hand, when an element is referred to as being "directly connected" to another element, it should be understood that no intervening elements exist. Meanwhile, other expressions describing the relationship between components, such as “between” and “immediately between” or “adjacent to” and “directly adjacent to” should be interpreted similarly.

단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한 복수의 표현을 포함하는 것으로 이해되어야 하고, "포함하다"또는 "가지다" 등의 용어는 설시된 특징, 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것이 존재함을 지정하려는 것이며, 하나 또는 그 이상의 다른 특징이나 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해되어야 한다.Expressions in the singular number should be understood to include plural expressions unless the context clearly dictates otherwise, and terms such as “comprise” or “having” refer to a described feature, number, step, operation, component, part, or It should be understood that it is intended to indicate that a combination exists, and does not preclude the possibility of the presence or addition of one or more other features, numbers, steps, operations, components, parts, or combinations thereof.

각 단계들에 있어 식별부호(예를 들어, a, b, c 등)는 설명의 편의를 위하여 사용되는 것으로 식별부호는 각 단계들의 순서를 설명하는 것이 아니며, 각 단계들은 문맥상 명백하게 특정 순서를 기재하지 않는 이상 명기된 순서와 다르게 일어날 수 있다. 즉, 각 단계들은 명기된 순서와 동일하게 일어날 수도 있고 실질적으로 동시에 수행될 수도 있으며 반대의 순서대로 수행될 수도 있다.In each step, the identification code (eg, a, b, c, etc.) is used for convenience of explanation, and the identification code does not describe the order of each step, and each step clearly follows a specific order in context. Unless otherwise specified, it may occur in a different order than specified. That is, each step may occur in the same order as specified, may be performed substantially simultaneously, or may be performed in the reverse order.

여기서 사용되는 모든 용어들은 다르게 정의되지 않는 한, 본 발명이 속하는 분야에서 통상의 지식을 가진 자에 의해 일반적으로 이해되는 것과 동일한 의미를 가진다. 일반적으로 사용되는 사전에 정의되어 있는 용어들은 관련 기술의 문맥상 가지는 의미와 일치하는 것으로 해석되어야 하며, 본 발명에서 명백하게 정의하지 않는 한 이상적이거나 과도하게 형식적인 의미를 지니는 것으로 해석될 수 없다.All terms used herein have the same meaning as commonly understood by one of ordinary skill in the art to which the present invention belongs, unless defined otherwise. Terms defined in commonly used dictionaries should be interpreted as consistent with meanings in the context of related art, and cannot be interpreted as having ideal or excessively formal meanings unless explicitly defined in the present invention.

또한, 본 발명을 설명함에 있어서, 관련된 공지기능 혹은 구성에 대한 구체적인 설명이 본 발명의 요지를 흐릴 수 있다고 판단되는 경우 그 상세한 설명은 생략한다.In addition, in describing the present invention, if it is determined that a detailed description of a related known function or configuration may obscure the gist of the present invention, the detailed description will be omitted.

이하, 첨부된 도면을 참조하여 본 발명에 따른 바람직한 일실시예들을 상세히 설명한다.Hereinafter, preferred embodiments according to the present invention will be described in detail with reference to the accompanying drawings.

도 1은 본 발명에 따른 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템의 블록도이고, 도 2는 본 발명에 따른 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템의 개략도이다.1 is a block diagram of a multiple remote plasma source system using RF power according to the present invention, and FIG. 2 is a schematic diagram of a multiple remote plasma source system using RF power according to the present invention.

도시된 바와 같이, 본 발명에 따른 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템(10)은, 반도체의 웨이퍼를 처리하는 공정챔버(100)와, 상기 공정챔버(100)와는 분리된 공정챔버(100)의 외부에 별도로 구비되는 RF 플라즈마 발생장치로서 공정챔버(100)의 증착공정(Deposition process)을 위한 RF 플라즈마 가스 주입과 세정공정(Chamber cleaning process)을 위한 RF 플라즈마 가스를 주입하기 위하여 복수 개로 형성되는 리모트 플라즈마 소스(RPS)(200)로 이루어진다.As shown, the multiple remote plasma source system 10 using RF power according to the present invention includes a process chamber 100 for processing semiconductor wafers and a process chamber 100 separated from the process chamber 100. As an RF plasma generator provided separately outside the process chamber 100, it is formed in plurality to inject RF plasma gas for the deposition process and RF plasma gas for the cleaning process. It consists of a remote plasma source (RPS) (200).

따라서, 본 발명에 따른 리모트 플라즈마 소스(RPS)(200)는 증착공정과 세정공정 모두의 공정별로 RF 플라즈마 가스를 주입하며, 즉, 반도체 플라즈마 증착공정을 진행할 경우에 일정한 시간을 주기로 공정챔버(100) 내의 세정공정이 진행되도록 한다.Therefore, the remote plasma source (RPS) 200 according to the present invention injects RF plasma gas for each process of both the deposition process and the cleaning process, that is, in the case of a semiconductor plasma deposition process, the process chamber 100 ) so that the cleaning process in

이와 같이, 공정챔버(100) 내에서 특정의 증착공정을 진행한 후에, 공정챔버(100) 내의 벽면과 포라인(foreline)을 통해 연결된 펌프 내부까지도 불순물이 발생하게 되며, 이와 같은 불순물들을 제거하지 않게 되면 이어지는 다음 증착공정을 진행하는 경우에 반도체 증착 공정 시에 증착된 막의 성질이 달라지기도 하고, 공정챔버(100)와 연결된 다른 곳에서부터 또 다른 오염원인(Particle Source)으로 야기되기 때문에, 공정챔버(100) 또는 기타 연결된 부속 공급라인들을 세정공정을 진행하기 위하여 본 발명에 따른 상기 리모트 플라즈마 소스(RPS)(200)로부터 발생되는 RF 플라즈마 가스를 공정챔버(100) 내부로 주입시킨다.In this way, after a specific deposition process is performed in the process chamber 100, impurities are generated even on the walls of the process chamber 100 and inside the pump connected through a foreline, and such impurities are not removed. If not, the properties of the deposited film may change during the semiconductor deposition process when the next deposition process is performed, and it is caused by another source of contamination (Particle Source) from another place connected to the process chamber 100, so the process chamber RF plasma gas generated from the remote plasma source (RPS) 200 according to the present invention is injected into the process chamber 100 in order to perform a cleaning process on the supply lines 100 or other connected auxiliary supply lines.

본 발명에 따르면, 증착공정용 가스로는, 적용하는 용도에 따라 다양한 증착가스를 주입할 수 있는데, 예를 들면, 메탈 컨텍용 및 게이트를 형성하는 용도인 육불화텅스텐(WF6), 반도체, 디스플레이 및 태양전지 제조공정에서 Si 절연막 또는 Si 반사방지막 형성 시에 사용되는 모노실란(SiH4), 반도체 미세화 박막 증착 공정시에 저온에서 고속으로 균일한 막질을 형성하기 위한 용도로 사용되는 디실란(Si2H6)과, 질화막(SixNy) 증착시 사용되는 디클로로실란(SiH2Cl2)과, 반도체 및 디스플레이 공정용으로 사용되는 전구체(Precursor)의 원재료인 모노클로로실란(SiH3Cl) 등의 증착용 가스가 사용될 수 있다.According to the present invention, as a gas for a deposition process, various deposition gases can be injected depending on the application. For example, tungsten hexafluoride (WF 6 ) for metal contact and gate formation, semiconductor, display and monosilane (SiH 4 ), which is used to form a Si insulating film or an anti-reflection film in a solar cell manufacturing process, and disilane (SiH 4 ), which is used to form a uniform film quality at a low temperature and high speed during a semiconductor miniaturization thin film deposition process. 2 H 6 ), dichlorosilane (SiH 2 Cl 2 ) used in the deposition of nitride films (SixNy), and monochlorosilane (SiH 3 Cl), a raw material for precursors used in semiconductor and display processes. A wearing gas may be used.

또한 세정공정용 가스로는, H2, O2 그리고 삼불화질소(NF3) 아르곤(Ar)을 혼합하여(Ar가스는 점화(Ignition)용으로 사용하고 세정가스(NF3)를 주입하여 혼합 사용함) 사용하거나 또는 삼불화질소(NF3) 아르곤(Ar) 각각을 단독으로 사용될 수 있다.In addition, as a gas for the cleaning process, a mixture of H2, O2, nitrogen trifluoride (NF3) and argon (Ar) is used (Ar gas is used for ignition and cleaning gas (NF3) is injected and mixed), or Nitrogen trifluoride (NF3) and argon (Ar) may be used alone.

보다 상세하게는 실제로 반도체 플라즈마 공정에서 플라즈마 세정공정용 가스인 삼불화질소(NF3)가스를 예를 들면, 증착공정 진행 후 발생한 고체성 불순물 SiO2에 NF3를 플라즈마화 시킨 가스를 반응시키면 불순물이 가스 상태로 상변이 되어 고체성 불순물 또는 부산물 등 공정챔버(100) 내부, 및 펌프 내부에서 제거할 수 있게 된다.More specifically, in the semiconductor plasma process, for example, when nitrogen trifluoride (NF3) gas, which is a gas for plasma cleaning process, reacts with gas obtained by converting NF3 into plasma to solid impurity SiO2 generated after the deposition process, the impurity is in a gaseous state. As a result of the phase change, it is possible to remove solid impurities or by-products from the inside of the process chamber 100 and the inside of the pump.

즉, [SiO2(s) + Fluorine(g) + Nitrogen(g)]는 [SiF4(g) + NOx(g)]로 상변이 되어 불순물이 제거된다. That is, [SiO2(s) + Fluorine(g) + Nitrogen(g)] is phase-changed to [SiF4(g) + NOx(g)], and impurities are removed.

본 발명에 따르면, RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템(10)은, 공정챔버(100)에 플라즈마 가스를 주입하기 위하여 복수 개로 구비되는 리모트 플라즈마 소스(200)와, 상기 복수 개의 리모트 플라즈마 소스(200) 각각을 제어하기 위하여 상기 리모트 플라즈마 소스에 연결되어 형성되는 가변 VVC(vacuum variable capacitor)(300)와, 상기 리모트 플라즈마 소스(200)에 RF 전력을 인가하기 위하여 복수 개로 형성되는 RF 발생부(400)와, 상기 리모트 플라즈마 소스(200)와 상기 RF 발생부(400) 상호간의 임피던스를 매칭하기 위하여 형성되는 RF 매칭기(500)가 포함되어 이루어진다.According to the present invention, the multiple remote plasma source system 10 using RF power includes a plurality of remote plasma sources 200 provided in order to inject plasma gas into the process chamber 100, and the plurality of remote plasma sources ( 200) a variable VVC (vacuum variable capacitor) 300 formed by being connected to the remote plasma source to control each, and a plurality of RF generators formed to apply RF power to the remote plasma source 200 ( 400) and an RF matcher 500 formed to match impedances between the remote plasma source 200 and the RF generator 400 are included.

상기 공정쳄버(100)는, 웨이퍼 공정을 처리하기 위하여 다양한 공정처리용 가스가 투입되는 가스투입구(110)와, 상부면에 웨이퍼가 안착되는 서셉터(susceptor)(120)와, 리모트 플라즈마 소스(200)로부터 RF 플라즈마 가스가 투입되는 플라즈마 가스 투입부(130)와, 공정챔버(100) 내부의 가스를 배출하기 위한 가스배출구(140)와, 상기 서셉터(120)를 가열하기 위한 히터부(150)와, 마그네틱 회전 구동방식에 의하여 상기 서셉터(120)를 회전하기 위한 회전샤프트(160)를 포함하여 이루어진다.The process chamber 100 includes a gas inlet 110 into which various process gases are input to process a wafer process, a susceptor 120 on which a wafer is seated on an upper surface, and a remote plasma source ( 200), a plasma gas input unit 130 into which RF plasma gas is input, a gas outlet 140 for discharging gas inside the process chamber 100, and a heater unit for heating the susceptor 120 ( 150) and a rotation shaft 160 for rotating the susceptor 120 by a magnetic rotation driving method.

본 발명에 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템은, 공정챔버(100)에서의 웨이퍼 가공공정 및 세정공정 둘 다 모두를 RF 전력을 인가하여 자기장을 발생시키고, 발생된 자기장으로부터 변화된 전기장에 의하여 RF 플라즈마를 발생시키는 고밀도 플라즈마 발생장치로 이루어지는 챔버 외부의 다중 리모트 플라즈마 소스("RPS", Remote Plasma Source)(100-1)에 의하여 처리된다.In the multiple remote plasma source system using RF power according to the present invention, a magnetic field is generated by applying RF power to both the wafer processing process and the cleaning process in the process chamber 100, and the generated magnetic field is changed by the RF It is processed by multiple remote plasma sources ("RPS", Remote Plasma Source) 100-1 outside the chamber consisting of a high-density plasma generator that generates plasma.

본 발명에 따른 다중 리모트 플라즈마 소스(Remote Plasma Source)(100-1)의 상기 리모트 플라즈마 소스(200)는, RF 플라즈마를 발생하는 장치로서 RF 발생부(400)로부터 RF 전력을 인가받아 RF 플라즈마를 발생시키고, 상기 리모트 플라즈마 소스(200) 내로 주입되는 반응가스를 이온화하고, 이온화된 래디칼 이온으로서의 RF 플라즈마 가스가 생성된다.The remote plasma source 200 of the multiple remote plasma source 100-1 according to the present invention is a device for generating RF plasma, and receives RF power from the RF generator 400 to generate RF plasma. generating and ionizing the reaction gas injected into the remote plasma source 200, and generating RF plasma gas as ionized radical ions.

따라서, 본 발명에 따른 복수 개의 리모트 플라즈마 소스(200)로부터 발생된 RF 플라즈마 가스는 도시된 바와 같이, 상기 공정챔버(100)의 상부에 구비되는 복수 개의 플라즈마 가스 투입구(130)를 통하여 공정챔버(100) 내로 주입된다. Therefore, the RF plasma gas generated from the plurality of remote plasma sources 200 according to the present invention enters the process chamber ( 100) is injected into

도 3a는 본 발명에 따른 리모트 플라즈마 소스의 외관사시도이고, 도 3b는 본 발명에 따른 리모트 플라즈마 소스의 내부사시도이며, 도 3c는 본 발명에 따른 리모트 플라즈마 소스의 내부단면도이고, 도 3d는 본 발명에 따른 리모트 플라즈마 소스의 내부를 설명하기 위한 단면도이다.Figure 3a is an external perspective view of the remote plasma source according to the present invention, Figure 3b is an internal perspective view of the remote plasma source according to the present invention, Figure 3c is an internal cross-sectional view of the remote plasma source according to the present invention, Figure 3d is the present invention It is a cross-sectional view for explaining the inside of the remote plasma source according to.

도시된 바와 같이, 본 발명에 따른 리모트 플라즈마 소스(200)는 환형타입(troidal type)과 솔레노이드 타입(solenoid type) 등이 이용될 수 있으나, 본 발명의 바람직한 실시에서는 솔레노이드 타입의 유도 결합형 플라즈마(solenoid IPC)가 바람직하다. As shown, the remote plasma source 200 according to the present invention may be of a toroidal type and a solenoid type, but in a preferred embodiment of the present invention, a solenoid type inductively coupled plasma ( solenoid IPC) is preferred.

보다 상세하게는 본 발명에 따르면, 솔레노이드 타입의 유도 결합형 플라즈마(solenoid IPC)가 적용된 상기 리모트 플라즈마 소스(200)는 주입된 반응가스가 발생되는 플라즈마에 의하여 이온화되는 내부의 중공부(201)와, RF 발생부(400)로부터 RF 전력을 인가받아서 자기장을 발생하는 솔레노이드(solenoid) 코일부(202)와, 반응가스가 주입되는 반응가스 주입부(203) 및 상기 솔레노이드 코일부(202)에 의하여 발생된 자기장으로부터 변화된 전기장에 의하여 생성된 RF 플라즈마에 의하여 주입된 반응가스가 플라즈마 이온화되어 발생된 RF 플라즈마 가스인 래디컬이온이 공정챔버(100) 내부로 배출되는 RF 플라즈마 가스 배출구(204)를 포함하여 이루어진다.More specifically, according to the present invention, the remote plasma source 200 to which the solenoid-type inductively coupled plasma (solenoid IPC) is applied has an internal hollow portion 201 where the injected reaction gas is ionized by the generated plasma and , by the solenoid coil unit 202 receiving RF power from the RF generator 400 and generating a magnetic field, the reaction gas injection unit 203 into which the reaction gas is injected, and the solenoid coil unit 202 Including the RF plasma gas outlet 204 through which radical ions, which are RF plasma gases generated by plasma ionization of the reaction gas injected by the RF plasma generated by the electric field changed from the generated magnetic field, are discharged into the process chamber 100 It is done.

본 발명에 따르면, 상기 리모트 플라즈마 소스(200)에 의하여 낮은 이온에너지에 의하여 밀도가 높은 플라즈마 소스가 발생될 수 있다. According to the present invention, a high-density plasma source can be generated by low ion energy by the remote plasma source 200 .

도 4a는 본 발명에 따른 공정챔버에 복수 개로 배치되는 리모트 플라즈마 소스의 평면 배치도이고, 도 4b는 본 발명에 따른 공정챔버에 복수 개로 배치되는 리모트 플라즈마 소스의 저면도이며, 도 4c는 본 발명에 따른 공정챔버에 복수 개로 배치되는 리모트 플라즈마 소스의 투영도이다. Figure 4a is a plane layout view of a plurality of remote plasma sources disposed in a process chamber according to the present invention, Figure 4b is a bottom view of a plurality of remote plasma sources disposed in a process chamber according to the present invention, Figure 4c is a plan view of the remote plasma source according to the present invention It is a projection view of a plurality of remote plasma sources disposed in the process chamber according to FIG.

도시된 바와 같이, 본 발명에 따르면, 상기 다중 리모트 플라즈마 소스(Remote Plasma Source)인 복수 개로 형성되는 리모트 플라즈마 소스(200)는, 공정챔버(100)의 상부 외부면에 복수 개로 구비되며, 공정챔버(100)의 사각 서셉터(120)를 기준으로 사각 모서리 근방에 배치되는 네 개의 외측 리모트 플라즈마 소스(210)와, 서셉터(12)의 중심부 근방에 배치되는 두 개의 내측 리모트 플라즈마 소스(220)를 포함하여 이루어진다.As shown, according to the present invention, a plurality of remote plasma sources 200, which are the multiple remote plasma sources, are provided on the upper outer surface of the process chamber 100, and the process chamber Four outer remote plasma sources 210 disposed near the square corners of the square susceptor 120 of (100) and two inner remote plasma sources 220 disposed near the center of the susceptor 12 made including

따라서 본 발명에 따르면, 외측의 리모트 플라즈마 소스(210)와 내측의 리모트 플라즈마 소스(220)를 전면적으로 배치하여 형성함으로써, 대면적의 공정챔버(100)에서 플라즈마의 균일성(plasma uniformity)을 향상시킬 수 있음은 물론이거니와 웨이퍼상의 막질에 대한 균일성도 향상시킬 수 있는 효과가 있다.Therefore, according to the present invention, the outer remote plasma source 210 and the inner remote plasma source 220 are disposed and formed over the entire surface, thereby improving plasma uniformity in the process chamber 100 having a large area. In addition to being able to do this, there is an effect of improving the uniformity of the film quality on the wafer.

또한, 상기 서셉터(120)의 중심부 주변을 커버하는 두 개의 내측 리모트 플라즈마 소스(220)에 의하여 상기 서셉터(120)의 외곽 주변부를 커버하는 네 개의 외측 리모트 플라즈마 소스(210)에 의하여 RF 플라즈마 가스가 공정챔버(100)로 주입되도록 함으로써 전체 면적에 걸쳐서 이온 반응력을 골고루 균일하게 유지할 수 있기 때문에, 공정처리 시간과 RF 세정시간을 단축할 수 있는 효과가 있다. In addition, by the two inner remote plasma sources 220 covering the central periphery of the susceptor 120 and the four outer remote plasma sources 210 covering the outer periphery of the susceptor 120, the RF plasma Since the ion reaction force can be maintained evenly over the entire area by allowing the gas to be injected into the process chamber 100, there is an effect of shortening the processing time and the RF cleaning time.

한편, 본 발명에 따른 다중 리모트 플라즈마 소스(Remote Plasma Source)인 복수 개로 형성되는 상기 리모트 플라즈마 소스(200)는, 네 개의 외측 리모트 플라즈마 소스(210)와 두 개의 내측 리모트 플라즈마 소스(220) 각각에 연결 형성되는 가변 VVC(vacuum variable capacitor)(300)를 포함할 수 있다,On the other hand, the remote plasma source 200 formed of a plurality of multiple remote plasma sources (Remote Plasma Source) according to the present invention, four outer remote plasma sources 210 and two inner remote plasma sources 220, respectively It may include a variable VVC (vacuum variable capacitor) 300 connected thereto.

상기 가변 VVC(vacuum variable capacitor)(300)는, 상기 네 개의 외측 리모트 플라즈마 소스(210)각각에 연결되는 외측용 가변 VVC(310)와, 두 개의 내측 리모트 플라즈마 소스(220) 각각에 연결되는 내측용 가변 VVC(320)로 이루어진다. The variable VVC (vacuum variable capacitor) 300 includes an outer variable VVC 310 connected to each of the four outer remote plasma sources 210 and an inner side connected to each of the two inner remote plasma sources 220. It consists of a variable VVC (320).

따라서, 본 발명에 따른 다중 리모트 플라즈마 소스(Remote Plasma Source) 시스템(10)은, 상기 공정챔버(100)의 외곽 주변부에 RF 플라즈마 가스를 주입하기 위하여 복수 개로 형성되는 외측 리모트 플라즈마 소스(210)와, 상기 외측 리모트 플라즈마 소스(210)와 연결되어 형성되는 외측용 가변 VVC(310)와, 상기 공정챔버(100)의 중심부 주변부에 RF 플라즈마 가스를 주입하기 위하여 복수 개로 형성되는 내측 리모트 플라즈마 소스(220)와, 상기 내측 리모트 플라즈마 소스(220)에 연결되어 형성되는 내측용 가변 VVC(320)로 이루어진다. Therefore, the multiple remote plasma source system 10 according to the present invention includes a plurality of external remote plasma sources 210 formed in order to inject RF plasma gas into the outer periphery of the process chamber 100 and , the outer variable VVC 310 formed by being connected to the outer remote plasma source 210, and the inner remote plasma source 220 formed in plurality to inject RF plasma gas into the central periphery of the process chamber 100. ) and an inner variable VVC 320 connected to the inner remote plasma source 220.

따라서, 상기 네 개의 외측 리모트 플라즈마 소스(210)에 연결되는 외측용 가변 VVC(310)과 상기 내측 리모트 플라즈마 소스(220)에 연결되는 내측용 가변 VVC(320)을 가변시켜서 상기 내측 및 외측 리모트 플라즈마 소스(210, 220)에서 발생되는 플라즈마의 밀도가 조절되도록 한다 즉, 상기 리모트 플라즈마 소스(200) 각각에 연결되는 가변 VVC(300)를 가변시키면 리모트 플라즈마 소스(200)에서 균일한 플라즈마를 발생할 수 있도록 한다. Therefore, by varying the variable VVC 310 for the outer side connected to the four outer remote plasma sources 210 and the variable VVC 320 for the inner side connected to the inner remote plasma source 220, the inner and outer remote plasma The density of the plasma generated from the sources 210 and 220 is adjusted. That is, by varying the variable VVC 300 connected to each of the remote plasma sources 200, the remote plasma source 200 can generate uniform plasma. let it be

보다 상세하게는, 두 개의 내측 리모트 플라즈마 소스(220) 및 네 개의 외측 리모트 플라즈마 소스(210) 각각에 RF 발생부(410, 420)으로부터 전달되는 RF 전력이 균일하게 분배되도록 하며, 상기 외측 리모트 플라즈마 소스(210)와 연결되어 형성되는 외측용 가변 VVC(310)와 상기 내측 리모트 플라즈마 소스(220)에 연결되어 형성되는 내측용 가변 VVC(320)를 독립적으로 가변시켜서, 상기 외측 리모트 플라즈마 소스(210)로부터 균일한 플라즈마 발생되도록 하여 공정챔버(100)의 외곽 주변부로 주입시키고, 상기 내측 리모트 플라즈마 소스(220)로부터 균일한 플라즈마가 발생되도록 하여 공정챔버(100)의 중심부 주변부에 주입되도록 한다.More specifically, the RF power delivered from the RF generators 410 and 420 is uniformly distributed to each of the two inner remote plasma sources 220 and the four outer remote plasma sources 210, and the outer remote plasma The outer remote plasma source 210 is formed by independently varying the outer variable VVC 310 connected to the source 210 and the inner variable VVC 320 connected to the inner remote plasma source 220. ) to generate uniform plasma and inject it into the outer periphery of the process chamber 100, and to generate uniform plasma from the inner remote plasma source 220 so that it is injected into the central periphery of the process chamber 100.

본 발명에 따르면. 상기 두 개의 내측 리모트 플라즈마 소스(220)는 상기 서셉터(120)의 중심부 주변을 커버하고, 상기 네 개의 외측 리모트 플라즈마 소스(210)는 상기 서셉터(120)의 외곽 주변부를 커버할 수 있기 때문에, 상기 두 개의 내측 리모트 플라즈마 소스(220)와 상기 네 개의 외측 리모트 플라즈마 소스(210) 각각에 연결되는 가변 VVC(vacuum variable capacitor)(300)를 독립적으로 각각 가변할 수 있기 때문에, 상기 서셉터(120)의 중심부 또는 외곽부 등 존(zone)별로 해당되는 리모트 플라즈마 소스(200)에 연결되는 가변 VVC(300)를 각각 별도로 가변 제어하여 공정챔버(100) 내부로 주입되는 RF 플라즈마 가스가 조절 제어될 수 있는 효과가 있다.according to the present invention. Since the two inner remote plasma sources 220 cover the periphery of the center of the susceptor 120 and the four outer remote plasma sources 210 cover the outer periphery of the susceptor 120, , Since the variable VVC (vacuum variable capacitor) 300 connected to each of the two inner remote plasma sources 220 and the four outer remote plasma sources 210 can be independently varied, the susceptor ( The RF plasma gas injected into the process chamber 100 is regulated and controlled by separately variably controlling the variable VVCs 300 connected to the remote plasma source 200 corresponding to each zone such as the center or the outer portion of the 120). There is an effect that can be.

상기의 환형 타입(troidal type)인 경우에는 입력되는 RF 전력의 조절이 불가능하여 글라스(glass) 공정용으로는 사용이 불가능하나, 본 발명에 따르면, 솔레노이드 유도 결합형 플라즈마(ICP)타입의 리모트 플라즈마 소스(Remote Plasma Source)(200)에 연결되는 가변 VVC(300)에 의하여 입력되는 RF 전력의 조절이 가능하므로 글라스(glass) 공정용으로도 적용이 가능하며, 공정챔버(100)의 형태에 따라 구조적인 배치가 용이할 뿐만 아니라 사이즈 축소가 가능한 효과가 있다. In the case of the toroidal type, it is impossible to adjust the input RF power, so it cannot be used for glass processing, but according to the present invention, solenoid inductively coupled plasma (ICP) type remote plasma It is possible to adjust the RF power input by the variable VVC (300) connected to the source (Remote Plasma Source) 200, so it can be applied to the glass process, and depending on the shape of the process chamber 100 It is not only easy to arrange the structure, but also has the effect of reducing the size.

본 발명에 따른 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템(10)은, 상기 리모트 플라즈마 소스(Remote Plasma Source)(200)로 RF 전력을 공급하기 위하여 RF 발생부(400)를 구비한다.The multiple remote plasma source system 10 using RF power according to the present invention includes an RF generator 400 to supply RF power to the remote plasma source 200.

이때, 상기 RF 발생부(400)에서 발생되는 RF 주파수는, 2MHz(MF), 3MHz ~ 30MHz(HF), 및 30MHz ~ 60MHz(VHF)으로서, 본 발명에 따른 RF 발생부(400)는 중파 대역으로부터 초단파 대역까지 커버할 수 있도록 하며, 발생되는 RF 전력의 세기는 6kW로 전달되도록 한다. At this time, the RF frequencies generated by the RF generator 400 are 2 MHz (MF), 3 MHz to 30 MHz (HF), and 30 MHz to 60 MHz (VHF), and the RF generator 400 according to the present invention has a medium frequency band. to cover the microwave band, and the intensity of the generated RF power is transmitted at 6 kW.

본 발명에 따르면, 네 개의 외측 리모트 플라즈마 소스(210)와 두 개의 내측 리모트 플라즈마 소스(220)를 구비하고 있기 때문에, 이들 각각에 RF 전력을 공급하는 즉, 상기 외측 리모트 플라즈마 소스(210)에 RF 전력을 공급하는 외측용 RF 발생부(410)와, 상기 내측 리모트 플라즈마 소스(220)에 RF 전력을 공급하는 내측용 RF 발생부(420)가 각각 구비되어 형성될 수 있으며, 상기 외측용 RF 발생부(410)와 내측용 RF 발생부(420)는 상호 주파수가 동기화되어 동작되도록 한다.According to the present invention, since it has four outer remote plasma sources 210 and two inner remote plasma sources 220, RF power is supplied to each of them, that is, RF power is supplied to the outer remote plasma sources 210. An outer RF generator 410 for supplying power and an inner RF generator 420 for supplying RF power to the inner remote plasma source 220 may be provided and formed, respectively, and the outer RF generator The unit 410 and the internal RF generator 420 operate in synchronization with each other in frequency.

즉, 상기 외측용 RF 발생부(410)와 내측용 RF 발생부(420) 둘 다 모두 동일한 주파수를 사용함으로 인하여 주파수 상호간섭(반사파에 의한 출력 불안정)이 발생하기 때문에 위상 변조기와 RF 케이블의 길이 조절에 따른 위상변조에 의하여 주파수를 동기화할 수 있다.That is, since both the outer RF generator 410 and the inner RF generator 420 use the same frequency, frequency mutual interference (output instability due to reflected waves) occurs, so the length of the phase modulator and the RF cable The frequency can be synchronized by phase modulation according to the adjustment.

아울러, 본 발명에 따르면, 상기 리모트 플라즈마 소스(200)와 상기 리모트 플라즈마 소스(200)에 RF 전력을 공급하는 상기 RF 발생부(400) 사이에는 서로간의 임피던스를 매칭하기 위한 RF 매칭기(500)를 형성할 수 있는데, 보다 상세하게는, 상기 외측 리모트 플라즈마 소스(210)와 상기 외측 리모트 플라즈마 소스(210)에 RF 전력을 공급하는 외측용 RF 발생부(410) 상호 간에 임피던스를 매칭하기 위하여 형성되는 외측용 RF 매칭기(410)를 구비하며, 상기 내측 리모트 플라즈마 소스(220)와 상기 내측 리모트 플라즈마 소스(220)에 RF 전력을 공급하는 내측용 RF 발생부(420) 상호 간에 임피던스를 매칭하기 위하여 형성되는 내측용 RF 매칭기(420)를 구비하여 포함할 수 있다,In addition, according to the present invention, an RF matcher 500 for matching impedances between the remote plasma source 200 and the RF generator 400 supplying RF power to the remote plasma source 200 Can be formed, more specifically, formed to match the impedance between the outer remote plasma source 210 and the outer RF generator 410 supplying RF power to the outer remote plasma source 210. To match the impedance between the inner remote plasma source 220 and the inner RF generator 420 supplying RF power to the inner remote plasma source 220. It may include an inner RF matcher 420 formed for

따라서, 상기 RF 발생부(400)와 상기 리모트 플라즈마 소스(200) 간의 임피던스 매칭기(400)를 형성함으로써 RF 발생부(400)에 생성된 RF 전력이 리모트 플라즈마 소스(200)로 최대로 전달될 수 있도록 한다. Therefore, by forming the impedance matcher 400 between the RF generator 400 and the remote plasma source 200, the RF power generated in the RF generator 400 is maximally transferred to the remote plasma source 200. make it possible

본 발명에 따르면, 복수의 리모트 플라즈마 소스(200)를 사용함으로 인하여 발생되는 주파수 간섭현상을 가변 VVC(300)에 의하여 제어할 수 있기 때문에 리모트 플라즈마 소스(200)를 통과하는 RF 플라즈마 소스가 공정챔버(100) 내에 주입되어 균일성이 향상된 RF 플라즈마를 확보할 수 있는 효과가 있다.According to the present invention, since frequency interference caused by using a plurality of remote plasma sources 200 can be controlled by the variable VVC 300, the RF plasma source passing through the remote plasma source 200 is used in the process chamber. It is injected into (100) and has the effect of securing RF plasma with improved uniformity.

또한, 본 발명에 따르면, 공정챔버(100) 내에서 증착공정과 세정공정이 일정 시간을 주기로 교번적으로 수행되며, 증착공정과 세정공정시에 복수 개로 형성되는 리모트 플라즈마 소스(200)에서 각각 별도로 동일한 하나의 리모트 플라즈마 소스(210, 220)에 입력되는 RF 전력의 주파수 대역과 크기를 각각 서로 상이하게 공급함으로써 일정 주기별 교번적으로 증착공정과 세정공정을 모두 진행할 수 있기 때문에, 따라서 증착공정용 리모트 플라즈마 소스와 세정공정용 리모트 플라즈마 소스를 각각 별도로 구비하지 않음으로 인하여 부품 수의 절감을 통하여 부품비용 및 조립 비용을 절감할 수 있으며, 고출력의 RF 전력이 공급됨으로써 균일성이 향상된 RF 플라즈마 이온에너지가 증대됨에 따라 증착공정과 세정공정 처리를 위한 반응속도가 높아지게 되므로 증착공정과 세정공정의 시간을 단축할 수 있는 효과가 있다.In addition, according to the present invention, the deposition process and the cleaning process are alternately performed at regular intervals in the process chamber 100, and the remote plasma source 200 formed in plurality during the deposition process and the cleaning process separately from each other. Since both the deposition process and the cleaning process can be performed alternately at regular intervals by supplying different frequency bands and sizes of the RF power input to the same remote plasma source 210, 220, therefore, for the deposition process Since the remote plasma source and the remote plasma source for the cleaning process are not separately provided, it is possible to reduce the number of parts and assembly cost, and RF plasma ion energy with improved uniformity by supplying high-output RF power Since the reaction rate for the deposition process and the cleaning process is increased as is increased, there is an effect of shortening the time of the deposition process and the cleaning process.

또한, 본 발명에 따르면, 리모트 플라즈마 소스(200)가 복수 개로 외측용 리모트 플라즈마 소스(210) 및 내측용 리모트 플라즈마 소스(220) 각각에 RF 전력을 공급하는 RF 발생부가 복수 개로 구비됨에 따라서 이들 상호간의 주파수를 동기화하여 안정화된 동일한 RF 전력을 본 발명에 따른 리모트 플라즈마 소스(200)로 공급할 수 있는 효과가 있다. In addition, according to the present invention, since the remote plasma source 200 is provided with a plurality of RF generators for supplying RF power to each of the remote plasma source 210 for the outside and the remote plasma source 220 for the inside, each of them is mutually There is an effect of supplying the same RF power stabilized by synchronizing the frequency of the remote plasma source 200 according to the present invention.

10 : 다중 리모트 플라즈마 소스 시스템
100 : 공정챔버
110 : 가스 투입구 120 : 서셉터
130 : 플라즈마 가스 투입구 140 : 가스배출구
150 : 히터부 160 : 회전 샤프트
200 : 리모트 플라즈마 소스
201 : 내부 중공부 202 : 솔레노이드 코일부
203 : 반응가스 주입부 204 : RF 플라즈마 가스 배출구
210 : 외측 리모트 플라즈마 소스 220 : 내측 리모트 플라즈마 소스
300 : 가변 VVC
310 : 외측용 가변 VVC 320 : 내측용 가변 VVC
400 : RF 발생부
410 : 외측용 RF 발생부 420 : 내측용 RF 발생부
500: RF 매칭기
410 : 외측용 RF 매칭기 420 : 내측용 RF 매칭기
10: Multiple remote plasma source system
100: process chamber
110: gas inlet 120: susceptor
130: plasma gas inlet 140: gas outlet
150: heater unit 160: rotating shaft
200: remote plasma source
201: inner hollow part 202: solenoid coil part
203: reaction gas injection unit 204: RF plasma gas outlet
210: outer remote plasma source 220: inner remote plasma source
300: Variable VVC
310: Variable VVC for outside 320: Variable VVC for inside
400: RF generator
410: RF generator for the outside 420: RF generator for the inside
500: RF matcher
410: outer RF matcher 420: inner RF matcher

Claims (17)

증착공정과 세정공정을 수행하는 공정챔버와;
상기 공정챔버의 외부에서 상기 공정챔버 내부로 RF 플라즈마 가스를 주입하기 위하여 복수 개로 형성되는 리모트 플라즈마 소스와;
상기 리모트 플라즈마 소스에 연결되어 형성되는 가변 VVC; 및
상기 리모트 플라즈마 소스에 RF 전력을 인가하기 위하여 형성되는 RF 발생부; 를 포함하는 것을 특징으로 하는 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템.
a process chamber for performing a deposition process and a cleaning process;
a plurality of remote plasma sources for injecting RF plasma gas from the outside of the process chamber into the process chamber;
a variable VVC connected to the remote plasma source; and
an RF generator configured to apply RF power to the remote plasma source; Multiple remote plasma source system using RF power, characterized in that it comprises a.
청구항 1에 있어서,
상기 리모트 플라즈마 소스와 상기 RF 발생부 상호간의 임피던스를 매칭하기 위하여 형성되는 RF 매칭기를 더 포함하는 것을 특징으로 하는 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템.
The method of claim 1,
Multiple remote plasma source system using RF power, characterized in that it further comprises an RF matcher formed to match the impedance between the remote plasma source and the RF generator.
청구항 1 또는 청구항 2에 있어서,
상기 리모트 플라즈마 소스에 연결되는 가변 VVC를 가변시켜서 상기 리모트 플라즈마 소스에서 발생되는 플라즈마의 밀도를 조절하는 것을 특징으로 하는 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템.
According to claim 1 or claim 2,
Multiple remote plasma source system using RF power, characterized in that the density of the plasma generated from the remote plasma source is adjusted by varying the variable VVC connected to the remote plasma source.
청구항 1 또는 청구항 2에 있어서,
상기 리모트 플라즈마 소스는, 솔레노이드 타입의 유도 결합형 플라즈마인 것을 특징으로 하는 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템.
According to claim 1 or claim 2,
The remote plasma source is a multiple remote plasma source system using RF power, characterized in that the solenoid type inductively coupled plasma.
청구항 4에 있어서, 상기 리모트 플라즈마 소스는,
주입된 반응가스가 발생되는 플라즈마에 의하여 이온화되는 내부 중공부와, RF 발생부로부터 RF 전력을 인가받아서 자기장을 발생하는 솔레노이드 코일부와, 반응가스가 주입되는 반응가스 주입부 및 상기 솔레노이드 코일부에 의하여 발생된 자기장으로부터 변화된 전기장에 의하여 생성된 RF 플라즈마에 의하여 주입된 반응가스가 플라즈마 이온화되어 발생된 RF 플라즈마 가스인 래디컬이온이 배출되는 RF 플라즈마 가스 배출구를 포함하여 이루어지는 것을 특징으로 하는 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템.
The method according to claim 4, wherein the remote plasma source,
An internal hollow part in which the injected reaction gas is ionized by plasma, a solenoid coil part receiving RF power from the RF generator and generating a magnetic field, a reaction gas injection part in which the reaction gas is injected, and the solenoid coil part An RF plasma gas outlet through which radical ions, which are RF plasma gases generated by plasma ionization of the reactive gas injected by the RF plasma generated by the electric field changed from the magnetic field generated by the plasma ionization, are discharged using RF power Multiple remote plasma source system.
증착공정과 세정공정을 수행하는 공정챔버와;
상기 공정챔버의 중심부 주변부에 RF 플라즈마 가스를 주입하기 위하여 복수 개로 형성되는 내측 리모트 플라즈마 소스와;
상기 공정챔버의 외곽 주변부에 RF 플라즈마 가스를 주입하기 위하여 복수 개로 형성되는 외측 리모트 플라즈마 소스와;
상기 내측 리모트 플라즈마 소스에 연결되어 형성되는 내측용 가변 VVC와;
상기 외측 리모트 플라즈마 소스에 연결되어 형성되는 외측용 가변 VVC와;
상기 내측 리모트 플라즈마 소스에 RF 전력을 인가하기 위한 내측용 RF 발생부; 및
상기 외측 리모트 플라즈마 소스에 RF 전력을 인가하기 위한 외측용 RF 발생부; 를 포함하는 것을 특징으로 하는 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템.
a process chamber for performing a deposition process and a cleaning process;
an inner remote plasma source formed of a plurality to inject RF plasma gas into the central periphery of the process chamber;
an external remote plasma source formed in plurality to inject RF plasma gas into the outer periphery of the process chamber;
an inner variable VVC connected to the inner remote plasma source;
an external variable VVC connected to the external remote plasma source;
an inner RF generator for applying RF power to the inner remote plasma source; and
an external RF generator for applying RF power to the external remote plasma source; Multiple remote plasma source system using RF power, characterized in that it comprises a.
청구항 6에 있어서,
상기 내측 리모트 플라즈마 소스와 상기 내측용 RF 발생부 상호간에 임피던스를 매칭하기 위하여 형성되는 내측용 RF 매칭기와;
상기 외측 리모트 플라즈마 소스와 상기 외측용 RF 발생부 상호간에 임피던스를 매칭하기 위하여 형성되는 외측용 RF 매칭기; 를 더 포함하는 것을 특징으로 하는 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템.
The method of claim 6,
an inner RF matcher formed to match impedances between the inner remote plasma source and the inner RF generator;
an external RF matcher configured to match impedances between the external remote plasma source and the external RF generator; Multiple remote plasma source system using RF power, characterized in that it further comprises.
청구항 6 또는 청구항 7에 있어서,
상기 내측 리모트 플라즈마 소스 및 상기 외측 리모트 플라즈마 소스는, 솔레노이드 타입의 유도 결합형 플라즈마인 것을 특징으로 하는 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템.
According to claim 6 or claim 7,
The inner remote plasma source and the outer remote plasma source are multiple remote plasma source systems using RF power, characterized in that the solenoid-type inductively coupled plasma.
청구항 8에 있어서,
상기 솔레노이드 타입의 유도 결합형 플라즈마는,
주입된 반응가스가 발생되는 플라즈마에 의하여 이온화되는 내부 중공부와, RF 발생부로부터 RF 전력을 인가받아서 자기장을 발생하는 솔레노이드 코일부와, 반응가스가 주입되는 반응가스 주입부 및 상기 솔레노이드 코일부에 의하여 발생된 자기장으로부터 변화된 전기장에 의하여 생성된 RF 플라즈마에 의하여 주입된 반응가스가 플라즈마 이온화되어 발생된 RF 플라즈마 가스인 래디컬이온이 배출되는 RF 플라즈마 가스 배출구를 포함하여 이루어지는 것을 특징으로 하는 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템.
The method of claim 8,
The solenoid-type inductively coupled plasma,
An internal hollow part in which the injected reaction gas is ionized by plasma, a solenoid coil part receiving RF power from the RF generator and generating a magnetic field, a reaction gas injection part in which the reaction gas is injected, and the solenoid coil part An RF plasma gas outlet through which radical ions, which are RF plasma gases generated by plasma ionization of the reactive gas injected by the RF plasma generated by the electric field changed from the magnetic field generated by the plasma ionization, are discharged using RF power Multiple remote plasma source systems.
청구항 6 또는 청구항 7에 있어서,
상기 내측 리모트 플라즈마 소스에 연결되는 내측용 가변 VVC 및 상기 외측 리모트 플라즈마 소스에 연결되는 외측용 가변 VVC를 각각 가변시켜서 상기 내측 및 외측 리모트 플라즈마 소스에서 발생되는 플라즈마의 밀도를 조절하는 것을 특징으로 하는 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템.
According to claim 6 or claim 7,
Adjusting the density of plasma generated from the inner and outer remote plasma sources by varying the inner variable VVC connected to the inner remote plasma source and the outer variable VVC connected to the outer remote plasma source, respectively. Multiple remote plasma source system using electric power.
청구항 6 또는 청구항 7에 있어서,
상기 내측용 RF 발생부와 상기 외측용 RF 발생부는 동일 주파수가 되도록 상호 동기화되는 것을 특징으로 하는 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템.
According to claim 6 or claim 7,
The multi-remote plasma source system using RF power, characterized in that the inner RF generator and the outer RF generator are mutually synchronized to have the same frequency.
청구항 6 또는 청구항 7에 있어서,
상기 내측용 RF 발생부와 상기 외측용 RF 발생부는 위상 변조기와 RF 케이블의 길이 조절에 따른 위상변조에 의하여 주파수가 상호 동기화되는 것을 특징으로 하는 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템.
According to claim 6 or claim 7,
The inner RF generator and the outer RF generator are multiple remote plasma source systems using RF power, characterized in that the frequencies are synchronized with each other by phase modulation according to the length adjustment of the phase modulator and the RF cable.
청구항 6 또는 청구항 7에 있어서,
상기 내측용 RF 발생부와 상기 외측용 RF 발생부에서 발생되는 RF 주파수 대역은, 중파 대역에서 초단파 대역까지 커버하는 것을 특징으로 하는 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템.
According to claim 6 or claim 7,
The RF frequency band generated by the inner RF generator and the outer RF generator covers a medium wave band to a very high frequency band.
청구항 6 또는 청구항 7에 있어서,
상기 내측 리모트 플라즈마 소스 및 상기 외측 리모트 플라즈마 소스 각각으로부터 발생되는 플라즈마 밀도가 균일하도록 제어하는 것을 특징으로 하는 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템.
According to claim 6 or claim 7,
The multiple remote plasma source system using RF power, characterized in that the plasma density generated from each of the inner remote plasma source and the outer remote plasma source is controlled to be uniform.
청구항 6 또는 청구항 7에 있어서,
일정한 시간을 주기로 교번적으로 수행되는 증착공정 및 세정공정 각각의 공정별로, 상기 내측 리모트 플라즈마 소스 및 상기 외측 리모트 플라즈마 소스로부터 발생되는 플라즈마 가스를 공정챔버에 주입하는 것을 특징으로 하는 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템.
According to claim 6 or claim 7,
Plasma gas generated from the inner remote plasma source and the outer remote plasma source is injected into a process chamber for each of the deposition process and the cleaning process, which are alternately performed at regular intervals, into a process chamber. Remote Plasma Source System.
청구항 6 또는 청구항 7에 있어서,
상기 내측 리모트 플라즈마 소스 및 상기 외측 리모트 플라즈마 소스에 RF 전력이 균일하게 분배되어 전달되고, 상기 내측 리모트 플라즈마 소스에 연결되는 내측용 가변 VVC와 상기 외측 리모트 플라즈마 소스에 연결되는 외측용 가변 VVC를 가변 조절하여 상기 내측 리모트 플라즈마 소스와 상기 외측 리모트 플라즈마 소스로부터 플라즈마 밀도가 균일하게 발생되는 것을 특징으로 하는 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템.
According to claim 6 or claim 7,
RF power is uniformly distributed and delivered to the inner remote plasma source and the outer remote plasma source, and variable control of an inner variable VVC connected to the inner remote plasma source and an outer variable VVC connected to the outer remote plasma source The multiple remote plasma source system using RF power, characterized in that the plasma density is uniformly generated from the inner remote plasma source and the outer remote plasma source.
청구항 6 또는 청구항 7에 있어서,
상기 내측 리모트 플라즈마 소스에 연결되는 내측용 가변 VVC와, 상기 외측 리모트 플라즈마 소스에 연결되는 외측용 가변 VVC를 각각 별도로 조정하여 상기 공정챔버에 주입되는 플라즈마 가스를 존(zone)별로 제어하는 것을 특징으로 하는 RF 전력을 이용한 다중 리모트 플라즈마 소스 시스템.
According to claim 6 or claim 7,
The plasma gas injected into the process chamber is controlled for each zone by separately adjusting an inner variable VVC connected to the inner remote plasma source and an outer variable VVC connected to the outer remote plasma source. Multiple remote plasma source system using RF power to
KR1020210102257A 2021-08-04 2021-08-04 Multiple Remote Plasma Source System Using the RF Power KR20230020633A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020210102257A KR20230020633A (en) 2021-08-04 2021-08-04 Multiple Remote Plasma Source System Using the RF Power

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020210102257A KR20230020633A (en) 2021-08-04 2021-08-04 Multiple Remote Plasma Source System Using the RF Power

Publications (1)

Publication Number Publication Date
KR20230020633A true KR20230020633A (en) 2023-02-13

Family

ID=85202701

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210102257A KR20230020633A (en) 2021-08-04 2021-08-04 Multiple Remote Plasma Source System Using the RF Power

Country Status (1)

Country Link
KR (1) KR20230020633A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116864580A (en) * 2023-09-05 2023-10-10 眉山琏升光伏科技有限公司 Process and equipment for preparing microcrystalline silicon film of solar cell

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101105907B1 (en) 2008-10-20 2012-01-17 한양대학교 산학협력단 Apparatus for generating remote plasma
KR101950024B1 (en) 2017-11-06 2019-02-20 주식회사 뉴파워 프라즈마 Remote plasma generator
KR20190100395A (en) 2017-01-17 2019-08-28 램 리써치 코포레이션 Create a supplemental plasma density near the substrate using low bias voltages in an inductively coupled plasma (ICP) processing chamber

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101105907B1 (en) 2008-10-20 2012-01-17 한양대학교 산학협력단 Apparatus for generating remote plasma
KR20190100395A (en) 2017-01-17 2019-08-28 램 리써치 코포레이션 Create a supplemental plasma density near the substrate using low bias voltages in an inductively coupled plasma (ICP) processing chamber
KR101950024B1 (en) 2017-11-06 2019-02-20 주식회사 뉴파워 프라즈마 Remote plasma generator

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116864580A (en) * 2023-09-05 2023-10-10 眉山琏升光伏科技有限公司 Process and equipment for preparing microcrystalline silicon film of solar cell
CN116864580B (en) * 2023-09-05 2023-12-01 眉山琏升光伏科技有限公司 Process and equipment for preparing microcrystalline silicon film of solar cell

Similar Documents

Publication Publication Date Title
US6851384B2 (en) Remote plasma apparatus for processing substrate with two types of gases
EP1564794B1 (en) Method and device for generating uniform high- frequency plasma over large surface area
US6184158B1 (en) Inductively coupled plasma CVD
RU2666135C2 (en) Microwave plasma reactor for synthetic diamond material
EP1017876B1 (en) Gas injection system for plasma processing apparatus
US8962488B2 (en) Synchronized radio frequency pulsing for plasma etching
US6270862B1 (en) Method for high density plasma chemical vapor deposition of dielectric films
US7727413B2 (en) Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20070247073A1 (en) Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
KR20070104856A (en) Plasma reactor apparatus with independent capacitive and toroidal plasma sources
US20070037390A1 (en) Plasma CVD apparatus for forming uniform film
US6887341B2 (en) Plasma processing apparatus for spatial control of dissociation and ionization
US20070245958A1 (en) Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US20070245960A1 (en) Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US20070245961A1 (en) Dual plasma source process using a variable frequency capacitively coupled source for controlling plasma ion dissociation
JP5659225B2 (en) Plasma deposition source and method for depositing thin films
WO2022020190A1 (en) Flowable film formation and treatments
KR20230020633A (en) Multiple Remote Plasma Source System Using the RF Power
US20180047542A1 (en) Inductively coupled plasma chamber having a multi-zone showerhead
US8931433B2 (en) Plasma processing apparatus
JP3530788B2 (en) Microwave supplier, plasma processing apparatus and processing method
WO2022087145A1 (en) Semiconductor processing chamber to accommodate parasitic plasma formation
JP3637291B2 (en) Method and apparatus for equalizing large area of high frequency plasma in plasma chemical vapor deposition apparatus
JP2005135801A5 (en)
KR20170044777A (en) Method for forming silicon oxide film using plasmas

Legal Events

Date Code Title Description
E902 Notification of reason for refusal