KR20230007643A - Plasma Processing Device - Google Patents

Plasma Processing Device Download PDF

Info

Publication number
KR20230007643A
KR20230007643A KR1020210088235A KR20210088235A KR20230007643A KR 20230007643 A KR20230007643 A KR 20230007643A KR 1020210088235 A KR1020210088235 A KR 1020210088235A KR 20210088235 A KR20210088235 A KR 20210088235A KR 20230007643 A KR20230007643 A KR 20230007643A
Authority
KR
South Korea
Prior art keywords
focus ring
insulating member
plasma
disposed
electrostatic chuck
Prior art date
Application number
KR1020210088235A
Other languages
Korean (ko)
Other versions
KR102572318B1 (en
Inventor
이승호
이세진
최명석
Original Assignee
(주)아이씨디
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by (주)아이씨디 filed Critical (주)아이씨디
Priority to KR1020210088235A priority Critical patent/KR102572318B1/en
Publication of KR20230007643A publication Critical patent/KR20230007643A/en
Application granted granted Critical
Publication of KR102572318B1 publication Critical patent/KR102572318B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

By dividing and disposing a focus ring, disclosed is a plasma processing device capable of preventing abnormal plasma discharge. The plasma processing device divides the focus ring into a first focus ring on which a processing target substrate is disposed and a second focus ring separated from the first focus ring, enables the divided first focus ring to be in non-contact with an insulating member, enables only the second focus ring to be disposed on the insulating member, and enables to prevent the processing target substrate from being moved from an electrostatic chuck electrode by a thermal expansion of the insulating member. Therefore, an abnormal discharge of the electrostatic chuck electrode and a burning phenomenon of the processing target substrate according to a movement of the processing target substrate may be prevented.

Description

플라즈마 처리 장치{Plasma Processing Device}Plasma Processing Device {Plasma Processing Device}

본 발명은 플라즈마 처리 장치에 관한 것으로, 더욱 상세하게는 포커스 링(Focus Ring)을 분할함으로써, 플라즈마 이상 방전을 방지할 수 있는 플라즈마 처리 장치에 관한 것이다.The present invention relates to a plasma processing apparatus, and more particularly, to a plasma processing apparatus capable of preventing abnormal plasma discharge by dividing a focus ring.

일반적으로 반도체 장치의 제조 분야 등에서는 처리 가스를 플라즈마화하여, 반도체 웨이퍼나 유리 기판 등의 피처리 기판을 소정의 처리, 예를 들면 에칭 처리나 성막 처리 등을 실시하는 플라즈마 처리 장치가 알려져 있다.In general, in the field of manufacturing semiconductor devices, a plasma processing apparatus is known that converts a process gas into plasma and performs a predetermined process, such as an etching process or a film formation process, on a target substrate such as a semiconductor wafer or glass substrate.

일예로, 플라즈마 발생 장치는 플라즈마화 하는 방법에 따라 통상적으로 용량성 플라즈마(CCP: capacitive coupled plasma) 타입과, 유도성 플라즈마(inductive coupled plasma) 타입으로 나눌 수 있다.For example, a plasma generating device may be conventionally divided into a capacitive coupled plasma (CCP) type and an inductive coupled plasma type according to a plasma conversion method.

용량성 플라즈마 장치는 예컨데, 챔버, 적어도 일부가 챔버 내에 배치되며 접지되는 상부 전극, 챔버 내에서 상부 전극의 하측에 배치되어 원료 가스를 분사하는 가스 분사부, 가스 분사부의 하측에 대향 배치되어 처리대상물을 지지하는 정전척, 상부 전극에 전원을 인가하는 상부 전원 공급부, 하부 전극에 전원을 인가하는 하부 전원 공급부를 포함한다. 이러한 용량성 플라즈마 장치에서 상부 전극 및 하부 전극에 전원을 인가하면, 하부 전극과 상부 전극 사이에 전기장 및 플라즈마가 형성된다. 용량성 플라즈마 장치에서 생성된 플라즈마는 전기장에 의해 이온 에너지가 높은 장점이 있으나, 상기 고 에너지의 이온에 의해 처리대상물 또는 처리대상물 상에 형성된 박막이 손상되는 문제가 발생된다. 그리고 패턴이 미세화 됨에 따라 고 에너지의 이온에 의한 손상의 정도가 크다.The capacitive plasma device includes, for example, a chamber, an upper electrode at least part of which is disposed in the chamber and grounded, a gas dispensing unit disposed below the upper electrode in the chamber to inject raw material gas, and disposed opposite to the lower side of the gas dispensing unit to treat an object to be treated. It includes an electrostatic chuck for supporting, an upper power supply for applying power to the upper electrode, and a lower power supply for applying power to the lower electrode. When power is applied to the upper and lower electrodes in such a capacitive plasma device, an electric field and plasma are formed between the lower and upper electrodes. Plasma generated by the capacitive plasma device has the advantage of having high ion energy due to an electric field, but the object to be treated or a thin film formed on the object to be treated is damaged by the ions of high energy. In addition, as the pattern is refined, the degree of damage caused by high-energy ions increases.

유도성 플라즈마 장치는 예컨데, 챔버, 챔버 내에 배치되어 원료 가스를 분사하는 가스 분사부, 챔버 내에서 가스 분사부와 대향 배치되어 처리대상물을 지지하는 정전척, 챔버 외측에 배치되어 소스 전원이 인가되는 안테나, 안테나에 소스 전원을 인가하는 안테나 소스 전원 공급부 및 정전척에 고주파 바이어스 전원을 인가하는 바이어스전원 공급부를 포함한다. 이러한 유도성 플라즈마 장치에서 정전척에 바이어스 전원을 인가하고, 안테나에 소스 전원이 인가되면, 챔버 내에 플라즈마가 형성된다. 생성된 플라즈마 중 양이온은 처리대상물의 표면에 입사 또는 충돌함으로써, 처리대상물 상에 박막을 형성하거나, 상기 처리대상물 또는 처리대상물 상에 형성된 박막을 식각한다. 유도성 플라즈마 장치에서 형성된 플라즈마는 높은 밀도를 가지고, 낮은 이온 에너지 분포를 형성하여, 처리대상물 또는 박막에 대한 손상이 적은 장점이 있다.The inductive plasma device includes, for example, a chamber, a gas dispensing unit disposed in the chamber to inject source gas, an electrostatic chuck disposed opposite to the gas dispensing unit in the chamber to support an object to be processed, and disposed outside the chamber to which source power is applied It includes an antenna, an antenna source power supply unit for applying source power to the antenna, and a bias power supply unit for applying high frequency bias power to the electrostatic chuck. When bias power is applied to the electrostatic chuck and source power is applied to the antenna in such an inductive plasma device, plasma is formed in the chamber. Positive ions in the generated plasma are incident on or collide with the surface of the object to be treated, thereby forming a thin film on the object to be treated or etching the thin film formed on the object to be treated or the object to be treated. Plasma formed in the induction plasma device has a high density and low ion energy distribution, so there is an advantage of less damage to the object or thin film to be treated.

이러한 플라즈마를 이용한 플라즈마 장치는 기판이 안착되어 하부전극으로써 기능하는 지지대부와 상기 하부전극을 플라즈마로부터 보호하기 위해 지지대부 측면에 배치되는 포커스 링(Focus Ring)을 구비한다.A plasma device using such a plasma includes a support portion functioning as a lower electrode on which a substrate is seated and a focus ring disposed on a side surface of the support portion to protect the lower electrode from plasma.

도 1은 종래의 플라즈마 처리 장치를 나타낸 도면이다.1 is a diagram showing a conventional plasma processing apparatus.

도 2은 종래의 절연부재 열팽창에 따른 포커스 링의 이동을 나타낸 도면이다.2 is a view illustrating movement of a focus ring according to thermal expansion of a conventional insulating member.

도 3은 종래의 포커스 링 배치를 나타낸 도면이다.3 is a view showing a conventional focus ring arrangement.

도 1 및 도 3을 참조하면, 종래의 플라즈마 처리 장치는 피처리 기판(1)이 안착되는 정전척 전극(10)과 정전척 전극(10)의 측면을 플라즈마로부터 보호하는 절연부재(20) 및 플라즈마의 균일성을 향상시키고, 플라즈마로부터 정전척 전극(10)을 보호하기 위한 포커스 링(30)을 포함한다. 또한, 종래의 포커스 링(30)은 일체로 되어, 정전척 전극(10)에서 절연부재(20)까지 연장되도록 형성된다.Referring to FIGS. 1 and 3 , a conventional plasma processing apparatus includes an electrostatic chuck electrode 10 on which a substrate 1 to be processed is seated and an insulating member 20 that protects a side surface of the electrostatic chuck electrode 10 from plasma, and A focus ring 30 is included to improve plasma uniformity and protect the electrostatic chuck electrode 10 from plasma. In addition, the conventional focus ring 30 is integrally formed to extend from the electrostatic chuck electrode 10 to the insulating member 20 .

허나, 플라즈마 방전으로 인해 발생되는 열에 의해 절연부재(20)가 열팽창 현상이 발생되면, 절연부재(20)의 열팽창에 의해 도 2에서와 같이, 포커스 링(30)이 상부 방향, 즉 피처리 기판(1) 방향으로 이동하게 되어 피처리 기판(1)을 들어 올리게 되고, 이에 따라 정전척 전극(10)이 플라즈마에 노출되게 된다. 따라서, 정전척 전극(10) 상부에서 분출되는 냉각 가스가 누출되어, 피처리 기판(1)을 제대로 냉각시키지 못해 피처리 기판(1) 표면에 버닝(Buring) 현상이 발생되거나, 노출된 정전척 전극(10)이 플라즈마 방전에 의해 아킹(Arcing)이 발생되는 문제가 있다.However, when the thermal expansion of the insulating member 20 occurs due to the heat generated by the plasma discharge, the thermal expansion of the insulating member 20 moves the focus ring 30 upward, that is, the target substrate, as shown in FIG. 2 . (1), the target substrate 1 is lifted, and thus the electrostatic chuck electrode 10 is exposed to plasma. Therefore, the cooling gas ejected from the top of the electrostatic chuck electrode 10 leaks, and the target substrate 1 is not properly cooled, resulting in a burning phenomenon on the surface of the target substrate 1 or an exposed electrostatic chuck. There is a problem that arcing occurs in the electrode 10 due to plasma discharge.

이러한 절연부재(20)의 열팽창에 의해 피처리 기판(1)이 이동되는 문제를 방지하기 위해, 도 3에서와 같이, 포커스 링(30)이 피처리 기판(1)과 소정거리 이격되도록 배치될 수 있으나, 이는 포커스 링(30)이 이격된 거리만큼 정전척 전극(10)의 측면이 플라즈마에 노출되어 이상 방전이 발생되는 문제가 발생된다.In order to prevent the substrate 1 from being moved due to the thermal expansion of the insulating member 20, the focus ring 30 is disposed to be spaced apart from the substrate 1 by a predetermined distance as shown in FIG. 3. However, this causes a problem in that the side surface of the electrostatic chuck electrode 10 is exposed to plasma by the distance from which the focus ring 30 is separated, resulting in abnormal discharge.

한국특허공개 10-2011-0077575Korean Patent Publication No. 10-2011-0077575

본 발명이 이루고자 하는 기술적 과제는 포커스 링을 분할 배치함으로써 절연부재의 열팽창으로부터 정전척 전극을 보호할 수 있는 플라즈마 처리 장치를 제공하는데 있다.An object of the present invention is to provide a plasma processing apparatus capable of protecting an electrostatic chuck electrode from thermal expansion of an insulating member by dividing and arranging focus rings.

상술한 과제를 해결하기 위해 본 발명의 플라즈마 처리 장치는 챔버 몸체, 상기 챔버 몸체에 의해 제공되고, 수용된 피처리 기판의 플라즈마 처리가 이루어지는 처리실, 상기 처리실 내에 플라즈마를 발생시키는 플라즈마 발생부, 상기 처리실과 상기 플라즈마 발생부 사이에 배치된 프레임, 상기 처리실 내에 상기 피처리 기판을 지지하는 정전척 전극과 상기 정전척 전극의 측면을 감싸는 절연부재가 배치된 지지대부, 상기 지지대부 측면 상부를 감싸도록 배치된 포커스 링(Focus ring)을 포함하고, 상기 포커스 링은, 상기 정전척 전극 상에 배치되되, 상기 절연부재에 비접촉되는 제1 포커스 링 및 상기 제1 포커스 링과 접촉되되, 상기 절연부재 상에 배치된 제2 포커스 링을 포함할 수 있다.In order to solve the above problems, the plasma processing apparatus of the present invention includes a chamber body, a processing chamber provided by the chamber body and receiving plasma processing of a substrate to be processed, a plasma generating unit generating plasma in the processing chamber, the processing chamber, and the like. A frame disposed between the plasma generators, a support unit in which an electrostatic chuck electrode supporting the substrate to be processed in the processing chamber and an insulating member surrounding a side surface of the electrostatic chuck electrode are disposed, and an upper portion of the side surface of the support unit disposed. A focus ring, wherein the focus ring is disposed on the electrostatic chuck electrode, but not in contact with the insulating member, and is in contact with the first focus ring and disposed on the insulating member A second focus ring may be included.

상기 피처리 기판은 상기 정전척 전극의 전극면 및 상기 제1 포커스 링에 상에 배치될 수 있다.The substrate to be processed may be disposed on an electrode surface of the electrostatic chuck electrode and the first focus ring.

상기 하부 전극의 외곽 부위는 상기 포커스 링이 안착되도록 제1 외곽 홈을 포함하되, 상기 제1 외곽 홈에는 상기 제1 포커스 링이 안착될 수 있다.An outer portion of the lower electrode may include a first outer groove in which the focus ring is seated, and the first focus ring may be seated in the first outer groove.

상기 제1 포커스 링의 상부 일측은 상기 제2 포커스 링이 안착되도록 제2 외곽 홈을 포함할 수 있다.An upper side of the first focus ring may include a second outer groove in which the second focus ring is seated.

상기 제2 외곽 홈의 바닥면은 상기 절연부재의 상부면과 동일 평면을 가질 수 있다.A bottom surface of the second outer groove may have the same plane as an upper surface of the insulating member.

상기 제2 포커스 링은 상기 제2 외곽 홈 및 상기 절연부재 상에 안착될 수 있다.The second focus ring may be seated on the second outer groove and the insulating member.

상기 제1 포커스 링의 상부 일측은 상기 제2 포커스 링이 안착되는 경사면을 포함할 수 있다.One upper side of the first focus ring may include an inclined surface on which the second focus ring is seated.

상기 제2 포커스 링은 상기 경사면 및 상기 절연부재 상에 안착될 수 있다.The second focus ring may be seated on the inclined surface and the insulating member.

상기 하부 전극의 외곽 부위는 상기 포커스 링이 안착되도록 제1 외곽 홈을 포함하되, 상기 제1 외곽 홈에는 상기 제1 포커스 링 및 상기 제2 포커스 링이 안착될 수 있다.An outer portion of the lower electrode includes a first outer groove in which the focus ring is seated, and the first focus ring and the second focus ring may be seated in the first outer groove.

상기 제1 포커스 링은 상기 절연부재와 비접촉될 수 있다.The first focus ring may not contact the insulating member.

상기 제2 포커스 링은 상기 제1 외곽 홈 및 상기 절연부재 상에 안착될 수 있다.The second focus ring may be seated on the first outer groove and the insulating member.

상술한 본 발명에 따르면, 정전척 전극 상에 배치되는 포커스 링과 절연부재 상에 배치되는 포커스 링을 분할 배치함으로써 절연부재의 열팽창에 의해 피처리 기판이 정전척 전극으로부터 이동되는 것을 방지할 수 있다. 따라서, 피처리 기판의 이동에 따른 정전척 전극의 이상 방전 및 피처리 기판의 버팅 현상을 방지할 수 있다.According to the present invention described above, by dividing the focus ring disposed on the electrostatic chuck electrode and the focus ring disposed on the insulating member, it is possible to prevent the target substrate from being moved from the electrostatic chuck electrode due to thermal expansion of the insulating member. . Therefore, it is possible to prevent abnormal discharge of the electrostatic chuck electrode and butting of the substrate to be processed according to the movement of the substrate to be processed.

본 발명의 기술적 효과들은 이상에서 언급한 것들로 제한되지 않으며, 언급되지 않은 또 다른 기술적 효과들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.The technical effects of the present invention are not limited to those mentioned above, and other technical effects not mentioned will be clearly understood by those skilled in the art from the description below.

도 1은 종래의 플라즈마 처리 장치를 나타낸 도면이다.
도 2은 종래의 절연부재 열팽창에 따른 포커스 링의 이동을 나타낸 도면이다.
도 3은 종래의 포커스 링 배치를 나타낸 도면이다.
도 4는 본 발명의 플라즈마 처리 장치를 나타낸 도면이다.
도 5는 본 발명의 제1 실시예에 따른 포커스 링을 나타낸 도면이다.
도 6은 본 발명의 제1 실시예에 따른 포커스 링의 이동을 나타낸 도면이다.
도 7은 본 발명의 제2 실시예에 따른 포커스 링을 나타낸 도면이다.
도 8은 본 발명의 제2 실시예에 따른 포커스 링의 이동을 나타낸 도면이다.
도 9는 본 발명의 제3 실시예에 따른 포커스 링을 나타낸 도면이다.
도 10은 본 발명의 제3 실시예에 따른 포커스 링의 이동을 나타낸 도면이다.
1 is a diagram showing a conventional plasma processing apparatus.
2 is a view illustrating movement of a focus ring according to thermal expansion of a conventional insulating member.
3 is a view showing a conventional focus ring arrangement.
4 is a diagram showing the plasma processing apparatus of the present invention.
5 is a view showing a focus ring according to a first embodiment of the present invention.
6 is a diagram showing the movement of the focus ring according to the first embodiment of the present invention.
7 is a view showing a focus ring according to a second embodiment of the present invention.
8 is a diagram illustrating movement of a focus ring according to a second embodiment of the present invention.
9 is a view showing a focus ring according to a third embodiment of the present invention.
10 is a diagram illustrating movement of a focus ring according to a third embodiment of the present invention.

본 발명은 다양한 변환을 가할 수 있고 여러 가지 실시 예를 가질 수 있는바, 특정 실시 예들을 도면에 예시하고 상세한 설명에 상세하게 설명하고자 한다. 그러나, 이는 본 발명을 특정한 실시 형태에 대해 한정하려는 것이 아니며, 본 발명의 사상 및 기술 범위에 포함되는 모든 변환, 균등물 내지 대체물을 포함하는 것으로 이해되어야 한다. 본 발명을 설명함에 있어서 관련된 공지 기술에 대한 구체적인 설명이 본 발명의 요지를 흐릴 수 있다고 판단되는 경우 그 상세한 설명을 생략한다.Since the present invention can apply various transformations and have various embodiments, specific embodiments will be illustrated in the drawings and described in detail in the detailed description. However, it should be understood that this is not intended to limit the present invention to specific embodiments, and includes all transformations, equivalents, and substitutes included in the spirit and scope of the present invention. In describing the present invention, if it is determined that a detailed description of related known technologies may obscure the gist of the present invention, the detailed description will be omitted.

이하, 본 발명에 따른 실시 예들을 첨부도면을 참조하여 상세히 설명하기로 하며, 첨부 도면을 참조하여 설명함에 있어, 동일하거나 대응하는 구성 요소는 동일한 도면번호를 부여하고 이에 대한 중복되는 설명은 생략하기로 한다.Hereinafter, embodiments according to the present invention will be described in detail with reference to the accompanying drawings. do it with

도 4는 본 발명의 플라즈마 처리 장치를 나타낸 도면이다.4 is a diagram showing the plasma processing apparatus of the present invention.

도 4를 참조하면, 본 발명에 따른 플라즈마 처리 장치의 챔버 몸체(1000)는 피처리 기판(101)에 대해 플라즈마 처리 공정을 수행하기 위한 환경을 조성하고 플라즈마가 생성 및 반응되는 공간을 제공한다. 이때, 챔버 몸체(1000)는 사각의 판면 형상을 갖는 피처리 기판(101)에 적합하도록 전체적으로 사각 형상을 가질 수 있다.Referring to FIG. 4 , the chamber body 1000 of the plasma processing apparatus according to the present invention creates an environment for performing a plasma processing process on a target substrate 101 and provides a space in which plasma is generated and reacted. At this time, the chamber body 1000 may have a rectangular shape as a whole to be suitable for the processing target substrate 101 having a rectangular plate shape.

챔버 몸체(1000)는 플라즈마 발생부(100), 프레임(200) 및 처리실(300)을 포함할 수 있다.The chamber body 1000 may include a plasma generator 100 , a frame 200 and a processing chamber 300 .

챔버 몸체(1000)는 프레임(200)에 의해 플라즈마 발생부(100)와 처리실(300)로 구분되며, 플라즈마 발생부(100) 내에는 고주파 안테나(110)가 배치되며, 처리실(300) 내에는 지지대부(310)가 배치된다.The chamber body 1000 is divided into a plasma generating unit 100 and a processing chamber 300 by a frame 200, a high frequency antenna 110 is disposed in the plasma generating unit 100, and a processing chamber 300 A support unit 310 is disposed.

플라즈마 발생부(100)의 고주파 안테나(110)는 제1 고주파전원(120)으로부터 고주파전력을 인가받아 처리실(300)에 플라즈마를 발생시키는 전기장을 유도하는 수단으로, 전체적으로 코일 형태의 구조를 갖으며, 고주파 안테나(110)의 형상, 개수 및 배치는 실시되는 공정에 따라 적절하게 선택될 수 있다. The high frequency antenna 110 of the plasma generating unit 100 receives high frequency power from the first high frequency power source 120 and induces an electric field for generating plasma in the processing chamber 300, and has a coil-shaped structure as a whole. , The shape, number and arrangement of the high frequency antennas 110 may be appropriately selected according to the process to be performed.

한편, 제1 고주파전원(120)으로부터 공급되는 고주파전력은 챔버 몸체(1000)의 상부에 마련된 제1 정합기(130)를 거쳐 플라즈마 발생부(100) 내에 배치된 전력 인입선(140)을 통해 고주파 안테나(110)에 인가된다. 이때, 제1 정합기(130)는 고주파 안테나(110)에 의한 부하 임피던스와 고주파 안테나(110)에 의해 발생되는 플라즈마에 의한 플라즈마 임피던스를 제1 고주파전원(120)의 내부 임피던스와 임피던스 매칭(Impedance matching)시켜 제1 고주파전원(120)으로부터 고주파 안테나(110)로 인가되는 전력의 손실을 최소화시킨다.On the other hand, the high frequency power supplied from the first high frequency power source 120 passes through the first matching device 130 provided on the upper part of the chamber body 1000 and the high frequency power through the power lead 140 disposed in the plasma generator 100. applied to the antenna 110. At this time, the first matching device 130 matches the load impedance by the high-frequency antenna 110 and the plasma impedance by the plasma generated by the high-frequency antenna 110 with the internal impedance of the first high-frequency power supply 120. matching) to minimize the loss of power applied from the first high frequency power source 120 to the high frequency antenna 110.

제1 고주파전원(120)으로부터 고주파 안테나(110)에 고주파전력이 인가되면 고주파 안테나(110)에서 발생되는 자기장에 의해 유도되는 전기장이 처리 가스와 반응하여 플라즈마를 발생시킨다. 고주파 안테나(110)의 자기장에 의해 유도된 전기장은 자기장에 의해 챔버 몸체(1000) 벽으로 손실되는 전기장을 감소시킬 수 있기 때문에 용량성 플라즈마 처리 장치에서 발생되는 전기장에 비해 고밀도 플라즈마를 발생시킬 수 있다.When high frequency power is applied to the high frequency antenna 110 from the first high frequency power source 120, an electric field induced by a magnetic field generated from the high frequency antenna 110 reacts with the process gas to generate plasma. Since the electric field induced by the magnetic field of the high-frequency antenna 110 can reduce the electric field lost to the wall of the chamber body 1000 by the magnetic field, high-density plasma can be generated compared to the electric field generated by the capacitive plasma processing device. .

이때, 축전전기장은 초기 플라즈마를 점화(Ignition) 시키기 위한 수단이지만, 스퍼티링(sputtering) 현상에 의해 플라즈마와 고주파 안테나(110) 사이에 배치된 유전체 창(210)을 손상시키고, 플라즈마의 균일도를 떨어뜨리는 등의 부정적인 영향을 미칠 수 있다. At this time, the capacitive electric field is a means for igniting the initial plasma, but the dielectric window 210 disposed between the plasma and the high frequency antenna 110 is damaged by the sputtering phenomenon, and the uniformity of the plasma is reduced. It can have negative effects such as falling.

이러한 부정적인 영향을 방지하기 위해, 고주파 안테나(110)와 유전체 창(210)의 간격을 조절하거나 고주파 안테나(110) 또는 유전체 창(210)의 형상 및 구조를 변경하여 유전체 창(210)에 미치는 축전전기장의 영향을 최소화할 수 있다. 이렇듯 유전체 창(210)에 미치는 축전전기장의 영향을 최소화함으로써 고주파전력에 의한 에너지를 유도성 결합으로 플라즈마에 더 효과적으로 전달하도록 할 수 있다.In order to prevent such a negative influence, the capacitance applied to the dielectric window 210 is adjusted by adjusting the distance between the high frequency antenna 110 and the dielectric window 210 or by changing the shape and structure of the high frequency antenna 110 or the dielectric window 210. The effect of the electric field can be minimized. As such, by minimizing the effect of the capacitive electric field on the dielectric window 210, energy by high-frequency power can be more effectively transferred to plasma through inductive coupling.

프레임(200)은 유전체 창(210)과 동일한 위치에 동일한 형상으로 유전체 창(210) 보다 작은 크기의 개구부(201)가 형성 될 수 있다. 유전체 창(210)은 프레임(200)의 개구부(201) 위치에 배치되고 프레임(200)에 의해 지지되며, 챔버 몸체(1000)의 상부에서 실질적으로 동일한 수평면 상에 구비된다.In the frame 200 , an opening 201 having a size smaller than that of the dielectric window 210 may be formed in the same location and shape as the dielectric window 210 . The dielectric window 210 is disposed at the position of the opening 201 of the frame 200, supported by the frame 200, and provided on substantially the same horizontal surface at the top of the chamber body 1000.

유전체 창(210)의 형상은 원, 타원, 삼각, 사각 중 어느 하나의 형상일 수 있으며, 바람직하게는 사각 형상으로 배치될 수 있다. 또한, 유전체 창(210)은 하나 이상의 개수로 분할된 형태를 가질 수 있으며, 4분할, 5분할, 6분할, 8분할, 9분할 및 그 이상으로 분할된 것 중 어느 하나인 형태일 수 있다.The shape of the dielectric window 210 may be any one of a circle, an ellipse, a triangle, and a square, and preferably may be arranged in a square shape. In addition, the dielectric window 210 may have a form divided into one or more numbers, and may be divided into any one of 4, 5, 6, 8, 9, and more divisions.

가스공급부(220)는 챔버 몸체(1000)의 상부에서 피처리 기판(101) 방향으로 향하여 가스를 분사하는 분출구(230)를 포함하며, 분출구(230)는 프레임(200)에 형성된 하나 이상의 구멍에 삽입 설치될 수 있다.The gas supply unit 220 includes an air outlet 230 for injecting gas from the upper portion of the chamber body 1000 toward the target substrate 101, and the air outlet 230 is provided through one or more holes formed in the frame 200. Insertion can be installed.

플라즈마 처리 장치가 대면적 챔버 몸체(1000)에 적용될 경우, 유전체 창(210) 및 프레임(200)은 다수개의 영역으로 구성될 수 있고, 그에 따라 분출구(230)도 하나 이상 구비될 수 있다.When the plasma processing apparatus is applied to the large-area chamber body 1000, the dielectric window 210 and the frame 200 may be composed of a plurality of regions, and accordingly, one or more jet outlets 230 may be provided.

처리실(300)하부에는 피처리 기판(101)을 지지하도록 배치되는 지지대부(310)가 포함되며, 지지대부(310)는 정전척 전극(320), 베이스 전극(330), 절연부재(340) 및 포커스 링(350)을 포함할 수 있다.A support unit 310 arranged to support the processing target substrate 101 is included in the lower portion of the processing chamber 300, and the support unit 310 includes the electrostatic chuck electrode 320, the base electrode 330, and the insulating member 340. and a focus ring 350 .

정전척 전극(320)은 피처리 기판(101)을 지지하는 동시에 기판을 고정하며, 기판의 온도를 유지시킨다. 피처리 기판(101)은 정전척 전극(320)의 전극면(321)에 안착되며, 피처리 기판(101)이 안착되는 전극면(321)을 제외한 외곽부는 전극면(321)이 돌출되도록 제1 외곽 홈(301)이 형성된다.The electrostatic chuck electrode 320 supports the substrate 101 to be processed, fixes the substrate, and maintains the temperature of the substrate. The substrate to be processed 101 is seated on the electrode surface 321 of the electrostatic chuck electrode 320, and the outer portion excluding the electrode surface 321 on which the substrate to be processed 101 is seated is provided so that the electrode surface 321 protrudes. 1 outer groove 301 is formed.

또한, 피처리 기판(101)이 안착되는 전극면(321)은 피처리 기판(101)의 크기보다 작은 크기를 갖도록 형성될 수 있다. 이는 피처리 기판(101)을 전극면(321)에 안착시, 피처리 기판(101)의 슬라이딩 또는 기구적인 미세 오차에 의해 피처리 기판(101)이 틀어져, 전극면(321)이 플라즈마 환경에 노출되는 것을 방지하기 위함이다. 즉, 피처리 기판(101)이 전극면(321)보다 큰 크기를 갖기 때문에 피처리 기판(101)은 전극면(321)에서 후술할 포커스 링(350)까지 연장되도록 배치될 수 있다. 또한, 플라즈마 방전으로 발생하는 열에 의해 포커스 링(350) 또는 절연부재(340)의 열팽창을 감안하여 포커스 링(350)을 피처리 기판(101)과 소정거리 이격되도록 배치될 수 있다.In addition, the electrode surface 321 on which the processing target substrate 101 is seated may be formed to have a size smaller than the size of the processing target substrate 101 . This is because when the substrate to be processed 101 is placed on the electrode surface 321, the substrate to be processed 101 is twisted due to the sliding of the substrate 101 or a mechanical minute error, so that the electrode surface 321 is exposed to the plasma environment. to prevent exposure. That is, since the substrate to be processed 101 has a larger size than the electrode surface 321 , the substrate to be processed 101 may be disposed to extend from the electrode surface 321 to a focus ring 350 to be described later. In addition, the focus ring 350 may be spaced apart from the target substrate 101 by a predetermined distance in consideration of thermal expansion of the focus ring 350 or the insulating member 340 due to heat generated by plasma discharge.

일반적으로 용량성 플라즈마 처리 장치는 플라즈마 밀도가 상대적으로 낮기 때문에 대면적 기판의 공정 중에도 기판의 온도 유지에 큰 문제가 발생되지 않는다. 하지만 상대적으로 높은 공정 온도를 이용하는 용량성 플라즈마 처리 장치는 높은 온도에 의해 기판이 휘어지게 되며, 이를 방지하기 위해 기판의 전면적을 고정시키기 위한 정전척(Electrostatic Chuck, ESC)을 사용한다. 따라서, 정전척 전극(320) 하부에는 정전척을 발생시키기 위한 HVDC(High Voltage DC)가 인가될 수 있다.In general, since the capacitive plasma processing apparatus has a relatively low plasma density, there is no problem in maintaining the temperature of the substrate even during processing of a large-area substrate. However, the capacitive plasma processing apparatus using a relatively high process temperature causes the substrate to bend due to the high temperature, and to prevent this, an electrostatic chuck (ESC) is used to fix the entire area of the substrate. Accordingly, high voltage DC (HVDC) may be applied to the lower portion of the electrostatic chuck electrode 320 to generate the electrostatic chuck.

또한, 정전척 전극(320)에는 헬륨가스를 이용하여 피처리 기판(101)의 열전달 효율을 높이고 온도 분포를 향상시킬 수 있는 헬륨 홀(323)이 형성될 수 있다. 헬륨 홀(323)은 피처리 기판(101)을 향하여 헬륨 가스를 분사하며, 온도 분포를 높이기 위해 피처리 기판(101)에 균일하게 분사되도록 형성될 수 있다.In addition, a helium hole 323 may be formed in the electrostatic chuck electrode 320 to increase heat transfer efficiency of the target substrate 101 and improve temperature distribution by using helium gas. The helium hole 323 sprays helium gas toward the target substrate 101 and may be formed to uniformly spray the helium gas to the target substrate 101 to increase temperature distribution.

베이스 전극(330)은 정전척 전극(320)의 하부에 배치될 수 있다.The base electrode 330 may be disposed below the electrostatic chuck electrode 320 .

베이스 전극(330)에는 정전척 전극(320)의 온도 분포를 제어하기 위한 냉각제 패턴(331)이 형성될 수 있다. 냉각제 패턴(331)은 냉각제 주입구(332) 및 냉각제 배출구(333)와 연결되어 있으며, 냉각제 주입구(332)를 통해 냉각제가 주입되면 냉각제는 베이스 전극(330)에 형성된 냉각제 패턴(331)을 따라 이동하여 정전척 전극(320)을 냉각시킨 후 냉각제 배출구(333)로 배출된다. 여기서, 냉각제 패턴(331)은 정전척 전극(320)의 온도 분포도를 향상시키기 위해 다양한 패턴으로 형성될 수 있다.A coolant pattern 331 for controlling temperature distribution of the electrostatic chuck electrode 320 may be formed on the base electrode 330 . The coolant pattern 331 is connected to the coolant inlet 332 and the coolant outlet 333, and when the coolant is injected through the coolant inlet 332, the coolant moves along the coolant pattern 331 formed on the base electrode 330. After cooling the electrostatic chuck electrode 320, the coolant is discharged through the coolant outlet 333. Here, the coolant pattern 331 may be formed in various patterns to improve the temperature distribution of the electrostatic chuck electrode 320 .

또한, 베이스 전극(330) 하부면에는 일단이 베이스 전극(330) 하부면과 연결되고, 타단이 제2 정합기(360)에 연결되어 제2 고주파전원(370)에 의해 바이어스 고주파전력을 정전척 전극(320)에 전달하는 연결부재(380)가 포함될 수 있다.In addition, on the lower surface of the base electrode 330, one end is connected to the lower surface of the base electrode 330 and the other end is connected to the second matching device 360 so that the bias high frequency power is applied by the second high frequency power source 370 to the electrostatic chuck. A connecting member 380 for transmitting to the electrode 320 may be included.

절연부재(340)는 정전척 전극(320)과 베이스 전극(330)의 하부 및 측면을 감싸도록 형성될 수 있다. 따라서, 정전척 전극(320)과 베이스 전극(330)의 측면은 절연부재(340)에 의해 처리실(300)에서 발생되는 플라즈마로부터 보호될 수 있다.The insulating member 340 may be formed to surround the bottom and side surfaces of the electrostatic chuck electrode 320 and the base electrode 330 . Accordingly, side surfaces of the electrostatic chuck electrode 320 and the base electrode 330 may be protected from plasma generated in the processing chamber 300 by the insulating member 340 .

포커스 링(350)은 지지대부(310) 상부에 배치될 수 있다. 좀 더 상세하게는, 포커스 링(350)은 정전척 전극(320)의 제1 외곽 홈(301)에서 정전척 전극(320)의 측면에 배치된 절연부재(340)의 상부면까지 배치될 수 있다. 또한, 포커스 링(350)은 정전척 전극(320)의 제1 외곽 홈(301) 상에 배치되되, 전극면(321)의 측면을 모두 감싸도록 배치될 수 있다.The focus ring 350 may be disposed above the support unit 310 . More specifically, the focus ring 350 may be disposed from the first outer groove 301 of the electrostatic chuck electrode 320 to the upper surface of the insulating member 340 disposed on the side of the electrostatic chuck electrode 320. there is. In addition, the focus ring 350 may be disposed on the first outer groove 301 of the electrostatic chuck electrode 320 and may be disposed to cover all side surfaces of the electrode surface 321 .

이때, 본 발명에 따른 포커스 링(350)은 정전척 전극(320) 상에 배치되되, 절연부재(340)에 비접촉되는 제1 포커스 링(351) 및 제1 포커스 링(351)과 접촉되되, 절연부재(340) 상에 배치된 제2 포커스 링(352)을 포함할 수 있다.At this time, the focus ring 350 according to the present invention is disposed on the electrostatic chuck electrode 320 and is in contact with the first focus ring 351 that is not in contact with the insulating member 340 and the first focus ring 351, A second focus ring 352 disposed on the insulating member 340 may be included.

도 5는 본 발명의 제1 실시예에 따른 포커스 링을 나타낸 도면이다.5 is a view showing a focus ring according to a first embodiment of the present invention.

도 6은 본 발명의 제1 실시예에 따른 포커스 링의 이동을 나타낸 도면이다.6 is a diagram showing the movement of the focus ring according to the first embodiment of the present invention.

도 5 및 도 6을 참조하면, 본 발명의 제1 실시예에 따른 포커스 링(350)은 피처리 기판(101)이 안착되는 제1 포커스 링(351) 및 제1 포커스 링(351)과 분할된 제2 포커스 링(352)을 포함할 수 있다.5 and 6 , the focus ring 350 according to the first embodiment of the present invention is divided from the first focus ring 351 on which the processing target substrate 101 is seated and the first focus ring 351. A second focus ring 352 may be included.

제1 포커스 링(351)은 정전척 전극(320)의 제1 외곽 홈(301) 내에 배치될 수 있다. 이때, 제1 포커스 링(351)은 절연부재(340)와 비접촉되도록 절연부재(340)와 소정거리 이격되어 배치될 수 있다.The first focus ring 351 may be disposed in the first outer groove 301 of the electrostatic chuck electrode 320 . In this case, the first focus ring 351 may be spaced apart from the insulating member 340 by a predetermined distance so as not to contact the insulating member 340 .

또한, 제1 포커스 링(351)의 상부 일측에는 제2 포커스 링(352)이 안착되도록 하는 제2 외곽 홈(302)이 형성될 수 있다. 여기서, 제2 외곽 홈(302)의 바닥면은 절연부재(340)의 상부면과 동일 평면을 이룸이 바람직하다.In addition, a second outer groove 302 may be formed on one upper side of the first focus ring 351 to allow the second focus ring 352 to be seated therein. Here, it is preferable that the bottom surface of the second outer groove 302 and the top surface of the insulating member 340 form the same plane.

제2 포커스 링(352)은 제1 포커스 링(351)에 형성된 제2 외곽 홈(302) 및 절연부재(340) 상에 안착될 수 있다. 즉, 제2 포커스 링(352)은 제2 외곽 홈(302)에서 절연부재(340) 상부면까지 연장되도록 배치될 수 있다.The second focus ring 352 may be seated on the second outer groove 302 formed in the first focus ring 351 and the insulating member 340 . That is, the second focus ring 352 may be disposed to extend from the second outer groove 302 to the upper surface of the insulating member 340 .

도 5에서와 같이 정전척 전극(320) 상에는 제1 포커스 링(351)만이 배치되고, 절연부재(340) 상에는 제2 포커스 링(352)만이 배치되도록 함으로써, 절연부재(340)가 플라즈마에 의해 발생된 열에 의해 열팽창 현상이 발생된다 하더라도 도 6에서와 같이, 분할된 제2 포커스 링(352)만이 상부 방향으로 이동되도록 할 수 있다. 즉, 피처리 기판(101)의 측면 하부에 배치된 제1 포커스 링(351)은 절연부재(340)와 비접촉되도록 배치되기 때문에, 절연부재(340)의 열팽창에 영향을 받지 않는다. 따라서, 절연부재(340)의 열팽창에 의해 피처리 기판(101)이 정전척 전극(320)으로부터 들어 올려져 정전척 전극(320) 상부면이 플라즈마에 노출되는 것을 방지할 수 있다.5, only the first focus ring 351 is disposed on the electrostatic chuck electrode 320 and only the second focus ring 352 is disposed on the insulating member 340, so that the insulating member 340 is formed by plasma. Even if a thermal expansion phenomenon occurs due to the generated heat, as shown in FIG. 6 , only the divided second focus ring 352 can be moved upward. That is, since the first focus ring 351 disposed below the side surface of the target substrate 101 is disposed to not contact the insulating member 340, it is not affected by thermal expansion of the insulating member 340. Therefore, it is possible to prevent the target substrate 101 from being lifted from the electrostatic chuck electrode 320 by the thermal expansion of the insulating member 340 and exposing the upper surface of the electrostatic chuck electrode 320 to plasma.

또한, 제1 실시예에 따른 포커스 링(350)은 제1 포커스 링(351)에 제2 외곽 홈(302)을 형성하여, 제2 포커스 링(352)을 제2 외곽 홈(302)에서부터 절연부재(340) 상부면까지 연장되도록 배치함으로써 정전척 전극(320)의 측면과 절연부재(340)의 측면 사이가 플라즈마에 노출되어 정전척 전극(320) 측면에서 이상 방전이 발생되는 것을 방지할 수 있다.Also, in the focus ring 350 according to the first embodiment, the second outer groove 302 is formed in the first focus ring 351 to insulate the second focus ring 352 from the second outer groove 302. By disposing the member 340 to extend to the upper surface, it is possible to prevent abnormal discharge from occurring on the side of the electrostatic chuck electrode 320 due to plasma exposure between the side surface of the electrostatic chuck electrode 320 and the side surface of the insulating member 340. there is.

더욱이, 제2 외곽 홈(302)의 바닥면과 절연부재(340)의 상부면이 동일 평면이 되도록 형성함으로써 제1 포커스 링(351) 및 절연부재(340)에 안착되는 제2 포커스 링(352)의 두께를 감소시킬 수 있다. 따라서, 포커스 링(350)을 제조하기 위한 제조비용을 절감할 수 있으며, 감소된 두께에 의해 제2 포커스 링(352)에 축적되는 열을 감소시킬 수 있는 효과를 가질 수 있다.Furthermore, the second focus ring 352 seated on the first focus ring 351 and the insulating member 340 is formed so that the bottom surface of the second outer groove 302 and the top surface of the insulating member 340 are on the same plane. ) can be reduced. Accordingly, manufacturing costs for manufacturing the focus ring 350 can be reduced, and heat accumulated in the second focus ring 352 can be reduced due to the reduced thickness.

도 7은 본 발명의 제2 실시예에 따른 포커스 링을 나타낸 도면이다.7 is a view showing a focus ring according to a second embodiment of the present invention.

도 8은 본 발명의 제2 실시예에 따른 포커스 링의 이동을 나타낸 도면이다.8 is a diagram illustrating movement of a focus ring according to a second embodiment of the present invention.

도 7 및 도 8을 참조하면, 본 발명의 제2 실시예에 따른 포커스 링(350)은 제1 포커스 링(351)과 제2 포커스 링(352)이 접촉되는 부위를 제외하고는 제1 실시예에 따른 포커스 링(350)과 동일한 구성 및 배치를 가질 수 있다.Referring to FIGS. 7 and 8 , the focus ring 350 according to the second embodiment of the present invention, except for the contact portion between the first focus ring 351 and the second focus ring 352, is similar to the first embodiment. It may have the same configuration and arrangement as the focus ring 350 according to the example.

일예로, 정전척 전극(320)의 제1 외곽 홈(301) 내에는 분할된 제1 포커스 링(351)만이 배치될 수 있으며, 제1 포커스 링(351)은 절연부재(340)와 비접촉되도록 절연부재(340)와 소정거리 이격되어 배치될 수 있다. 또한, 절연부재(340) 상부면에는 제1 포커스 링(351)에서부터 절연부재(340) 상부면까지 연장된 제2 포커스 링(352)이 배치될 수 있다.For example, only the divided first focus ring 351 may be disposed in the first outer groove 301 of the electrostatic chuck electrode 320, and the first focus ring 351 does not contact the insulating member 340. It may be disposed spaced apart from the insulating member 340 by a predetermined distance. In addition, a second focus ring 352 extending from the first focus ring 351 to the upper surface of the insulating member 340 may be disposed on the upper surface of the insulating member 340 .

다만, 제1 포커스 링(351) 상부 일측에는 제2 포커스 링(352)이 제1 포커스 링(351) 상에 경사지게 안착되도록 경사면(303)이 형성될 수 있다. 즉, 제1 포커스 링(351)의 경사면(303)과 접하는 제2 포커스 링(352)의 일측에도 경사면(303)과 대응되는 경사면을 형성함으로써, 제2 포커스 링(352)은 제1 포커스 링(351) 상에 경사지게 안착될 수 있다.However, an inclined surface 303 may be formed on one upper side of the first focus ring 351 so that the second focus ring 352 is inclinedly seated on the first focus ring 351 . That is, by forming an inclined surface corresponding to the inclined surface 303 on one side of the second focus ring 352 that is in contact with the inclined surface 303 of the first focus ring 351, the second focus ring 352 is formed on the first focus ring. It can be seated obliquely on (351).

제2 포커스 링(352)을 제1 포커스 링(351) 상에 경사지게 안착되도록 함으로써, 제2 포커스 링(352)의 이동시 제1 포커스 링(351)과의 간섭을 최소화할 수 있다.Interference with the first focus ring 351 may be minimized when the second focus ring 352 is moved by tilting the second focus ring 352 to be seated on the first focus ring 351 .

일예로, 절연부재(340)의 열팽창에 의해 제2 포커스 링(352)이 상부 방향으로 이동되더라도 도 6에서와 같이, 제2 포커스 링(352)의 이동시 제1 포커스 링(351)과의 간섭을 최소화 할 수 있다. 즉, 제2 포커스 링(352)이 상부 방향으로 이동될 때, 제1 포커스 링(351)과의 간섭을 최소화할 수 있기 때문에 제2 포커스 링(352)의 이동에 의해 제1 포커스 링(351)의 배치가 틀어지는 것을 방지할 수 있다.For example, even when the second focus ring 352 moves upward due to thermal expansion of the insulating member 340, interference with the first focus ring 351 occurs when the second focus ring 352 moves, as shown in FIG. 6. can be minimized. That is, when the second focus ring 352 moves upward, interference with the first focus ring 351 can be minimized. ) can be prevented from being distorted.

도 9는 본 발명의 제3 실시예에 따른 포커스 링을 나타낸 도면이다.9 is a view showing a focus ring according to a third embodiment of the present invention.

도 10은 본 발명의 제3 실시예에 따른 포커스 링의 이동을 나타낸 도면이다.10 is a diagram illustrating movement of a focus ring according to a third embodiment of the present invention.

도 9 및 도 10을 참조하면, 본 발명의 제3 실시예에 따른 포커스 링(350)은 제1 포커스 링(351) 및 제2 포커스 링(352)이 분할되어 배치되되, 정전척 전극(320)의 제1 외곽 홈(301) 상에 제1 포커스 링(351) 및 제2 포커스 링(352)이 배치될 수 있다.9 and 10, in the focus ring 350 according to the third embodiment of the present invention, the first focus ring 351 and the second focus ring 352 are divided and disposed, and the electrostatic chuck electrode 320 The first focus ring 351 and the second focus ring 352 may be disposed on the first outer groove 301 of ).

일예로, 제1 포커스 링(351)은 제1 외곽 홈(301)의 크기보다 작게 형성되어, 제1 외곽 홈(301) 내측에 배치되고, 제2 포커스 링(352)은 제1 외곽 홈(301)에서부터 절연부재(340)까지 연장되도록 배치될 수 있다. 즉, 제1 포커스 링(351)은 피처리 기판(101)의 측면 하부에 배치되되, 절연부재(340)와는 비접촉되도록 배치되고, 제2 포커스 링(352)은 정전척 전극(320) 및 절연부재(340) 상에 배치되되, 피처리 기판(101)과 이격되도록 배치될 수 있다.For example, the first focus ring 351 is formed smaller than the size of the first outer groove 301 and is disposed inside the first outer groove 301, and the second focus ring 352 is formed in the first outer groove ( 301 to the insulating member 340. That is, the first focus ring 351 is disposed below the side surface of the substrate 101 to be processed, but is disposed so as not to contact the insulating member 340, and the second focus ring 352 is disposed to insulate the electrostatic chuck electrode 320 and the insulation member 340. It is disposed on the member 340 and may be disposed to be spaced apart from the processing target substrate 101 .

일예로, 제1 포커스 링과 제2 포커스 링은 단면이 모두 사각형태를 가질 수 있다. 따라서, 포커스 링(350)을 제1 포커스 링(351)과 제2 포커스 링(352)으로 분할하더라도, 분할된 포커스 링의 형태를 단순화할 수 있기 때문에 포커스 링(350)의 제조가 간단하고 제조 시간을 단축시킬 수 있다.For example, cross sections of the first focus ring and the second focus ring may both have a rectangular shape. Therefore, even if the focus ring 350 is divided into the first focus ring 351 and the second focus ring 352, the shape of the divided focus ring can be simplified, so that the focus ring 350 can be manufactured easily and manufactured. can shorten the time.

상술한 바와 같이, 본 발명에 따른 플라즈마 처리 장치는 포커스 링(350)을 제1 포커스 링(351) 및 제2 포커스 링(352)으로 분할하되, 분할된 제1 포커스 링(351)을 절연부재(340)와 비접촉되도록 하고, 절연부재(340) 상에는 제2 포커스 링(352)만이 배치되도록 하여 절연부재(340)의 열팽창에 의해 피처리 기판(101)이 정전척 전극(320)으로부터 이동되는 것을 방지할 수 있다. 따라서, 피처리 기판(101)의 이동에 따른 정전척 전극(320)의 이상 방전 및 피처리 기판(101)의 버닝 현상을 방지할 수 있다.As described above, in the plasma processing apparatus according to the present invention, the focus ring 350 is divided into a first focus ring 351 and a second focus ring 352, and the divided first focus ring 351 is used as an insulating member. 340 and only the second focus ring 352 is disposed on the insulating member 340 so that the target substrate 101 is moved from the electrostatic chuck electrode 320 by the thermal expansion of the insulating member 340. that can be prevented Accordingly, abnormal discharge of the electrostatic chuck electrode 320 and burning of the substrate 101 due to movement of the substrate 101 may be prevented.

한편, 본 명세서와 도면에 개시된 본 발명의 실시 예들은 이해를 돕기 위해 특정 예를 제시한 것에 지나지 않으며, 본 발명의 범위를 한정하고자 하는 것은 아니다. 여기에 개시된 실시 예들 이외에도 본 발명의 기술적 사상에 바탕을 둔 다른 변형 예들이 실시 가능하다는 것은, 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자에게 자명한 것이다.On the other hand, the embodiments of the present invention disclosed in this specification and drawings are only presented as specific examples to aid understanding, and are not intended to limit the scope of the present invention. It is obvious to those skilled in the art that other modified examples based on the technical idea of the present invention can be implemented in addition to the embodiments disclosed herein.

1000 : 챔버 몸체 100 : 플라즈마 발생부
110 : 고주파 안테나 200 : 프레임
210 : 유전체 창 220 : 가스공급부
230 : 분출구 300 : 처리실
301 : 제1 외곽 홈 302 : 제2 외곽 홈
303 : 경사면 310 : 지지대부
320 : 정전척 전극 321 : 전극면
330 : 베이스 전극부 340 : 절연부재
350 : 포커스 링 351 : 제1 포커스 링
352 : 제2 포커스 링
1000: chamber body 100: plasma generator
110: high-frequency antenna 200: frame
210: dielectric window 220: gas supply unit
230: outlet 300: treatment room
301: first outer home 302: second outer home
303: slope 310: support
320: electrostatic chuck electrode 321: electrode surface
330: base electrode part 340: insulating member
350: focus ring 351: first focus ring
352: second focus ring

Claims (11)

챔버 몸체;
상기 챔버 몸체에 의해 제공되고, 수용된 피처리 기판의 플라즈마 처리가 이루어지는 처리실;
상기 처리실 내에 플라즈마를 발생시키는 플라즈마 발생부;
상기 처리실과 상기 플라즈마 발생부 사이에 배치된 프레임;
상기 처리실 내에 상기 피처리 기판을 지지하는 정전척 전극과 상기 정전척 전극의 측면을 감싸는 절연부재가 배치된 지지대부;
상기 지지대부 측면 상부를 감싸도록 배치된 포커스 링(Focus ring)을 포함하고,
상기 포커스 링은,
상기 정전척 전극 상에 배치되되, 상기 절연부재에 비접촉되는 제1 포커스 링; 및
상기 제1 포커스 링과 접촉되되, 상기 절연부재 상에 배치된 제2 포커스 링을 포함하는 플라즈마 처리 장치.
chamber body;
a processing chamber provided by the chamber body and in which plasma processing of the received substrate is performed;
a plasma generating unit generating plasma within the processing chamber;
a frame disposed between the processing chamber and the plasma generator;
a support unit in which an electrostatic chuck electrode supporting the processing target substrate and an insulating member surrounding a side surface of the electrostatic chuck electrode are disposed in the processing chamber;
A focus ring disposed to surround an upper portion of a side surface of the support unit;
The focus ring,
a first focus ring disposed on the electrostatic chuck electrode and not in contact with the insulating member; and
and a second focus ring contacting the first focus ring and disposed on the insulating member.
제1항에 있어서,
상기 피처리 기판은 상기 정전척 전극의 전극면 및 상기 제1 포커스 링에 상에 배치되는 것인 플라즈마 처리 장치.
According to claim 1,
The substrate to be processed is disposed on an electrode surface of the electrostatic chuck electrode and the first focus ring.
제1항에 있어서,
상기 하부 전극의 외곽 부위는 상기 포커스 링이 안착되도록 제1 외곽 홈을 포함하되,
상기 제1 외곽 홈에는 상기 제1 포커스 링이 안착되는 것인 플라즈마 처리 장치.
According to claim 1,
An outer portion of the lower electrode includes a first outer groove in which the focus ring is seated,
The plasma processing apparatus of claim 1 , wherein the first focus ring is seated in the first outer groove.
제3항에 있어서,
상기 제1 포커스 링의 상부 일측은 상기 제2 포커스 링이 안착되도록 제2 외곽 홈을 포함하는 플라즈마 처리 장치.
According to claim 3,
The plasma processing apparatus of claim 1 , wherein an upper side of the first focus ring includes a second outer groove in which the second focus ring is seated.
제4항에 있어서,
상기 제2 외곽 홈의 바닥면은 상기 절연부재의 상부면과 동일 평면을 갖는 것인 플라즈마 처리 장치.
According to claim 4,
The plasma processing apparatus of claim 1 , wherein a bottom surface of the second outer groove has the same plane as an upper surface of the insulating member.
제4항에 있어서,
상기 제2 포커스 링은 상기 제2 외곽 홈 및 상기 절연부재 상에 안착되는 것인 플라즈마 처리 장치.
According to claim 4,
The second focus ring is seated on the second outer groove and the insulating member.
제3항에 있어서,
상기 제1 포커스 링의 상부 일측은 상기 제2 포커스 링이 안착되는 경사면을 포함하는 플라즈마 처리 장치.
According to claim 3,
An upper side of the first focus ring includes an inclined surface on which the second focus ring is seated.
제7항에 있어서,
상기 제2 포커스 링은 상기 경사면 및 상기 절연부재 상에 안착되는 것인 플라즈마 처리 장치.
According to claim 7,
The second focus ring is seated on the inclined surface and the insulating member.
제1항에 있어서,
상기 하부 전극의 외곽 부위는 상기 포커스 링이 안착되도록 제1 외곽 홈을 포함하되,
상기 제1 외곽 홈에는 상기 제1 포커스 링 및 상기 제2 포커스 링이 안착되는 것인 플라즈마 처리 장치.
According to claim 1,
An outer portion of the lower electrode includes a first outer groove in which the focus ring is seated,
The plasma processing apparatus of claim 1 , wherein the first focus ring and the second focus ring are seated in the first outer groove.
제9항에 있어서,
상기 제1 포커스 링은 상기 절연부재와 비접촉되는 것인 플라즈마 처리 장치.
According to claim 9,
The plasma processing apparatus of claim 1 , wherein the first focus ring does not contact the insulating member.
제9항에 있어서,
상기 제2 포커스 링은 상기 제1 외곽 홈 및 상기 절연부재 상에 안착되는 것인 플라즈마 처리 장치.
According to claim 9,
The second focus ring is seated on the first outer groove and the insulating member.
KR1020210088235A 2021-07-06 2021-07-06 Plasma Processing Device KR102572318B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020210088235A KR102572318B1 (en) 2021-07-06 2021-07-06 Plasma Processing Device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020210088235A KR102572318B1 (en) 2021-07-06 2021-07-06 Plasma Processing Device

Publications (2)

Publication Number Publication Date
KR20230007643A true KR20230007643A (en) 2023-01-13
KR102572318B1 KR102572318B1 (en) 2023-08-29

Family

ID=84900173

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210088235A KR102572318B1 (en) 2021-07-06 2021-07-06 Plasma Processing Device

Country Status (1)

Country Link
KR (1) KR102572318B1 (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110077575A (en) 2009-12-30 2011-07-07 주식회사 탑 엔지니어링 Focus ring of plasma processing apparatus and plasma processing apparatus having the same
KR101676875B1 (en) * 2009-03-27 2016-11-29 도쿄엘렉트론가부시키가이샤 Plasma processing apparatus
JP2019208025A (en) * 2018-05-28 2019-12-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Process kit having adjustable tuning ring for end uniformity control

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101676875B1 (en) * 2009-03-27 2016-11-29 도쿄엘렉트론가부시키가이샤 Plasma processing apparatus
KR20110077575A (en) 2009-12-30 2011-07-07 주식회사 탑 엔지니어링 Focus ring of plasma processing apparatus and plasma processing apparatus having the same
JP2019208025A (en) * 2018-05-28 2019-12-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Process kit having adjustable tuning ring for end uniformity control

Also Published As

Publication number Publication date
KR102572318B1 (en) 2023-08-29

Similar Documents

Publication Publication Date Title
KR101094123B1 (en) Apparatus and method for improving etch rate uniformity
US10595365B2 (en) Chamber lid heater ring assembly
US8607731B2 (en) Cathode with inner and outer electrodes at different heights
US7837825B2 (en) Confined plasma with adjustable electrode area ratio
US11195704B2 (en) Pedestal assembly for plasma processing apparatus
KR102111504B1 (en) Substrate processing apparatus and method
JP2012015514A (en) Movable ground ring for plasma processing chamber
KR102053792B1 (en) Plasma processing apparatus
KR102093559B1 (en) Plasma Processing Apparatus
KR101232198B1 (en) Plasma generating unit, apparatus and method for treating substrate using plasma
KR20180052535A (en) Plasma Processing Apparatus
KR102572318B1 (en) Plasma Processing Device
KR20140073687A (en) Substrate supporting unit and substrate treating apparatus including the unit
KR102358480B1 (en) Large Area Dry Etching Device
KR101097386B1 (en) Plasma reactor having remote plasma generator and supportor
KR20110032374A (en) Plasma reactor having multi-plasma area
KR102484268B1 (en) Plasma Processing Device
KR102299194B1 (en) Hybrid Plasma Processing Device
KR101277503B1 (en) Plasma processing apparatus and plasma processing method
US20200381282A1 (en) Methods and apparatus for reducing high voltage arcing in semiconductor process chambers
KR102171460B1 (en) Substrate Processing apparatus having Windows Heating System
CN113725059A (en) Lower electrode assembly, mounting method thereof and plasma processing device
JP7329131B2 (en) Plasma processing apparatus and plasma processing method
KR102679639B1 (en) Plasma processing device and plasma processing method
KR20240054905A (en) Dry Etching Device

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right