KR20230007506A - 실시간 웨이퍼 척킹 검출을 위한 장치 및 방법들 - Google Patents

실시간 웨이퍼 척킹 검출을 위한 장치 및 방법들 Download PDF

Info

Publication number
KR20230007506A
KR20230007506A KR1020227043004A KR20227043004A KR20230007506A KR 20230007506 A KR20230007506 A KR 20230007506A KR 1020227043004 A KR1020227043004 A KR 1020227043004A KR 20227043004 A KR20227043004 A KR 20227043004A KR 20230007506 A KR20230007506 A KR 20230007506A
Authority
KR
South Korea
Prior art keywords
substrate
purge
support
capacitance
electrodes
Prior art date
Application number
KR1020227043004A
Other languages
English (en)
Inventor
테자스 울라비
아르카프라바 단
마이크 머타그
산지브 발루자
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20230007506A publication Critical patent/KR20230007506A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R27/00Arrangements for measuring resistance, reactance, impedance, or electric characteristics derived therefrom
    • G01R27/02Measuring real or complex resistance, reactance, impedance, or other two-pole characteristics derived therefrom, e.g. time constant
    • G01R27/26Measuring inductance or capacitance; Measuring quality factor, e.g. by using the resonance method; Measuring loss factor; Measuring dielectric constants ; Measuring impedance or related variables
    • G01R27/2605Measuring capacitance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)

Abstract

기판 지지부들, 기판 지지 조립체들 및 기판 지지부들을 사용하는 방법들이 설명된다. 기판 지지부는 적어도 2개의 전극들을 갖는 지지 표면 및 밀봉 밴드에 의해 한정된 복수의 퍼지 채널들을 갖는다. 전력 공급부가 정전 척으로서 구성된 전극에 연결된다. 기판의 척킹 상태를 결정하기 위해 기판 지지부 상에 있는 동안 기판의 커패시턴스가 측정된다.

Description

실시간 웨이퍼 척킹 검출을 위한 장치 및 방법들
[0001] 본 개시내용의 실시예들은 일반적으로, 기판들을 프로세싱하기 위한 장치 및 방법들에 관한 것이다. 특히, 본 개시내용의 실시예들은 하나 이상의 기판 지지 표면들 상의 웨이퍼 척킹(wafer chucking)의 실시간 결정 및 모니터링을 위한 장치 및 방법들에 관한 것이다.
[0002] 일부 배치 프로세싱 시스템들에서, 다수의 기판들이 프로세싱 챔버 내에서 고속으로 이동된다. 기판의 가속들 및 감속들은 종종 기판의 오정렬로 이어진다. 일부 다중-웨이퍼 공간 ALD(atomic layer deposition) 프로세싱 도구들에서, 웨이퍼들은 60 사이클들 이상, 잠재적으로 수백 사이클들까지 2.5g의 피크 가속으로 각각의 1/2 사이클 동안 프로세싱 스테이션들 사이를 이동한다.
[0003] 기판을 고정된 포지션에 홀딩하기 위해, 기판들은 기판 지지부에 정전기적으로 척킹될 수 있다. 그러나 현대의 배치 프로세싱 챔버들의 극단적인 속도 변화들은 기판 지지부 상에서의 기판의 움직임 및 결국 척킹의 손실로 이어질 수 있다.
[0004] 여러 반도체 프로세스 흐름들에서, 공간 ALD 챔버는 기판들 상에 전도성 막들을 증착하는 데 사용된다. 이들 막들에 대한 전구체 화학물(precursor chemistry)들이 불충분하게 퍼징될 때, 잔류 전구체 종들은 기판 지지부 상에서 반응하여 전도성 막을 형성할 수 있으며, 이는 지지부의 정전 척킹 성능을 저하시킬 수 있다.
[0005] 부가적으로, 다수의 프로세싱 시스템들 및 프로세싱 도구들은 매우 타이트한 공간 요건들로 동작한다. 예컨대, 다중 웨이퍼 ALD 챔버는 기판 표면과 가스 분배 시스템 사이를 0.8 내지 3mm로 프로세싱할 수 있다. 이러한 작은 공간들은 프로세스 볼륨을 감소시킴으로써 화학물 소비를 최소화하고 ALD 사이클 시간 및 퍼지 시간을 최소화하고 처리량을 최대화한다.
[0006] 여러 반도체 프로세스 흐름들은 평평한 기판과 대조적으로, 0.2-0.8mm 또는 더 큰 휨(bow)을 갖는 기판을 수반한다. 가까운 간격의 프로세싱 이전에 기판이 평평해지지 않는 경우, 기판 지지부와 접촉하는 기판의 표면 영역이 작으며(low), 이는 감소된 척킹력 및 또한, 기판의 고르지 않은 가열로 이어질 수 있다.
[0007] 웨이퍼 척킹의 손실은 챔버 컴포넌트들 상의 심각한 장애들로 이어지고 상당한 생산성 손실을 초래할 수 있다. 척킹의 손실은 웨이퍼가 기판 지지부로부터의 엄청난 힘으로 튕겨 나갈 수 있다. 웨이퍼는 프로세싱 챔버 내의 임의의 수의 컴포넌트들에 부딪혀 손상을 야기할 수 있다. 프로세스 챔버는 수리될 필요가 있을 것이고 기판이 손실될 것이다.
[0008] 따라서, 프로세싱 동안 웨이퍼의 척킹 상태를 모니터링하기 위한 장치 및 방법들이 당업계에 필요하다.
[0009] 본 개시내용의 하나 이상의 실시예들은 지지 포스트에 연결된 바디를 포함하는 기판 지지부들에 관한 것이다. 바디는 지지 표면 및 최하부 표면을 갖는다. 지지 표면과 최하부 표면 사이의 거리는 바디의 두께를 정의한다. 지지 표면은 바디 내로 일정한 거리만큼 연장되는 복수의 퍼지 채널들을 갖는다. 복수의 퍼지 채널들은 밀봉 밴드에 의해 한정된다. 바디는 기판을 지지 표면에 정전기적으로 척킹하도록 구성된 적어도 2개의 전극들을 포함한다. 지지 포스트는 바디의 최하부 표면에 연결된다. 퍼지 라인은 퍼지 채널들과 유체 연통한다. 전력 공급부가 전극들에 연결된다. 제어기는 전극들에 연결되고, 지지 표면 상의 기판의 커패시턴스를 측정하도록 구성된다.
[0010] 본 개시내용의 부가적인 실시예들은 회전 축을 중심으로 회전가능한 중앙 허브를 포함하는 기판 지지 조립체들에 관한 것이다. 복수의 기판 지지부들이 회전 축으로부터 일정 거리에 포지셔닝된다. 기판 지지부들 각각은 지지 표면 및 최하부 표면을 포함한다. 지지 표면과 최하부 표면 사이의 거리는 바디의 두께를 정의한다. 지지 표면은 바디 내로 일정한 거리만큼 연장되는 복수의 퍼지 채널들을 갖는다. 복수의 퍼지 채널들은 밀봉 밴드에 의해 한정된다. 바디는 기판을 지지 표면에 정전기적으로 척킹하도록 구성된 적어도 2개의 전극들을 포함한다. 지지 포스트는 바디의 최하부 표면에 연결된다. 퍼지 라인은 퍼지 채널들과 유체 연통한다. 전력 공급부가 전극들에 연결된다. 제어기는 전극들에 연결되고, 지지 표면 상의 기판의 커패시턴스를 측정하도록 구성된다.
[0011] 본 개시내용의 추가 실시예들은 프로세싱 방법들에 관한 것이며, 이 방법들은 기판 지지부의 바디의 지지 표면에 형성된 복수의 퍼지 채널들 내로 퍼지 가스의 흐름을 제공하는 단계를 포함한다. 복수의 퍼지 채널들은 밀봉 밴드에 의해 한정된다. 기판 지지부 상에는 기판이 포지셔닝되고, 가스 흐름은 밀봉 밴드를 통해 누출된다. 기판 지지부의 바디에 형성된 적어도 2개의 전극들은 기판을 지지 표면에 정전기적으로 척킹하기 위해 적어도 2개의 전극들 사이에 전압 차이가 있도록 분극된다. 기판이 척킹되는지 여부를 결정하기 위해 기판 지지부 상의 기판의 커패시턴스가 측정된다.
[0012] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0013] 도 1은 본 개시내용의 하나 이상의 실시예에 따른 프로세싱 챔버의 등각 단면도를 도시한다.
[0014] 도 2는 본 개시내용의 하나 이상의 실시예에 따른 프로세싱 챔버의 단면도를 도시한다.
[0015] 도 3은 본 개시내용의 하나 이상의 실시예에 따른 프로세싱 스테이션의 분해 단면도이다.
[0016] 도 4는 본 개시내용의 하나 이상의 실시예에 따른 프로세싱 플랫폼의 개략적 표현이다.
[0017] 도 5는 본 개시내용의 하나 이상의 실시예에 따른 기판 지지부의 개략도이다.
[0018] 도 6은 본 개시내용의 하나 이상의 실시예에 따른 기판 지지부의 부분 단면 개략도이다.
[0019] 도 6a는 본 개시내용의 하나 이상의 실시예에 따른 기판 지지부의 부분 단면 개략도이다.
[0020] 도 7은 본 개시내용의 하나 이상의 실시예에 따른 정전 척 및 전력 시스템의 개략도이다.
[0021] 도 8은 본 개시내용의 하나 이상의 실시예에 따라 기판 지지부를 통한 후방 측 퍼지 가스 흐름의 부분 단면 개략도이다.
[0022] 도 9는 본 개시내용의 하나 이상의 실시예에 따라 프로세싱 챔버 및 후방 측 압력 흐름 제어 시스템의 개략적 단면도이다.
[0023] 도 10은 본 개시내용의 하나 이상의 실시예에 따라 기판이 척킹되고 평평한지를 결정하는 방법에 대한 흐름도이다.
[0024] 도 11은 본 개시내용의 하나 이상의 실시예에 따라 기판이 디척킹(de-chucked)되었는지를 결정하는 방법에 대한 흐름도이다.
[0025] 본 개시내용의 여러 예시적인 실시예들을 설명하기 전에, 본 개시내용이 다음의 설명에서 제시되는 구성 또는 프로세스 단계들의 세부사항들로 제한되지 않는다는 것이 이해되어야 한다. 본 개시내용은 다른 실시예들이 가능하며, 다양한 방식들로 실시 또는 수행될 수 있다.
[0026] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "기판"이라는 용어는 프로세스가 작용하는, 표면, 또는 표면의 부분을 지칭한다. 또한, 문맥상 명확하게 달리 표시되지 않는 한, 기판에 대한 지칭은 또한, 기판의 일부만을 지칭할 수 있다는 것이 당업자에 의해 이해될 것이다. 부가적으로, 기판 상의 증착에 대한 지칭은 베어(bare) 기판, 및 하나 이상의 막들 또는 피처들이 상부에 증착 또는 형성된 기판 둘 모두를 의미할 수 있다.
[0027] 본원에서 사용되는 바와 같은 "기판"은 제조 프로세스 동안 막 프로세싱이 수행되는 임의의 기판 또는 기판 상에 형성된 재료 표면을 지칭한다. 예컨대, 프로세싱이 수행될 수 있는 기판 표면은, 애플리케이션에 따라, 재료들, 이를테면 실리콘, 실리콘 산화물, 스트레인드 실리콘(strained silicon), SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물들, 비정질 실리콘, 도핑된 실리콘, 게르마늄, 갈륨 비화물, 유리, 사파이어, 및 임의의 다른 재료들, 이를테면 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 재료들을 포함한다. 기판들은 반도체 웨이퍼들을 포함한다(이에 제한되지는 않음). 기판들은 기판 표면을 폴리싱, 에칭, 환원, 산화, 히드록실화, 어닐링, UV 경화, e-빔 경화, 및/또는 베이킹하기 위한 전처리 프로세스에 노출될 수 있다. 기판의 표면 자체에 대한 직접적인 막 프로세싱에 부가하여, 본 개시내용에서, 개시되는 막 프로세싱 단계들 중 임의의 단계는 또한, 아래에서 더 상세히 개시되는 바와 같이 기판 상에 형성된 하층에 대해 수행될 수 있고, "기판 표면"이라는 용어는, 문맥상 표시되는 바와 같이, 그러한 하층을 포함하도록 의도된다. 따라서, 예컨대, 막/층 또는 부분적인 막/층이 기판 표면 상에 증착된 경우, 새롭게 증착된 막/층의 노출된 표면이 기판 표면이 된다.
[0028] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "전구체", "반응물", "반응성 가스" 등이라는 용어들은, 기판 표면, 또는 기판 표면 상에 형성된 막과 반응할 수 있는 임의의 가스성 종을 지칭하기 위해 상호 교환 가능하게 사용된다.
[0029] 본 개시내용의 하나 이상의 실시예들은 유리하게는 웨이퍼 편평도, 척킹력, 척 표면 조건들, 세정 종점 모니터링 등의 실시간 검출을 제공한다. 일부 실시예들은 유리하게는 배치 프로세싱 챔버들에서 웨이퍼 핸들링 견고성을 개선하기 위한 센서들을 제공한다. 본 개시내용의 일부 실시예들은 웨이퍼 척킹 검출의 감도를 개선하기 위해 커패시턴스 센서들 및 후방 측 압력 측정들의 조합을 제공한다. 일부 실시예들은 웨이퍼 평탄도의 검출 및/또는 실시간 척킹/디척킹 검출을 허용한다.
[0030] 본 개시내용의 일부 실시예들은 웨이퍼가 평평하게 척킹되었는지를 검출하기 위해 웨이퍼 BSP(back-side pressure) 제어를 사용한다. 일부 실시예들은 웨이퍼의 척킹력을 측정하기 위해 BSP를 사용한다.
[0031] 본 개시내용의 하나 이상의 실시예들은 단일 센서 어레인지먼트에 의해 모니터링될 수 없는 조건들을 검출하기 위해 정전 척 커패시턴스 및 후방 측 압력 측정들 둘 모두를 결합한다. 본 개시내용의 일부 실시예들은 척킹 성능의 열화 또는 페데스탈 표면 오염을 검출하기 위한 장치 및 방법들을 제공한다.
[0032] 본 개시내용은 단일 웨이퍼 또는 다중 웨이퍼(배치(batch)로서 또한 지칭됨) 프로세스 챔버들과 함께 사용하기 위한 기판 지지부들을 제공한다. 도 1 및 도 2는 본 개시내용의 하나 이상의 실시예에 따른 프로세싱 챔버(100)를 예시한다. 도 1은 본 개시내용의 하나 이상의 실시예에 따라, 등각 단면도로서 예시된 프로세싱 챔버(100)를 도시한다. 도 2는 본 개시내용의 하나 이상의 실시예에 따른 프로세싱 챔버(100)를 단면으로 도시한다. 따라서, 본 개시내용의 일부 실시예들은 기판 지지부(200)를 포함하는 프로세싱 챔버들(100)에 관한 것이다.
[0033] 프로세싱 챔버(100)는, 벽들(104) 및 최하부(106)를 갖는 하우징(102)을 갖는다. 하우징(102)은 최상부 플레이트(300)와 함께 내부 볼륨(109)으로서 또한 지칭되는 프로세싱 볼륨을 정의한다.
[0034] 예시된 프로세싱 챔버(100)는 복수의 프로세싱 스테이션들(110)을 포함한다. 프로세싱 스테이션들(110)은 하우징(102)의 내부 볼륨(109)에 위치되고, 그리고 기판 지지부(200)의 회전 축(211) 주위에 원형 어레인지먼트로 포지셔닝된다. 각각의 프로세싱 스테이션(110)은 전방 표면(114)을 갖는 가스 분배 플레이트(112)(가스 주입기로서 또한 지칭됨)를 포함한다. 일부 실시예들에서, 가스 주입기들(112) 각각의 전방 표면들(114)은 실질적으로 동일 평면에 있다. 프로세싱 스테이션들(110)은 내부에서 프로세싱이 발생할 수 있는 구역으로서 정의된다. 예컨대, 일부 실시예들에서, 프로세싱 스테이션(110)은 아래에서 설명되는 바와 같이 기판 지지부(200)의 지지 표면(231) 및 가스 주입기들(112)의 전방 표면(114)에 의해 한정되는 구역으로서 정의된다. 예시된 실시예에서, 히터들(230)은 기판 지지 표면들로서 작용하고 기판 지지부(200)의 부분을 형성한다.
[0035] 프로세싱 스테이션들(110)은 임의의 적합한 프로세스를 수행하고 임의의 적합한 프로세스 조건들을 제공하도록 구성될 수 있다. 사용되는 가스 분배 플레이트(112)의 유형은, 예컨대, 수행되는 프로세스의 유형 및 샤워헤드 또는 가스 주입기의 유형에 의존할 것이다. 예컨대, 원자 층 증착 장치로서 동작하도록 구성된 프로세싱 스테이션(110)은 샤워헤드 또는 볼텍스(vortex) 유형 가스 주입기를 가질 수 있다. 반면에, 플라즈마 스테이션으로서 동작하도록 구성된 프로세싱 스테이션(110)은, 플라즈마 가스가 웨이퍼 쪽으로 흐를 수 있게 하면서 플라즈마를 생성하기 위해, 하나 이상의 전극 및/또는 접지된 플레이트 구성을 가질 수 있다. 도 2에 예시된 실시예는 도면의 우측(프로세싱 스테이션(110b))과 도면의 좌측(프로세싱 스테이션(110a))에 상이한 유형의 프로세싱 스테이션(110)을 갖는다. 적합한 프로세싱 스테이션들(110)은 열 프로세싱 스테이션들, 마이크로파 플라즈마, 3-전극 CCP, ICP, 평행 플레이트 CCP, UV 노출, 레이저 프로세싱, 펌핑 챔버들, 어닐링 스테이션들, 및 계측 스테이션들을 포함한다(그러나 이에 제한되지는 않음).
[0036] 도 3은 본 개시내용의 하나 이상의 실시예에 따라, 프로세싱 스테이션(110) 또는 프로세스 챔버에서 사용하기 위한 가스 분배 조립체(105)의 분해도를 예시한다. 당업자는 도 3에 예시된 실시예가 일반적인 개략도이고 세부사항들(예컨대, 가스 채널들)을 생략한다는 것을 인식할 것이다. 예시된 가스 분배 조립체(105)는 3개의 메인 컴포넌트들, 즉 가스 분배 플레이트(112), 덮개(180) 및 선택적 스페이서(330)를 포함한다. 스페이서(330)는 펌프/퍼지 스페이서, 인서트 또는 펌프/퍼지 인서트로서 또한 지칭된다. 일부 실시예들에서, 스페이서(330)는 진공(배기)에 연결되거나 또는 진공(배기)과 유체 연통한다. 일부 실시예들에서, 스페이서(330)는 퍼지 가스 소스에 연결되거나 또는 퍼지 가스 소스와 유체 연통한다.
[0037] 최상부 플레이트(300) 내의 개구들(310)은 균일하게 크기가 정해질 수 있거나 또는 상이한 크기들을 가질 수 있다. 개구(310)로부터 가스 분배 플레이트(112)로의 트랜지션에 적합하게 형상화된 펌프/퍼지 스페이서(330)를 이용하여, 상이한 크기/형상의 가스 주입기들(112)이 사용될 수 있다. 예컨대, 예시된 바와 같이, 펌프/퍼지 스페이서(330)는 측벽(335)과 함께 최상부(331) 및 최하부(333)를 포함한다. 최상부 플레이트(300)의 개구(310)에 삽입될 때, 레지(ledge)(334)가 개구(310)에 포지셔닝되도록 구성된다.
[0038] 펌프/퍼지 스페이서(330)는 가스 분배 플레이트(112)가 삽입될 수 있는 개구(339)를 포함한다. 예시된 가스 분배 플레이트(112)는 펌프/퍼지 스페이서(330)의 최상부(331)에 인접한 후방 표면(332)에 의해 형성된 레지와 접촉할 수 있는 플랜지(342)를 갖는다. 가스 분배 플레이트(112)의 직경 또는 폭은 펌프/퍼지 스페이서(330)의 개구(339) 내에 피팅(fit)될 수 있는 임의의 적합한 크기일 수 있다. 이는 최상부 플레이트(300)의 동일한 개구(310) 내에 다양한 유형들의 가스 주입기들(112)이 사용될 수 있게 한다.
[0039] 도 4는 본 개시내용의 하나 이상의 실시예에 따른 프로세싱 플랫폼(400)을 도시한다. 도 4에 도시된 실시예는 단지 하나의 가능한 구성을 표현할 뿐이며, 본 개시내용의 범위를 제한하는 것으로 받아들여서는 안 된다. 예컨대, 일부 실시예들에서, 프로세싱 플랫폼(400)은 프로세싱 챔버들(100), 버퍼 스테이션들(420), 및/또는 로봇(430) 구성들 중 하나 이상을 예시된 실시예와 상이한 개수들로 갖는다.
[0040] 예시적인 프로세싱 플랫폼(400)은 복수의 측(side)들(411, 412, 413, 414)을 갖는 중앙 이송 스테이션(410)을 포함한다. 도시된 이송 스테이션(410)은 제1 측(411), 제2 측(412), 제3 측(413), 및 제4 측(414)을 갖는다. 4개의 측들이 도시되어 있지만, 예컨대, 프로세싱 플랫폼(400)의 전체 구성에 따라, 이송 스테이션(410)에 임의의 적합한 수의 측들이 있을 수 있음을 당업자는 이해할 것이다. 일부 실시예들에서, 이송 스테이션(410)은 3개의 측들, 4개의 측들, 5개의 측들, 6개의 측들, 7개의 측들, 또는 8개의 측들을 갖는다.
[0041] 이송 스테이션(410)은 이송 스테이션(410)에 포지셔닝된 로봇(430)을 갖는다. 로봇(430)은 프로세싱 동안 웨이퍼를 이동시킬 수 있는 임의의 적합한 로봇일 수 있다. 일부 실시예들에서, 로봇(430)은 제1 암(431) 및 제2 암(432)을 갖는다. 제1 암(431) 및 제2 암(432)은 다른 암과 독립적으로 이동될 수 있다. 제1 암(431) 및 제2 암(432)은 x-y 평면에서 그리고/또는 z-축을 따라 이동할 수 있다. 일부 실시예들에서, 로봇(430)은 제3 암(도시되지 않음) 또는 제4 암(도시되지 않음)을 포함한다. 암들 각각은 다른 암들과 독립적으로 이동할 수 있다.
[0042] 예시된 실시예는 중앙 이송 스테이션(410)의 제2 측(412), 제3 측(413), 및 제4 측(414) 각각에 2개씩 연결된 6개의 프로세싱 챔버들(100)을 포함한다. 프로세싱 챔버들(100) 각각은 상이한 프로세스들을 수행하도록 구성될 수 있다.
[0043] 프로세싱 플랫폼(400)은 또한, 중앙 이송 스테이션(410)의 제1 측(411)에 연결된 하나 이상의 버퍼 스테이션(420)을 포함할 수 있다. 버퍼 스테이션들(420)은 동일하거나 또는 상이한 기능들을 수행할 수 있다. 예컨대, 버퍼 스테이션들은, 프로세싱되고 원래의 카세트로 리턴되는 웨이퍼들의 카세트를 홀딩할 수 있거나, 또는 버퍼 스테이션들 중 하나는, 프로세싱 후에 다른 버퍼 스테이션으로 이동되는 프로세싱되지 않은 웨이퍼들을 홀딩할 수 있다. 일부 실시예들에서, 버퍼 스테이션들 중 하나 이상은 프로세싱 전 및/또는 후에 웨이퍼들을 사전-처리, 예열, 또는 세정하도록 구성된다.
[0044] 프로세싱 플랫폼(400)은 또한, 프로세싱 챔버들(100) 중 임의의 프로세싱 챔버와 중앙 이송 스테이션(410) 사이에 하나 이상의 슬릿 밸브들(418)을 포함할 수 있다. 슬릿 밸브들(418)은 중앙 이송 스테이션(410) 내의 환경으로부터 프로세싱 챔버(100) 내의 내부 볼륨을 격리시키기 위해 개방 및 폐쇄될 수 있다. 예컨대, 프로세싱 챔버가 프로세싱 동안 플라즈마를 생성할 경우, 스트레이 플라즈마(stray plasma)가 이송 스테이션 내의 로봇을 손상시키는 것을 방지하기 위해, 그 프로세싱 챔버에 대해 슬릿 밸브를 폐쇄하는 것이 유익할 수 있다.
[0045] 프로세싱 플랫폼(400)은 웨이퍼들, 또는 웨이퍼들의 카세트들이 프로세싱 플랫폼(400) 내에 로딩될 수 있게 하기 위해 팩토리 인터페이스(450)에 연결될 수 있다. 팩토리 인터페이스(450) 내의 로봇(455)은 버퍼 스테이션들 내로 그리고 버퍼 스테이션들 밖으로 웨이퍼들 또는 카세트들을 이동시키기 위해 사용될 수 있다. 웨이퍼들 또는 카세트들은 중앙 이송 스테이션(410) 내의 로봇(430)에 의해 프로세싱 플랫폼(400) 내에서 이동될 수 있다. 일부 실시예들에서, 팩토리 인터페이스(450)는 다른 클러스터 도구(즉, 다른 다중 챔버 프로세싱 플랫폼)의 이송 스테이션이다.
[0046] 제어기(495)가 제공될 수 있고, 프로세싱 플랫폼(400)의 다양한 컴포넌트들에 커플링되어, 그 다양한 컴포넌트들의 동작을 제어할 수 있다. 제어기(495)는 전체 프로세싱 플랫폼(400)을 제어하는 단일 제어기일 수 있거나, 또는 프로세싱 플랫폼(400)의 개별 부분들을 제어하는 다수의 제어기들일 수 있다. 예컨대, 일부 실시예들의 프로세싱 플랫폼(400)은, 개별 프로세싱 챔버들(100), 중앙 이송 스테이션(410), 팩토리 인터페이스(450), 및/또는 로봇들(430) 중 하나 이상에 대해 별개의 제어기들을 포함한다.
[0047] 일부 실시예들에서, 프로세싱 챔버(100)는 제1 온도 또는 제2 온도 중 하나 이상을 제어하도록 구성된 복수의 실질적으로 동일 평면 지지 표면들(231)에 연결된 제어기(495)를 더 포함한다. 하나 이상의 실시예들에서, 제어기(495)는 기판 지지부(200)(도 2)의 움직임 속도를 제어한다.
[0048] 일부 실시예들에서, 제어기(495)는 CPU(central processing unit)(496), 메모리(497), 및 지원 회로들(498)을 포함한다. 제어기(495)는 직접적으로, 또는 특정 프로세스 챔버 및/또는 지원 시스템 컴포넌트들과 연관된 컴퓨터들(또는 제어기들)을 통해, 프로세싱 플랫폼(400)을 제어할 수 있다.
[0049] 제어기(495)는 다양한 챔버들 및 서브-프로세서들을 제어하기 위해 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. 제어기(495)의 메모리(497) 또는 컴퓨터 판독 가능 매체는, 쉽게 입수 가능한 메모리, 이를테면 RAM(random access memory), ROM(read only memory), 플로피 디스크, 하드 디스크, 광 저장 매체들(예컨대, 콤팩트 디스크 또는 디지털 비디오 디스크), 플래시 드라이브, 또는 로컬 또는 원격의 임의의 다른 형태의 디지털 저장소 중 하나 이상일 수 있다. 메모리(497)는 프로세싱 플랫폼(400)의 컴포넌트들 및 파라미터들을 제어하기 위해 프로세서(CPU(496))에 의해 동작 가능한 명령 세트를 보유할 수 있다.
[0050] 지원 회로들(498)은 통상적인 방식으로 프로세서를 지원하기 위해 CPU(496)에 커플링된다. 이들 회로들은 캐시, 전력 공급부들, 클록 회로들, 입력/출력 회로부, 및 서브시스템들 등을 포함한다. 하나 이상의 프로세스들은, 프로세서에 의해 실행 또는 인보크(invoke)될 때 프로세서로 하여금 본원에서 설명되는 방식으로 프로세싱 플랫폼(400) 또는 개별 프로세싱 챔버들의 동작을 제어하게 하는 소프트웨어 루틴으로서 메모리(498)에 저장될 수 있다. 또한, 소프트웨어 루틴은 CPU(496)에 의해 제어되고 있는 하드웨어로부터 원격으로 위치된 제2 CPU(도시되지 않음)에 의해 저장 및/또는 실행될 수 있다.
[0051] 본 개시내용의 프로세스들 및 방법들 중 일부 또는 전부가 또한 하드웨어로 수행될 수 있다. 따라서, 프로세스는 소프트웨어로 구현되어 컴퓨터 시스템을 사용하여 실행될 수 있거나, 예컨대, 주문형 집적 회로(application specific integrated circuit) 또는 다른 유형의 하드웨어 구현으로서 하드웨어로 구현될 수 있거나, 또는 소프트웨어와 하드웨어의 조합으로 구현될 수 있다. 소프트웨어 루틴은, 프로세서에 의해 실행될 때, 프로세스들이 수행되도록 챔버 동작을 제어하는 특정 목적 컴퓨터(제어기)로 범용 컴퓨터를 변환시킨다.
[0052] 일부 실시예들에서, 제어기(495)는 방법을 수행하도록 개별 프로세스들 또는 서브-프로세스들을 실행하기 위한 하나 이상의 구성들을 갖는다. 제어기(495)는, 방법들의 기능들을 수행하기 위해, 중간 컴포넌트들에 연결되어 중간 컴포넌트들을 동작시키도록 구성될 수 있다. 예컨대, 제어기(495)는, 가스 밸브들, 액추에이터들, 모터들, 슬릿 밸브들, 진공 제어부, 또는 다른 컴포넌트들 중 하나 이상에 연결되어 이들을 제어하도록 구성될 수 있다.
[0053] 도 5 및 도 6은 본 개시내용의 하나 이상의 실시예들에 따른 기판 지지부(500)를 예시한다. 도 5는 일부 내부 컴포넌트들을 도시하는 기판 지지부(500)의 개략적인 평면도를 예시한다. 도 6은 기판 지지부(500)의 단면 개략도를 예시한다. 도 5 및 도 6에 예시된 실시예들은 단지 가능한 구성들을 표현할 뿐이며 본 개시내용의 범위를 제한하는 것으로 받아들여서는 안 된다.
[0054] 기판 지지부들(500)은 지지 표면(504) 및 최하부 표면(506)을 갖는 바디(502)를 갖는다. 지지 표면(504)과 최하부 표면(506) 사이의 거리는 바디(502)의 두께(T)를 정의한다. 일부 실시예들의 기판 지지부(500)는 외주면(508)을 갖는 라운드 프로파일을 갖는다.
[0055] 지지 표면(504)은 바디(502) 내로 거리 또는 깊이(D) 만큼 연장되는 복수의 퍼지 채널들(510)을 갖는다. 퍼지 채널(510)은 도 6에서 볼 수 있고 설명 목적들로 도 5에서 생략된다. 퍼지 채널들(510)이 일부 실시예들의 바디(502) 내로 연장되는 깊이(D)는 0.005mm 내지 1mm 범위, 또는 0.01mm 내지 0.5mm 범위, 또는 0.015mm 내지 0.5mm 범위에 있다.
[0056] 복수의 퍼지 채널들(510)은 밀봉 밴드(512)에 의해 (회전 축(501)에 대해) 외부 에지 주위에 한정된다. 일부 실시예들에서, 밀봉 밴드(512)는 프로세싱될 기판의 직경보다 약간 더 작은 내부 직경을 갖는 일반적으로 원형 형상(고르거나 울퉁불퉁할 수 있음)이다. 예컨대, 일부 실시예들에서, 300mm 기판에 대한 밀봉 밴드(512)는 298mm보다 작은 내경을 갖는다. 일부 실시예들에서, 밀봉 밴드(512)는 0.005mm 내지 1mm 범위, 또는 0.01mm 내지 0.5mm 범위, 또는 0.015mm 내지 0.5mm 범위의, 지지 표면(504)으로부터 측정된 높이를 갖는다. 일부 실시예들에서, 밀봉 밴드는 퍼지 채널들의 깊이와 동일한 높이를 갖는다(지지 표면(504)과 동일 평면임).
[0057] 일부 실시예들에서, 도 6a에 도시된 바와 같이, 밀봉 밴드(512)의 외경 외부의 지지 표면(504)의 부분(504a)은 지지 표면(504)보다 높다. 예시된 실시예는 메사들(511) 또는 평평한 표면 상의 딤플을 포함하는 기판 지지부(500)(정전 척 또는 ESC로서 또한 지칭됨)를 갖는다. 웨이퍼는 메사들(511) 및 밀봉 밴드(512) 상에 안착되고 바디(502)의 외부 부분(504a)에 의해 적어도 부분적으로 둘러싸인다. 메사들(511) 및 밀봉 밴드(512)는 일부 실시예들에서 동일한 높이를 갖는다. 일부 실시예들에서, 메사들 및 밀봉 밴드는 상이한 높이들을 갖는다. 일부 실시예의 메사들은 약 1mm의 직경을 갖는다. 웨이퍼가 메사와 밀봉 밴드 상에 놓일 때 퍼지 가스(예컨대, 헬륨)가 평평한 표면과 웨이퍼 사이의 간격을 채운다. 도시된 실시예에서, 웨이퍼 아래의 개방된 영역들은 퍼지 가스 채널들이다.
[0058] 일부 실시예들에서, 기판 지지부(500)는 도 5 및 도 6에서 개략적으로 예시된 바와 같이 정전 척이다. 일부 실시예들에서, 바디(502)는 지지 표면(504)에 기판을 정전기적으로 척킹하도록 구성된 적어도 2개의 전극들(521, 522)을 포함한다. 전극들(521, 522)은 당업자에게 알려진 임의의 적합한 전도성 재료로 만들어질 수 있다.
[0059] 예시된 실시예는 유전체(514)에 의해 분리된 2개의 전극들(521, 522)을 갖는다. 일부 실시예들의 유전체(514)는 바디(502)와 동일한 재료이다. 일부 실시예들에서, 유전체는 알루미늄 산화물(Al2O3, AlO로서 또한 지칭됨) 또는 알루미늄 질화물(AlN)을 포함한다.
[0060] 도 5는 손가락-관절형 패턴으로 제2 전극(522)과 서로 얽힌 제1 전극(521)을 도시한다. 전극들의 형상은 임의의 적합한 형상일 수 있으며 도 5에 도시된 손가락-관절형 패턴으로 제한되지 않는다. 전극들의 형상 및 패턴은 기판에 인가될 수 있는 척킹력에 영향을 미칠 수 있다. 일반적으로, 그리고 임의의 특정 동작 이론에 얽매임 없이, 전극들(521, 522)을 분리하는 더 큰 표면 영역은 더 강한 척킹력을 초래할 것이며, 이는 또한 더 적은 전력 소비를 허용할 수 있는 것으로 여겨진다.
[0061] 전력 공급부(530)가 전극들(521, 522)에 연결되어 전극들(521, 522) 사이의 전압 차이를 생성한다. 전력 공급부(530)는 송신 라인들(531, 532)을 통해 전극들(521, 522)에 연결된다. 송신 라인들(531, 532)은 단락 또는 아킹(arcing)을 방지하기 위해 임의의 적합한 절연체에 의해 전기적으로 분리된다.
[0062] 일부 실시예들의 전력 공급부(530)는 전극(521)에 제1 전압(전위로서 또한 지칭됨)을 제공하고 전극(522)에 제1 전압과 상이한 제2 전압을 제공한다. 일부 실시예들에서, 전력 공급부(530)는 고전압 DC(direct current) 및 저전압 AC(alternating current) 컴포넌트를 전극들(521, 522)에 제공하도록 구성된다.
[0063] 본 개시내용의 하나 이상의 실시예들에서, 전력 공급부(530)는 커패시턴스 센서(535)를 포함하거나 이에 연결된다. 일부 실시예들에서, 커패시턴스 센서(535)는 도 6에 도시된 바와 같이 기판 지지부(500)의 바디(502) 내의 별개의 센서이다. 일부 실시예들에서, 커패시턴스 센서는 전력 공급부(530)의 전자 장치의 일부이다.
[0064] 일부 실시예들에서, 바디(502)는 바디(502)의 두께(T) 내에 적어도 하나의 가열 엘리먼트(540)를 더 포함한다. 도 6에 도시된 실시예는 회전 축(501)을 따라 상이한 반경들 및 상이한 포지션들에 이격된 3개의 가열 엘리먼트들(540a, 540b, 540c)을 도시한다. 실시예들은 바디(502)의 제1 레벨 상의 외부 존(540a) 및 내부 존(540c), 및 지지 표면(504)에 더 가까운 바디(502)의 제2 레벨 상의 중앙 존(540b)을 도시한다. 당업자는 예시된 실시예에서 가열 엘리먼트들의 수 및 포지셔닝이 단지 하나의 가능한 구성일 뿐이며 본 개시내용의 범위를 제한하는 것으로 받아들여서는 안 된다는 것을 인식할 것이다.
[0065] 기판 지지부(500)는 바디(502)의 최하부 표면(506)에 연결된 지지 포스트(550)를 포함한다. 일부 실시예의 지지 포스트(550)는 송신 라인들(531, 532) 및 임의의 다른 연결들 또는 도관들(예컨대, 퍼지 가스 도관 또는 플레넘)을 포함하도록 중공이다. 일부 실시예들에서, 지지 포스트(550)는 송신 라인들(531, 532) 및 임의의 다른 연결들 또는 도관들을 수납하기 위한 개구들을 갖는 일반적으로 고체 바디이다.
[0066] 퍼지 라인(562)은 지지 표면(504)에 형성된 퍼지 채널들(510)과 유체 연통한다. 일부 실시예들의 퍼지 라인(562)은 퍼지 가스 소스(560)에 연결되어, 퍼지 가스가 퍼지 가스 소스(560)로부터 퍼지 라인(562)을 통해 퍼지 채널들(510)로 흐르도록 허용한다. 일부 실시예들에서, 지지 포스트(550)는 퍼지 라인(562)의 길이를 따라 플레넘 또는 캐비티를 포함한다. 일부 실시예들에서, 예시된 바와 같이, 퍼지 라인(562)은 퍼지 라인(562)의 제2 레그(564)에 연결되어, 퍼지 가스의 흐름을 퍼지 채널들(510) 내 상이한 개구들(566)로 분할한다. 일부 실시예들에서, 퍼지 라인(562)은 지지 표면(504) 상에 포지셔닝된 기판의 후방 측에 퍼지 가스의 흐름을 제공한다. 이는 후방 측 퍼지로서 또한 지칭된다.
[0067] 본 개시내용의 일부 실시예들은 전극들(521, 522)에 연결된 제어기(590)를 포함한다. 일부 실시예들의 제어기(590)는 지지 표면(504) 상의 기판의 커패시턴스를 측정하도록 구성된다. 일부 실시예들에서, 제어기(590)는 프로세싱을 통해 지지 표면(504) 상의 기판의 커패시턴스를 계속 측정하도록 구성된다. 일부 실시예들에서, 제어기는 허용 가능한 범위 외부의 커패시턴스의 변화 시에 프로세스를 중지하도록 구성된다.
[0068] 도 7은 그 위에 기판(505)을 갖는 기판 지지부(500) 바디(502)의 개략적 표현을 예시한다. 예시된 실시예는 바이폴라 정전 척이지만; 당업자는 본 개시내용이 바이폴라 이척(echuck)들로 제한되지 않는다는 것을 인식할 것이다. 전극들(521, 522)은 바디(502) 내에 있고 송신 라인들(531, 532)에 의해 전력 공급부(530)에 연결된다. 전력 공급부(530)는 고전압 DC 전력(534, 535)을 전극들(521, 522)에 제공한다. 일부 실시예들에서, 고전압 DC(direction current) 전력은 ±1500볼트 범위, 또는 ±1000볼트 범위, 또는 ±750볼트 범위, 또는 ±500볼트 범위, 또는 ±250 볼트 범위에 있다.
[0069] 상대적으로 작은 AC(alternating current)가 DC 고전압 신호에 중첩된다. AC 컴포넌트는 정전 척 회로의 커패시턴스가 측정되도록 허용한다. 일부 실시예들에서, AC 컴포넌트는 1볼트 내지 100볼트 범위, 또는 2볼트 내지 90볼트 범위, 또는 3볼트 내지 80볼트 범위, 또는 4볼트 내지 70볼트 범위, 또는 5볼트 내지 60볼트 범위, 또는 6볼트 내지 50볼트 범위, 또는 7볼트 내지 40볼트 범위, 또는 8볼트 내지 30볼트 범위, 또는 9볼트 내지 20볼트 범위, 또는 10볼트 내지 15볼트 범위의 전압을 갖는다. 일부 실시예들에서, AC 컴포넌트는 약 1.89kHz(kilohertz)의 주파수를 갖는다. 일부 실시예들에서, AC 컴포넌트는 1kHz 내지 2.5kHz 범위의 주파수를 갖는다. 일부 실시예들에서, 기판의 커패시턴스는 최대 DC 1000볼트까지 실질적으로 균일하게 유지된다. 이러한 방식으로 사용될 때, "실질적으로 균일한"이라는 용어는 커패시턴스가 0볼트 내지 1000볼트에서 5%, 2% 또는 1%를 초과하여 변하지 않음을 의미한다.
[0070] 회로의 커패시턴스는 전극들(521, 522)과 기판(505) 사이의 거리에 의해 영향을 받을 수 있다. 기판(505)과 전극들(521, 522) 사이의 더 큰 거리는 더 작은 커패시턴스 값을 초래할 것이다. 기판(505)이 디척킹되는 경우, 커패시턴스가 감소할 것이다. 일부 실시예들에서, 기판에 대한 커패시턴스 베이스라인은 어떠한 척킹 전압도 없이, 그리고 웨이퍼를 평평하게 하기에 충분히 높은 척킹 전압으로 측정된다. 이 베이스라인은 실험 데이터 수집 및 판단-기반 안전 마진에 기초하여 도구 동작에 대한 허용 가능한 커패시턴스 범위들을 생성하는 데 사용된다. 일부 실시예들에서, 기판 지지부(500)의 커패시턴스 값이 모니터링되고, 커패시턴스 값이 허용 가능한 범위 외부로 변하는 경우 응답 신호가 생성된다. 신호는 사용자에 대한 경고들(예컨대, 조명들, 팝업 메시지들, 이메일 메시지들, SMS 메시지들), 이벤트들을 활성화하기 위한 자동화된 피드백 신호들 또는 다른 제어 시스템들로부터의 응답들을 포함하는(그러나 이에 제한되지 않음) 당업자에게 알려진 임의의 적합한 경고 또는 피드백 신호일 수 있다.
[0071] 다중 기판 프로세싱 챔버에서, 각각의 기판 지지부의 커패시턴스 값은 다른 기판 지지부들과 독립적이다. 예컨대, 도 2를 참조하면, 좌측 지지 표면(231) 상의 기판의 커패시턴스 값은 우측 지지 표면(231) 상의 기판의 커패시턴스 값과 독립적이며, 이들 기판들 각각은 독립적으로 모니터링될 수 있다.
[0072] 개별 기판 지지부(500) 상의 기판의 커패시턴스를 측정하는 것은 기판의 척킹 상태에 관한 정보를 제공한다. 예컨대, 지지 조립체의 급격한 움직임들 동안, 기판이 시프트 및 디척킹될 수 있다. 이 이벤트에 기인한 커패시턴스 변화는 척킹의 손실을 표시할 것이다.
[0073] 일부 실시예들에서, 커패시턴스 센서는 기판이 충분한 휨(약 0.2mm 초과)을 갖는 경우, 사용자 또는 시스템에 정보를 제공한다. 기판이 약 0.2mm 미만으로 휘는 경우, 일부 실시예들의 커패시턴스 센서는 적절한 척킹을 표시할 것이다. 이 경우에, 시스템은 예상된 것보다 낮은 커패시턴스 값을 측정할 것이다. 휘어진 웨이퍼가 더 높은 전압에서 평평하게 척킹된 결과로서, 커패시턴스 값이 예상 범위에 들어갈 때까지 DC 척킹 전압을 ― 증분 단계들로 또는 지속적으로 램핑(ramping)함으로써 ― 증가시키도록 시스템이 설계될 수 있다.
[0074] 도 6, 도 8 및 도 9를 참조하면, 본 개시내용의 일부 실시예들은 알려진 또는 제어된 퍼지 압력을 갖는 후방 측 퍼지를 포함한다. 일부 실시예들에서, 헬륨(He) 또는 일부 다른 불활성/퍼지 가스가 퍼지 라인(562)을 통해 기판(505)의 후방으로 흐른다. 도 8은 굵은 화살표들로 표시된 후방 측 가스 흐름을 갖는 기판 지지부(500)의 개략적 표현을 도시한다. 화살표들은 기판 지지부를 통한 가스 라인들 및 흐름 경로의 하나의 가능한 어레인지먼트를 나타내고 본 개시내용의 범위를 제한하는 것으로 받아들여서는 안 된다. 당업자는 퍼지 가스의 후방 측 흐름을 어떻게 제공할지를 이해할 것이다.
[0075] 퍼지 라인(562)을 따라 흐르는 퍼지 가스는 지지 포스트(550)를 통해 기판 지지부(500)의 바디(502)에 진입하여, 제2 레그(564)에서 하나 또는 다수의 흐름들로 분할되고 개구들(566)을 통해 퍼지 채널들(510)에 진입한다. 일단 기판(505)의 후방 측에서, 가스는 기판의 외부 주변 에지를 향해 외향으로 흐르고 밀봉 밴드(512)를 지나 누출된다(568). 일부 실시예들에서, 압력 게이지(551)는 퍼지 가스의 흐름 경로를 따라 포지셔닝되고 퍼지 라인(562) 내 압력은 압력 세트포인트를 충족시키기 위해 퍼지 흐름을 증가 또는 감소시킴으로써 제어된다. 후방 측 퍼지 유량에 대한 교정 곡선은 압력 세트포인트, 및 필요에 따라 다른 관련 프로세스 파라미터들의 함수로서 형성된다. 그 후, 누설 레이트는 교정 곡선으로부터의 예상 유량과 관련하여, 퍼지 압력을 유지하는 데 필요한 실제 유량의 차이로서 계산될 수 있는 파라미터이다. 웨이퍼가 평평하게 척킹되는 경우, 밀봉 밴드에 걸친 누출이 예상되지 않으며 계산된 누출 레이트는 0sccm(standard cubic centimeters per minute)이어야 한다.
[0076] 일부 실시예들에서, 제어기(590)는 퍼지 라인(562) 내 가스 압력을 측정하도록 구성된다. 일부 실시예들에서, 퍼지 라인(562)과 프로세스 챔버 사이의 압력의 차이는 모니터링되어, 후방 측 가스의 충분한 공급이 밀봉 밴드(512)를 통과하도록 허용하는 예상된 차이가 있는지를 결정할 수 있다. 기판이 프로세싱 동안 디척킹되는 경우, 퍼지 라인(562) 내 압력이 떨어질 것이다.
[0077] 일부 실시예들에서, 후방 측 압력을 제어하기 위해 MFC(mass flow controller)가 사용된다. MFC가 지속적으로 흐를 때, 기판으로의 흐름은 웨이퍼가 잘 척킹된 경우 밀봉 밴드에 걸친 누설 레이트가 약 0이므로 약 0이다. 이론적으로 MFC로부터의 모든 흐름은 블리드 밸브(579)를 통해 포어라인으로 이동한다(도 9 참조). 압력은 흐름을 변경함으로써 제어되고, 누출을 결정하기 위해 교정된 흐름에 대해 흐름이 체크된다.
[0078] 달리 말하면, 일부 실시예들에서, 모니터링은 압력보다는 누출에 기초한다. 웨이퍼가 잘 척킹되지 않는 경우, 밀봉 밴드가 누출할 것이고 특정 압력을 유지하는 데 필요한 흐름이 더 높아질 것이며, 누출 레이트는 0이 아닐 것이고, 일부 종류의 이벤트 또는 알람을 생성하는 임계치가 설정될 수 있다.
[0079] 도 8의 실시예는 누출이 아니라 압력에 기초한다. 블리드 경로가 없기 때문에, 특정 압력을 유지하기 위해 채널들이 약간의 흐름으로 충전된다. 웨이퍼가 잘 척킹된 경우, 유량은 0에 가까워야 한다(그리고 누출이 계산되지 않을 것임). 웨이퍼가 디척킹되는 경우, 압력이 떨어질 것이다. 당업자는, 예시된 제어 시스템이 개략적이고, FLOW가 노이즈 레벨 근처에 있을 것이기 때문에 전체 시스템이 더 복잡해질 것이라는 것을 인식할 것이다.
[0080] 일부 실시예들에서, 제어기는 퍼지 라인(562) 및/또는 퍼지 가스 소스(560)에 연결되고 퍼지 라인(562)을 통해 지지 표면(504) 상의 기판의 후방 측으로의 퍼지 가스의 유량을 측정하도록 구성된다. 퍼지 라인(562) 내의 퍼지 가스의 유량은 질량 흐름 제어기(mass flow controller)를 포함하는(그러나 이에 제한되지 않음), 당업자에게 알려진 임의의 적합한 기술을 통해 측정될 수 있다. 일부 실시예들에서, 밀봉 밴드를 통과하는/지나는 후방 측 퍼지 가스의 누설 레이트는 0.2 sccm(standard cubic centimeters per minute) 이하, 또는 0.15 이하, 또는 0.1 sccm 이하이다.
[0081] 도 9는 본 개시내용의 하나 이상의 실시예에 따라, 후방 측 퍼지 시스템(561)을 포함하는 퍼지 가스 소스(560)의 개략도를 예시한다. 예시된 실시예에서, 퍼지 가스 소스(560)는 밸브(571)를 통해 MFC(mass flow controller)(570)의 유입구에 연결된다. MFC(570)를 빠져나가, 퍼지 가스는 유출구 밸브(572)를 통해 그리고 프로세싱 챔버(100)로 이어지는 퍼지 흐름 레그(573) 및 배기 시스템으로 이어지는 배기 레그(574)와의 접합부로 흐른다. 퍼지 흐름 레그(573)를 통해 흐르는 가스는 퍼지 라인(562) 내로 흐르거나 덤프 밸브(577)를 통과하여 배기되기 전에 압력 트랜스듀서(575) 및 제어 밸브(576)를 통과한다. 배기 레그(574)에서, 가스는 선택적 가변 오리피스(578) 및 블리드 밸브(579)를 통과하여 배기된다.
[0082] 도 9에 예시된 실시예는 2개의 가시적인 프로세싱 스테이션들(100a, 100b)을 갖는 배치 프로세싱 챔버(100)이다. 퍼지 라인(562)은 지지 포스트(550)를 통과하여, 제1 기판 지지체 제2 레그(564a)를 갖는 제1 기판 지지체(502a)로 이어지는 제1 퍼지 라인(562a) 및 제2 기판 지지체 제2 레그(564b)를 갖는 제2 기판 지지체(502b)로 이어지는 제2 퍼지 라인(562b)으로 분할된다. 일부 실시예들에서, 압력 트랜스듀서(575)는 해당 채널의 퍼지 유량을 레귤레이팅하는 소프트웨어 또는 하드웨어 제어 루프, 또는 이들의 조합에 의해 퍼지 라인(562) 내 압력을 제어하는 데 사용된다. 일부 실시예들에서, 퍼지 라인(562) 내 압력은 프로세스 챔버(100) 내 압력에 대해 제어된다.
[0083] 일부 실시예들에서, 제어기(590)는 기판의 후방 측으로의 퍼지 가스의 유량의 증가와 커패시턴스의 변화들을 상관시킴으로써 기판이 평평하고 척킹되는지를 결정하도록 구성된다. 도 10은 기판이 척킹되고 평평한지를 결정하는 방법(700)에 대한 흐름도를 도시한다. 동작(702)에서, 후방 측 압력 누설 레이트가 측정된다. 이척 전위 및/또는 전류는 동작(704)에서 척킹력을 증가시키기 위해 수정된다. 후방 측 압력 누설 레이트는 동작(706)에서 다시 측정된다. 질의(708)에서, 동작(702) 및 동작(706)으로부터의 누설 레이트가 비교된다. 누설 레이트가 실질적으로 동일하게 유지되는 경우, 기판은 평평하고 척킹된다. 누설 레이트가 감소되는 경우, 기판이 평평하지 않고 척킹되지 않은 것이며, 누설 레이트들이 동일할 때까지 동작(704) 내지 질의(708)가 반복된다.
[0084] 도 11은 척킹 강도를 결정하는 방법(750)에 대한 흐름도를 예시한다. 동작(752)에서, 지지 표면 상의 기판의 커패시턴스가 측정된다. 유량/후방 측 압력이 동작(754)에서 증가된다. 지지 표면 상의 기판의 커패시턴스는 동작(756)에서 재측정된다. 질의(758)에서, 커패시턴스가 비교된다. 커패시턴스가 미리 결정된 임계치를 넘어 감소되는 경우, 기판은 디척킹되고, 그렇지 않으면 기판이 디척킹될 때까지 동작들(754) 내지 질의(58)가 반복된다. 부가적으로, 기판이 디척킹된 경우, 후방 측 퍼지 누출 레이트가 또한 예상된 것보다 높다.
[0085] 일부 실시예들에서, 제어기(590)는 디척킹에 대해 경고하기 위해 배치 프로세싱 챔버 내 기판들을 모니터링하도록 구성된다. 동작 동안, 기판 지지 조립체는 프로세싱 스테이션들 사이에서 빠르게 회전한다. 기판이 디척킹되는 경우, 압력 트랜스듀서는 후방 측 압력의 감소 및/또는 유량의 증가 ― 이들은 기판들 중 하나가 예상된 것보다 많은 후방 측 퍼지 가스를 누출하고 있음을 표시함 ― 를 관찰할 것이다. 유량 변화는 기판이 디척킹된 시기를 식별할 수 있지만, 어떤 기판 또는 기판들이 디척킹되었는지 정확히 파악할 수는 없다. 일부 실시예들에서, 일단 디척킹 이벤트가 관찰되면, 기판의 커패시턴스가 측정되고 베이스라인 값과 비교된다. 커패시턴스가 미리 결정된 임계치를 넘어 감소되는 기판(들)은 모두 디척킹된 것이다.
[0086] 일부 실시예들에서, 정전 척의 건전성은 기판 지지부의 커패시턴스를 체크함으로써 기판 지지부 상에 기판을 로딩하기 전에 체크된다. 전도성 막을 형성할 수 있는 전구체들을 흐르게 하는 프로세스 챔버들의 경우에, 전구체들의 불충분한 프로세스-후 퍼지 아웃(post-process purge-out)은 정전 척 상에 증착될 수 있는 챔버 내 잔류 화학물로 이어지고 척킹을 열화시킬 수 있는 전도성 막을 초래할 수 있다. 이 전도성 막은 예상된 것보다 높은 커패시턴스 판독값을 초래하며, 이는 더 많은 기판들의 로딩을 방지하고 잠재적인 웨이퍼 디척킹 시나리오를 회피하는 경보 또는 이벤트를 생성하는 데 사용할 수 있다.
[0087] 일부 실시예들에서, 정전 척의 건전성은 기판 지지부 상에 기판을 로딩하고 기판을 척킹한 후에 체크된다. 프로세스가 특정 후방 측 압력에서 실행되도록 의도된 경우, 기판 후방 측이 프로세스 조건들보다 높게 잠시 가압되고 이를 프로세스 조건들로 낮추기 전에 결정된 시간 기간 동안 홀딩되는 소프트웨어 시퀀스가 실행된다. 더 높은 압력 및 시간 기간은 실험 및 통계 데이터 분석, 및 적절한 공학적 판단으로부터 결정된다. 이 시간 기간 동안, 커패시턴스 및 누설 레이트가 모니터링되어 이들의 개개의 예상 범위들에서 머무르도록 보장한다. 이 체크가 통과되는 경우, 프로세스가 계속될 수 있다. 이는 고속 이동들 동안 웨이퍼 디척킹의 위험을 감소시키는 데 도움이 된다.
[0088] 일부 실시예들에서, 제어기(590)는 적어도 하나의 가열 엘리먼트(540)에 연결되고 적어도 하나의 가열 엘리먼트(540)에 대한 전력을 제어하도록 구성된다. 일부 실시예들에서, 제어기(590)는, 기판 또는 기판 지지부(500)의 온도를 측정하고 미리 결정된 온도를 유지하도록 가열 엘리먼트(540)에 대한 전력을 변경하기 위해 온도 센서(예컨대, 고온계, 열전대 등)에 연결된다.
[0089] 도 1, 도 2 및 도 9를 다시 참조하면, 본 개시내용의 하나 이상의 실시예들은 기판 지지 조립체들(200)에 관한 것이다. 기판 지지 조립체는 회전 축(211)을 중심으로 회전가능한 중앙 허브(202)를 포함한다. 복수의 기판 지지부들(500)은 회전 축(211)으로부터 일정 거리에 포지셔닝된다. 기판 지지부들(500)은 독립적으로 기판 지지부들 각각에 대해 본원에서 설명된 실시예들 중 임의의 것 또는 실시예들의 조합이다.
[0090] 본 명세서 전체에 걸친 "일 실시예", "특정 실시예들", "하나 이상의 실시예들", 또는 "실시예"에 대한 지칭은, 그 실시예에 관하여 설명되는 특정한 피처, 구조, 재료, 또는 특성이 본 개시내용의 적어도 하나의 실시예에 포함됨을 의미한다. 따라서, 본 명세서 전체에 걸친 다양한 위치들에서의 "하나 이상의 실시예들에서", "특정 실시예들에서", "일 실시예에서", 또는 "실시예에서"와 같은 문구들의 출현들은 반드시 본 개시내용의 동일한 실시예를 지칭하는 것은 아니다. 게다가, 특정 피처들, 구조들, 재료들, 또는 특성들은 하나 이상의 실시예들에서 임의의 적합한 방식으로 조합될 수 있다.
[0091] 본원에서의 개시내용이 특정 실시예들을 참조하여 설명되었지만, 당업자들은 설명된 실시예들이 단지 본 개시내용의 원리들 및 애플리케이션들을 예시한다는 것을 이해할 것이다. 본 개시내용의 사상 및 범위로부터 벗어나지 않으면서, 본 개시내용의 방법 및 장치에 대해 다양한 수정들 및 변동들이 이루어질 수 있다는 것이 당업자에게 자명할 것이다. 따라서, 본 개시내용은 첨부된 청구항들 및 그 등가물들의 범위 내에 있는 수정들 및 변동들을 포함할 수 있다.

Claims (20)

  1. 기판 지지부로서,
    지지 표면 및 최하부 표면을 갖는 바디 ― 상기 지지 표면과 상기 최하부 표면 사이의 거리는 상기 바디의 두께를 정의하고, 상기 지지 표면은 상기 바디 내로 일정 거리 만큼 연장되는 복수의 퍼지 채널들을 갖고, 상기 복수의 퍼지 채널들은 밀봉 밴드에 의해 한정되고, 상기 바디는 기판을 상기 지지 표면에 정전기적으로 척킹하도록 구성된 적어도 2개의 전극들을 포함함 ―;
    상기 바디의 최하부 표면에 연결되는 지지 포스트;
    상기 퍼지 채널들과 유체 연통하는 퍼지 라인;
    상기 전극들에 연결된 전력 공급부; 및
    상기 전극들에 연결되고, 상기 지지 표면 상의 기판의 커패시턴스를 측정하도록 구성된 제어기를 포함하는, 기판 지지부.
  2. 제1항에 있어서,
    상기 전력 공급부는 고전압 DC(direct current) 및 저전압 AC(alternating current) 컴포넌트를 상기 전극들에 제공하도록 구성되는, 기판 지지부.
  3. 제1항에 있어서,
    상기 제어기는 프로세싱 전반에 걸쳐 상기 지지 표면 상의 기판의 커패시턴스를 계속 측정하고, 임계치 값 초과의 커패시턴스의 변화 시에 상기 프로세싱을 중지하도록 구성되는, 기판 지지부.
  4. 제1항에 있어서,
    상기 제어기는 상기 퍼지 라인에 연결되고, 상기 퍼지 라인을 통한 상기 지지 표면 상의 기판의 후방 측으로의 퍼지 가스의 유량을 측정하도록 구성되는, 기판 지지부.
  5. 제4항에 있어서,
    상기 제어기는 상기 기판의 후방 측으로의 상기 퍼지 가스의 유량의 증가와 상기 커패시턴스의 변화들을 상관시킴으로써 상기 기판이 평평하고 척킹되는지를 결정하도록 구성되는, 기판 지지부.
  6. 제1항에 있어서,
    상기 바디는 상기 바디의 두께 내에 적어도 하나의 가열 엘리먼트를 더 포함하는, 기판 지지부.
  7. 제6항에 있어서,
    상기 제어기는 상기 적어도 하나의 가열 엘리먼트에 연결되고, 상기 적어도 하나의 가열 엘리먼트에 대한 전력을 제어하도록 구성되는, 기판 지지부.
  8. 기판 지지 조립체로서,
    회전 축을 중심으로 회전가능한 중앙 허브;
    상기 회전 축으로부터 일정 거리에 포지셔닝된 복수의 기판 지지부들 ― 상기 기판 지지부들 각각은,
    지지 표면 및 최하부 표면을 갖는 바디 ― 상기 지지 표면과 상기 최하부 표면 사이의 거리는 상기 바디의 두께를 정의하고, 상기 지지 표면은 상기 바디 내로 일정 거리 만큼 연장되는 복수의 퍼지 채널들을 갖고, 상기 복수의 퍼지 채널들은 밀봉 밴드에 의해 한정되고, 상기 바디는 기판을 상기 지지 표면에 정전기적으로 척킹하도록 구성된 적어도 2개의 전극들을 포함함 ―;
    상기 바디의 최하부 표면에 연결되는 지지 포스트;
    상기 퍼지 채널들과 유체 연통하는 퍼지 라인;
    상기 전극들에 연결된 전력 공급부를 포함함 ―; 및
    상기 전극들에 연결되고, 상기 지지 표면 상의 기판의 커패시턴스를 측정하도록 구성된 제어기를 포함하는, 기판 지지 조립체.
  9. 제8항에 있어서,
    각각의 전력 공급부는 고전압 DC(direct current) 및 저전압 AC(alternating current) 컴포넌트를 상기 전극들에 제공하도록 구성되는, 기판 지지 조립체.
  10. 제8항에 있어서,
    상기 제어기는 프로세싱 전반에 걸쳐 상기 지지 표면들 상의 기판의 커패시턴스를 계속 측정하고, 상기 기판들 중 임의의 기판의 임계치 값 초과의 커패시턴스의 변화 시에 상기 프로세싱을 중지하도록 구성되는, 기판 지지 조립체.
  11. 제8항에 있어서,
    상기 제어기는 상기 퍼지 라인에 연결되고, 상기 퍼지 라인을 통한 상기 지지 표면 상의 기판의 후방 측으로의 퍼지 가스의 유량을 측정하도록 구성되는, 기판 지지 조립체.
  12. 제11항에 있어서,
    상기 제어기는 상기 기판의 후방 측으로의 상기 퍼지 가스의 유량의 증가와 상기 커패시턴스의 변화들을 상관시킴으로써 상기 기판이 평평하고 척킹되는지를 결정하도록 구성되는, 기판 지지 조립체.
  13. 제8항에 있어서,
    상기 기판 지지부들의 각각의 기판 지지부의 바디는 상기 바디의 두께 내에 적어도 하나의 가열 엘리먼트를 더 포함하는, 기판 지지 조립체.
  14. 제13항에 있어서,
    상기 제어기는 상기 적어도 하나의 가열 엘리먼트에 연결되고, 상기 적어도 하나의 가열 엘리먼트에 대한 전력을 제어하도록 구성되는, 기판 지지 조립체.
  15. 제8항에 있어서,
    상기 중앙 허브에 연결된 3개 또는 4개의 기판 지지부들이 있는, 기판 지지 조립체.
  16. 프로세싱 방법으로서,
    기판 지지부의 바디의 지지 표면에 형성된 복수의 퍼지 채널 내로 퍼지 가스의 흐름을 제공하는 단계 ― 상기 복수의 퍼지 채널들은 밀봉 밴드에 의해 한정되고, 상기 기판 지지부 상부에는 기판이 포지셔닝되고, 상기 가스의 흐름은 상기 밀봉 밴드를 통해 누출됨 ―;
    상기 기판을 상기 지지 표면에 정전기적으로 척킹하기 위해 적어도 2개의 전극들 사이에 전압 차이가 있도록 상기 기판 지지부의 바디에 형성된 상기 적어도 2개의 전극들을 분극시키는 단계; 및
    상기 기판이 척킹되는지 여부를 결정하기 위해 상기 기판 지지부 상의 상기 기판의 커패시턴스를 측정하는 단계를 포함하는, 프로세싱 방법.
  17. 제16항에 있어서,
    상기 적어도 2개의 전극들을 분극시키는 단계는 고전압 DC(direct current) 및 저전압 AC(alternating current) 컴포넌트를 상기 전극들에 제공하도록 구성된 전력 공급부로부터의 전력을 제공하는 단계를 포함하는, 프로세싱 방법.
  18. 제17항에 있어서,
    상기 기판이 프로세싱되고 상기 지지 표면 상의 상기 기판의 커패시턴스가 계속 측정되며, 임계치 값 초과의 커패시턴스의 변화가 도달될 때 상기 프로세싱이 중단되는, 프로세싱 방법.
  19. 제16항에 있어서,
    상기 기판의 후방 측으로의 상기 퍼지 가스의 흐름을 증가시키고, 상기 기판의 커패시턴스를 측정하여, 상기 기판이 평평하고 상기 지지 표면에 척킹되는지를 결정하는 단계를 포함하는, 프로세싱 방법.
  20. 제16항에 있어서,
    기판 지지 조립체의 회전 축 주위에 포지셔닝된 복수의 기판 지지부들이 있고, 상기 기판 지지부들 각각은 복수의 퍼지 가스 채널들 및 전극들을 갖고, 지지 표면들 상의 각각의 기판의 커패시턴스는 기판이 디척킹(de-chuck)되는지 결정하기 위해 증착 프로세스 전반에 걸쳐 모니터링되는, 프로세싱 방법.
KR1020227043004A 2020-05-09 2021-05-07 실시간 웨이퍼 척킹 검출을 위한 장치 및 방법들 KR20230007506A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063022442P 2020-05-09 2020-05-09
US63/022,442 2020-05-09
PCT/US2021/031319 WO2021231215A1 (en) 2020-05-09 2021-05-07 Apparatus and methods for real-time wafer chucking detection

Publications (1)

Publication Number Publication Date
KR20230007506A true KR20230007506A (ko) 2023-01-12

Family

ID=78413110

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227043004A KR20230007506A (ko) 2020-05-09 2021-05-07 실시간 웨이퍼 척킹 검출을 위한 장치 및 방법들

Country Status (5)

Country Link
US (1) US11791190B2 (ko)
JP (1) JP2023524766A (ko)
KR (1) KR20230007506A (ko)
TW (1) TW202204889A (ko)
WO (1) WO2021231215A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI129040B (fi) * 2019-06-06 2021-05-31 Picosun Oy Fluidia läpäisevien materiaalien päällystäminen
CN116160356B (zh) * 2023-04-18 2023-08-22 西安奕斯伟材料科技股份有限公司 静压支撑件、双面研磨装置和双面研磨方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6075375A (en) 1997-06-11 2000-06-13 Applied Materials, Inc. Apparatus for wafer detection
US6572814B2 (en) * 1998-09-08 2003-06-03 Applied Materials Inc. Method of fabricating a semiconductor wafer support chuck apparatus having small diameter gas distribution ports for distributing a heat transfer gas
JP2001332609A (ja) 2000-03-13 2001-11-30 Nikon Corp 基板保持装置及び露光装置
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
KR101390444B1 (ko) * 2010-03-26 2014-04-30 가부시키가이샤 알박 기판 보호지지 장치
US10720313B2 (en) * 2017-08-23 2020-07-21 Tokyo Electron Limited Measuring device, measurement method, and plasma processing device
US20200090978A1 (en) * 2017-10-27 2020-03-19 Applied Materials, Inc. Methods Of Operating A Spatial Deposition Tool
JP7213648B2 (ja) * 2018-09-27 2023-01-27 東京エレクトロン株式会社 基板処理装置

Also Published As

Publication number Publication date
JP2023524766A (ja) 2023-06-13
US11791190B2 (en) 2023-10-17
WO2021231215A1 (en) 2021-11-18
US20210351060A1 (en) 2021-11-11
TW202204889A (zh) 2022-02-01

Similar Documents

Publication Publication Date Title
US20040178177A1 (en) Plasma processing apparatus
US20190131167A1 (en) Single Wafer Processing Environments With Spatial Separation
US11791190B2 (en) Apparatus and methods for real-time wafer chucking detection
US11501957B2 (en) Pedestal support design for precise chamber matching and process control
US20200090978A1 (en) Methods Of Operating A Spatial Deposition Tool
US6553332B2 (en) Method for evaluating process chambers used for semiconductor manufacturing
US20210087681A1 (en) Dithering Or Dynamic Offsets For Improved Uniformity
WO2022047297A1 (en) Heater assembly with process gap control for batch processing chambers
US11767593B2 (en) High temperature vacuum seal
US11746417B2 (en) Clean isolation valve for reduced dead volume
US11220747B2 (en) Complementary pattern station designs
US11479855B2 (en) Spatial wafer processing with improved temperature uniformity
US11415147B2 (en) Pumping liner for improved flow uniformity
US20230193463A1 (en) Gas Distribution Apparatuses
US20230076170A1 (en) Metrology slot plates
WO2023003658A1 (en) Reactive cleaning of substrate support

Legal Events

Date Code Title Description
E902 Notification of reason for refusal