KR20230004878A - Paired dynamic parallel plate capacitively coupled plasmas - Google Patents

Paired dynamic parallel plate capacitively coupled plasmas Download PDF

Info

Publication number
KR20230004878A
KR20230004878A KR1020227042772A KR20227042772A KR20230004878A KR 20230004878 A KR20230004878 A KR 20230004878A KR 1020227042772 A KR1020227042772 A KR 1020227042772A KR 20227042772 A KR20227042772 A KR 20227042772A KR 20230004878 A KR20230004878 A KR 20230004878A
Authority
KR
South Korea
Prior art keywords
heater
path
processing
gas
electrode
Prior art date
Application number
KR1020227042772A
Other languages
Korean (ko)
Other versions
KR102652116B1 (en
Inventor
하리 포네칸티
즈또무 다나까
만디암 스리람
드미트리 드질노
산지브 발루자
마리오 디. 실베티
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20230004878A publication Critical patent/KR20230004878A/en
Application granted granted Critical
Publication of KR102652116B1 publication Critical patent/KR102652116B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching

Abstract

복수의 처리 스테이션들 및 개별 웨이퍼 지지 표면들을 갖는 처리 챔버들이 설명된다. 처리 스테이션들 및 웨이퍼 지지 표면들은 동일한 개수의 처리 스테이션들 및 가열기들이 존재하도록 배열된다. RF 생성기는 제1 스테이션의 제1 전극 및 제2 스테이션의 제2 전극에 연결된다. 바닥 RF 경로는 제1 지지 표면과 제2 지지 표면 사이의 연결에 의해 형성된다.Processing chambers having a plurality of processing stations and individual wafer support surfaces are described. The processing stations and wafer support surfaces are arranged such that there are equal numbers of processing stations and heaters. An RF generator is coupled to the first electrode of the first station and the second electrode of the second station. A bottom RF path is formed by a connection between the first support surface and the second support surface.

Description

쌍을 이룬 동적 평행판 용량성 결합된 플라즈마들{PAIRED DYNAMIC PARALLEL PLATE CAPACITIVELY COUPLED PLASMAS}Paired dynamic parallel plate capacitively coupled plasmas

본 개시내용의 실시예들은 일반적으로, 반도체 웨이퍼 처리를 위한 장치에 관한 것이다. 더 구체적으로, 본 개시내용의 실시예들은 평행판 용량성 결합된 플라즈마를 갖는 처리 챔버들 및 플라즈마들을 생성하는 방법들에 관한 것이다.Embodiments of the present disclosure generally relate to apparatus for processing semiconductor wafers. More specifically, embodiments of the present disclosure relate to processing chambers having a parallel plate capacitively coupled plasma and methods of generating the plasmas.

원자 층 증착(ALD) 및 플라즈마 강화 ALD(PEALD)는 고 종횡비 구조들에서 막 두께 및 형상추종성의 제어를 제공하는 증착 기법들이다. 반도체 산업에서 디바이스 치수들이 지속적으로 감소하는 것으로 인해, ALD/PEALD를 사용하는 응용들 및 관심이 증가하고 있다. 일부 경우들에서, PEALD만이 원하는 막 두께 및 형상추종성에 대한 사양들을 충족시킬 수 있다.Atomic layer deposition (ALD) and plasma enhanced ALD (PEALD) are deposition techniques that provide control of film thickness and conformability in high aspect ratio structures. As device dimensions continue to decrease in the semiconductor industry, interest and applications using ALD/PEALD are increasing. In some cases, only PEALD can meet the specifications for desired film thickness and conformability.

반도체 디바이스 형성은 통상적으로, 다수의 챔버들을 포함하는 기판 처리 플랫폼들에서 수행된다. 일부 경우들에서, 다중-챔버 처리 플랫폼 또는 클러스터 툴의 목적은, 제어된 환경에서 기판에 대해 2개 이상의 프로세스들을 순차적으로 수행하는 것이다. 그러나, 다른 경우들에서, 다중-챔버 처리 플랫폼은 기판들에 대해 단일 처리 단계만을 수행할 수 있으며; 추가적인 챔버들은 플랫폼에 의해 기판들이 처리되는 속도를 최대화하도록 의도된다. 후자의 경우에서, 기판들에 대해 수행되는 프로세스는 전형적으로 배치 프로세스이며, 여기서, 비교적 많은 개수, 예를 들어, 25개 또는 50개의 기판들이, 주어진 챔버에서 동시에 처리된다. 배치 처리는, 경제적으로 실행가능한 방식으로 개별 기판들에 대해 수행되기에는 너무 시간 소모적인 프로세스들, 예컨대, 원자 층 증착(ALD) 프로세스들 및 일부 화학 기상 증착(CVD) 프로세스들에 특히 유익하다.Semiconductor device formation is typically performed on substrate processing platforms that include multiple chambers. In some cases, the purpose of a multi-chamber processing platform or cluster tool is to sequentially perform two or more processes on a substrate in a controlled environment. However, in other cases, a multi-chamber processing platform may perform only a single processing step on substrates; The additional chambers are intended to maximize the rate at which substrates are processed by the platform. In the latter case, the process performed on the substrates is typically a batch process, wherein a relatively large number, for example 25 or 50 substrates, are processed simultaneously in a given chamber. Batch processing is particularly beneficial for processes that are too time consuming to be performed on individual substrates in an economically viable manner, such as atomic layer deposition (ALD) processes and some chemical vapor deposition (CVD) processes.

용량성 결합된 플라즈마(CCP)는 균일한 플라즈마를 생성하기 위한 잘 입증된 방법이고 반도체 제조를 위한 많은 플라즈마 처리 응용들에 이상적이다. 통상적으로 규소 웨이퍼가 배치되는, CCP의 전극들 중 하나가 처리 바로 전 및 후에 또는 처리 동안에 물리적으로 이동할 필요가 있을 때, 종래의 배열은 접지 경로가 인-시튜로 연결해제되기 위한 전기적 연결을 필요로 하고 이는 구현을 거의 불가능하게 한다.Capacitively coupled plasma (CCP) is a well-proven method for generating a uniform plasma and is ideal for many plasma processing applications for semiconductor manufacturing. Conventional arrangements require an electrical connection for the ground path to be disconnected in-situ, when one of the electrodes of the CCP, on which the silicon wafer is placed, needs to be physically moved just before and after processing or during processing. , which makes implementation almost impossible.

그러므로, 관련 기술분야에서는 배치 처리를 위해 평행판 용량성 결합된 플라즈마들에 균일한 플라즈마를 제공하기 위한 장치가 필요하다.Therefore, there is a need in the art for an apparatus for providing uniform plasma to parallel plate capacitively coupled plasmas for batch processing.

본 개시내용의 하나 이상의 실시예는 적어도 2개의 플라즈마 스테이션들 및 처리를 위해 개별 웨이퍼들을 지지하기 위한 복수의 지지 표면들을 갖는 웨이퍼 페디스털을 포함하는 처리 챔버들에 관한 것이다. RF 생성기는 최상부 RF 경로를 형성하기 위해 제1 플라즈마 처리 스테이션의 제1 전극 및 제2 플라즈마 처리 스테이션의 제2 전극에 연결된다. 바닥 RF 경로를 형성하기 위해 웨이퍼 페디스털의 적어도 2개의 지지 표면들 사이에 연결이 존재한다.One or more embodiments of the present disclosure relate to processing chambers that include at least two plasma stations and a wafer pedestal having a plurality of support surfaces for supporting individual wafers for processing. An RF generator is connected to the first electrode of the first plasma processing station and the second electrode of the second plasma processing station to form an uppermost RF path. There is a connection between at least two support surfaces of the wafer pedestal to form a bottom RF path.

본 개시내용의 하나 이상의 실시예는 처리 챔버들에 관한 것이고, 처리 챔버들은: 처리 챔버의 내부 주위에 배열된 복수의 처리 스테이션들 ― 복수의 처리 스테이션들은 적어도 2개의 플라즈마 스테이션들을 포함함 ―; 처리를 위해 개별 웨이퍼들을 지지하기 위한, 복수의 가열기들을 갖는 웨이퍼 페디스털 ― 가열기들의 개수는 처리 스테이션들의 개수와 동일함 ―; 최상부 RF 경로를 형성하기 위해 제1 플라즈마 처리 스테이션의 제1 전극 및 제2 플라즈마 처리 스테이션의 제2 전극에 연결되는 RF 생성기; 및 바닥 RF 경로를 형성하기 위한, 웨이퍼 페디스털의 제1 가열기와 제2 가열기 사이의 연결을 포함한다.One or more embodiments of the present disclosure relate to processing chambers comprising: a plurality of processing stations arranged around an interior of the processing chamber, the plurality of processing stations including at least two plasma stations; a wafer pedestal having a plurality of heaters for supporting individual wafers for processing, the number of heaters being equal to the number of processing stations; an RF generator connected to the first electrode of the first plasma processing station and the second electrode of the second plasma processing station to form an uppermost RF path; and a connection between the first and second heaters of the wafer pedestal to form a bottom RF path.

본 개시내용의 추가의 실시예들은 복수의 기판들을 처리하는 방법들에 관한 것이다. 최상부 RF 경로를 형성하기 위해 제1 플라즈마 처리 스테이션의 제1 전극 및 제2 플라즈마 처리 스테이션의 제2 전극에 연결되는 RF 생성기가 전력을 공급받는다. 제1 플라즈마 처리 스테이션은 제1 지지 표면을 포함하고, 제2 플라즈마 처리 스테이션은 제2 지지 표면을 포함한다. 바닥 RF 경로를 형성하기 위해 웨이퍼 페디스털의 제1 지지 표면과 제2 지지 표면 사이에 연결이 존재한다.Additional embodiments of the present disclosure relate to methods of processing a plurality of substrates. An RF generator coupled to the first electrode of the first plasma processing station and the second electrode of the second plasma processing station to form an uppermost RF path is powered. The first plasma processing station includes a first support surface and the second plasma processing station includes a second support surface. A connection exists between the first and second support surfaces of the wafer pedestal to form a bottom RF path.

본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있도록, 위에 간략히 요약된 본 개시내용의 더 구체적인 설명이 실시예들을 참조하여 이루어질 수 있으며, 이들 중 일부는 첨부 도면들에 예시되어 있다. 그러나, 본 개시내용은 동등한 효과의 다른 실시예들을 허용할 수 있기 때문에, 첨부 도면들은 본 개시내용의 전형적인 실시예들만을 예시하고 그러므로 본 개시내용의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 주목해야 한다.
도 1은 본 개시내용의 하나 이상의 실시예에 따른 배치 처리 챔버의 단면도를 도시하고;
도 2는 본 개시내용의 하나 이상의 실시예에 따른 배치 처리 챔버의 부분 사시도를 도시하고;
도 3은 본 개시내용의 하나 이상의 실시예에 따른 배치 처리 챔버의 개략도를 도시하고;
도 4는 본 개시내용의 하나 이상의 실시예에 따른 배치 처리 챔버에서 사용하기 위한 쐐기 형상 가스 분배 조립체의 일부의 개략도를 도시하고;
도 5는 본 개시내용의 하나 이상의 실시예에 따른 배치 처리 챔버의 개략도를 도시하고;
도 6은 본 개시내용의 하나 이상의 실시예에 따른 가스 주입기 삽입부들을 위한 개구부들을 갖는 가스 분배 조립체를 도시하고;
도 7은 본 개시내용의 하나 이상의 실시예에 따른 처리 챔버의 개략도를 도시하고;
도 8은 본 개시내용의 하나 이상의 실시예에 따른 처리 챔버의 개략도를 도시하고;
도 9는 본 개시내용의 하나 이상의 실시예에 따른 처리 챔버의 개략도를 도시하고;
도 10은 본 개시내용의 하나 이상의 실시예에 따른 처리 챔버의 개략도를 도시하고;
도 11은 본 개시내용의 하나 이상의 실시예에 따른 처리 챔버의 개략도를 도시하고;
도 12는 본 개시내용의 하나 이상의 실시예에 따른 처리 챔버의 개략도를 도시하고;
도 13은 본 개시내용의 하나 이상의 실시예에 따른 처리 챔버의 개략도를 도시한다.
In order that the above-mentioned features of the present disclosure may be understood in detail, a more specific description of the present disclosure briefly summarized above may be made with reference to embodiments, some of which are illustrated in the accompanying drawings. However, it is to be understood that the accompanying drawings illustrate only typical embodiments of the present disclosure and are therefore not to be regarded as limiting the scope of the present disclosure, as the present disclosure may admit other equally effective embodiments. It should be noted.
1 illustrates a cross-sectional view of a batch processing chamber in accordance with one or more embodiments of the present disclosure;
2 shows a partial perspective view of a batch processing chamber in accordance with one or more embodiments of the present disclosure;
3 shows a schematic diagram of a batch processing chamber in accordance with one or more embodiments of the present disclosure;
4 shows a schematic diagram of a portion of a wedge-shaped gas distribution assembly for use in a batch processing chamber in accordance with one or more embodiments of the present disclosure;
5 shows a schematic diagram of a batch processing chamber in accordance with one or more embodiments of the present disclosure;
6 illustrates a gas distribution assembly having openings for gas injector inserts according to one or more embodiments of the present disclosure;
7 shows a schematic diagram of a processing chamber in accordance with one or more embodiments of the present disclosure;
8 depicts a schematic diagram of a processing chamber in accordance with one or more embodiments of the present disclosure;
9 shows a schematic diagram of a processing chamber in accordance with one or more embodiments of the present disclosure;
10 shows a schematic diagram of a processing chamber in accordance with one or more embodiments of the present disclosure;
11 shows a schematic diagram of a processing chamber in accordance with one or more embodiments of the present disclosure;
12 shows a schematic diagram of a processing chamber in accordance with one or more embodiments of the present disclosure;
13 shows a schematic diagram of a processing chamber in accordance with one or more embodiments of the present disclosure.

본 개시내용의 여러 예시적인 실시예들을 설명하기 전에, 본 개시내용은 이하의 설명에서 열거되는 구성 또는 프로세스 단계들의 세부사항들로 제한되지 않는다는 것을 이해해야 한다. 본 개시내용은 다른 실시예들이 가능하고, 다양한 방식들로 실시되거나 수행될 수 있다.Before describing several exemplary embodiments of the present disclosure, it should be understood that the present disclosure is not limited to details of configuration or process steps recited in the following description. The disclosure is capable of other embodiments and of being practiced or of being carried out in various ways.

본원에서 사용되는 바와 같은 "기판", "기판 표면" 등은, 그 상에서 처리가 수행되는, 임의의 기판 또는 기판 상에 형성된 물질 표면을 지칭한다. 예를 들어, 처리가 수행될 수 있는 기판 표면은, 응용에 따라, 물질들, 예컨대, 규소, 산화규소, 변형된 규소, 절연체상 규소(SOI), 탄소 도핑된 산화규소들, 질화규소, 도핑된 규소, 게르마늄, 비화갈륨, 유리, 사파이어, 및 임의의 다른 물질들, 예컨대, 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 물질들을 포함하지만, 이에 제한되지 않는다. 기판들은, 제한 없이, 반도체 웨이퍼들을 포함한다. 기판들은 기판 표면을 연마, 식각, 환원, 산화, 히드록실화(또는, 화학적 작용성을 부여하기 위해 목표 화학적 모이어티들을 다른 방식으로 생성하거나 그라프팅함), 어닐링 및/또는 베이킹하기 위해 전처리 프로세스에 노출될 수 있다. 본 개시내용에서, 기판 자체의 표면에 대한 직접적인 처리에 추가하여, 개시된 막 처리 단계들 중 임의의 단계는 또한, 아래에 더 상세히 개시되는 바와 같이 기판 상에 형성되는 하부 층에 대해 수행될 수 있으며, "기판 표면"이라는 용어는 문맥이 나타내는 바와 같이 그러한 하부 층을 포함하도록 의도된다. 따라서, 예를 들어, 막/층 또는 부분적인 막/층이 기판 표면 상에 증착된 경우, 새롭게 증착된 막/층의 노출된 표면이 기판 표면이 된다. 주어진 기판 표면이 포함하는 것은, 사용되는 특정 화학물질뿐만 아니라, 어떤 물질들이 증착될 것인지에도 따를 것이다.As used herein, “substrate”, “substrate surface”, etc., refers to any substrate or surface of a material formed on a substrate on which a process is performed. For example, the substrate surface on which the treatment may be performed may be, depending on the application, materials such as silicon, silicon oxide, modified silicon, silicon on insulator (SOI), carbon doped silicon oxides, silicon nitride, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials. Substrates include, without limitation, semiconductor wafers. Substrates are subjected to pretreatment processes to polish, etch, reduce, oxidize, hydroxylate (or otherwise create or graft target chemical moieties to impart chemical functionality), anneal, and/or bake the substrate surface. may be exposed. In the present disclosure, in addition to directly treating the surface of the substrate itself, any of the disclosed film processing steps may also be performed on an underlying layer formed on the substrate, as disclosed in more detail below; , the term “substrate surface” is intended to include such underlying layers as the context indicates. Thus, for example, when a film/layer or partial film/layer is deposited on a substrate surface, the exposed surface of the newly deposited film/layer becomes the substrate surface. What a given substrate surface will contain will depend not only on the particular chemistry used, but also on what materials will be deposited.

본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "반응성 화합물", "반응성 가스", "반응성 종들", "전구체", "프로세스 가스" 등의 용어들은 표면 반응(예를 들어, 화학흡착, 산화, 환원)에서 기판 표면 또는 기판 표면 상의 물질과 반응할 수 있는 종들을 갖는 물질을 의미하기 위해 상호교환가능하게 사용된다. 예를 들어, 제1 "반응성 가스"는 기판의 표면 상에 단순히 흡착될 수 있고, 제2 반응성 가스와의 추가의 화학 반응을 위해 사용가능할 수 있다.As used in this specification and the appended claims, the terms "reactive compound", "reactive gas", "reactive species", "precursor", "process gas" and the like refer to surface reactions (e.g., chemisorption, oxidation, reduction) are used interchangeably to mean a substance having species capable of reacting with a substrate surface or a substance on a substrate surface. For example, a first “reactive gas” may simply be adsorbed onto the surface of the substrate and be available for further chemical reaction with a second reactive gas.

본원에서 사용되는 바와 같은 "원자 층 증착" 또는 "주기적 증착"은 기판 표면 상에 물질의 층을 증착시키기 위한, 2개 이상의 반응성 화합물들의 순차적인 노출을 지칭한다. 기판, 또는 기판의 일부는, 처리 챔버의 반응 구역 내에 도입되는 2개 이상의 반응성 화합물들에 개별적으로 노출된다. 시간 도메인 ALD 프로세스에서, 각각의 반응성 화합물에 대한 노출은, 각각의 화합물이 기판 표면 상에 부착되고/거나 그와 반응하고 이어서 처리 챔버로부터 퍼징되는 것을 허용하기 위해, 시간 지연에 의해 분리된다. 이 반응성 화합물들은 기판에 순차적으로 노출된다고 한다. 공간적 ALD 프로세스에서, 기판 표면의 상이한 부분들, 또는 기판 표면 상의 물질은, 기판 상의 임의의 주어진 지점이 실질적으로, 하나 초과의 반응성 화합물에 동시에 노출되지 않도록, 2개 이상의 반응성 화합물들에 동시에 노출된다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 이와 관련하여 사용되는 "실질적으로"라는 용어는, 관련 기술분야의 통상의 기술자에 의해 이해될 바와 같이, 기판의 작은 부분이, 확산으로 인해 동시에 다수의 반응성 가스들에 노출될 수 있는 가능성이 있고, 동시 노출이 의도되지 않음을 의미한다.“Atomic layer deposition” or “periodic deposition” as used herein refers to the sequential exposure of two or more reactive compounds to deposit a layer of material on a substrate surface. The substrate, or portion of the substrate, is individually exposed to two or more reactive compounds introduced into the reaction zone of the processing chamber. In a time domain ALD process, exposure to each reactive compound is separated by a time delay to allow each compound to adhere to and/or react with the substrate surface and then be purged from the processing chamber. These reactive compounds are said to be sequentially exposed to the substrate. In a spatial ALD process, different portions of the substrate surface, or material on the substrate surface, are exposed to two or more reactive compounds simultaneously such that virtually any given point on the substrate is not simultaneously exposed to more than one reactive compound. . As used in this specification and the appended claims, the term "substantially" as used in this connection means that a small portion of the substrate is simultaneously due to diffusion, as will be understood by one of ordinary skill in the relevant art. This means that exposure to multiple reactive gases is possible and that simultaneous exposure is not intended.

본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "파이 형상" 및 "쐐기 형상"이라는 용어들은 원의 섹터인 몸체를 설명하기 위해 상호교환가능하게 사용된다. 예를 들어, 쐐기 형상 세그먼트는 원 또는 디스크 형상 구조의 일부일 수 있고, 다수의 쐐기 형상 세그먼트들은 원형 몸체를 형성하기 위해 연결될 수 있다. 섹터는, 원의 2개의 반경들 및 교차하는 원호로 둘러싸인, 원의 일부로서 정의될 수 있다. 파이 형상 세그먼트의 내측 에지는 한 지점에 도달할 수 있거나, 평평한 에지로 절단되거나 둥글게 될 수 있다. 일부 실시예들에서, 섹터는 링 또는 환상체의 부분으로서 정의될 수 있다.As used in this specification and the appended claims, the terms "pie shape" and "wedge shape" are used interchangeably to describe a body that is a sector of a circle. For example, a wedge-shaped segment may be part of a circle or disc-shaped structure, and multiple wedge-shaped segments may be connected to form a circular body. A sector may be defined as a portion of a circle bounded by the two radii of the circle and intersecting arcs. The inner edge of the pie-shaped segment can reach a point, or it can be cut to a flat edge or rounded. In some embodiments, a sector may be defined as part of a ring or toroid.

기판들의 경로는 가스 포트들에 수직일 수 있다. 일부 실시예들에서, 가스 주입기 조립체들 각각은, 기판에 의해 횡단되는 경로에 실질적으로 수직인 방향으로 연장되는 복수의 세장형 가스 포트들을 포함하고, 가스 분배 조립체의 전면은 플래튼에 실질적으로 평행하다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 수직"이라는 용어는, 기판들의 일반적인 이동 방향이, 가스 포트들의 축에 대략적으로 수직인(예를 들어, 약 45° 내지 90°) 평면을 따른다는 것을 의미한다. 쐐기 형상 가스 포트의 경우, 가스 포트의 축은 포트의 길이를 따라 연장되는 포트의 폭의 중간 지점으로서 정의되는 라인인 것으로 간주될 수 있다.The path of the substrates may be perpendicular to the gas ports. In some embodiments, each of the gas injector assemblies includes a plurality of elongated gas ports extending in a direction substantially perpendicular to a path traversed by the substrate, and the front surface of the gas distribution assembly is substantially parallel to the platen. Do. As used herein and in the appended claims, the term "substantially perpendicular" means that the general direction of movement of the substrates is approximately perpendicular to the axis of the gas ports (eg, between about 45° and 90°). It means follow the plane. In the case of a wedge-shaped gas port, the axis of the gas port may be considered to be a line defined as the midpoint of the width of the port extending along the length of the port.

도 1은, 주입기들 또는 주입기 조립체로 또한 지칭되는 가스 분배 조립체(120) 및 서셉터 조립체(140)를 포함하는 처리 챔버(100)의 단면을 도시한다. 가스 분배 조립체(120)는, 처리 챔버에서 사용되는 임의의 유형의 가스 전달 디바이스이다. 가스 분배 조립체(120)는 서셉터 조립체(140)를 향하는 전방 표면(121)을 포함한다. 전방 표면(121)은 가스들의 유동을 서셉터 조립체(140) 쪽으로 전달하기 위해 임의의 개수의 또는 다양한 개구부들을 가질 수 있다. 가스 분배 조립체(120)는 또한, 도시된 실시예들에서 실질적으로 둥근 외측 둘레 에지(124)를 포함한다.1 shows a cross-section of a processing chamber 100 that includes a gas distribution assembly 120 and a susceptor assembly 140, also referred to as injectors or injector assemblies. The gas distribution assembly 120 is any type of gas delivery device used in a processing chamber. The gas distribution assembly 120 includes a front surface 121 facing the susceptor assembly 140 . The front surface 121 may have any number or variety of openings to direct the flow of gases towards the susceptor assembly 140 . The gas distribution assembly 120 also includes a substantially round outer peripheral edge 124 in the illustrated embodiments.

사용되는 가스 분배 조립체(120)의 특정 유형은 사용되는 특정 프로세스에 따라 변할 수 있다. 본 개시내용의 실시예들은, 서셉터와 가스 분배 조립체 사이의 갭이 제어되는 임의의 유형의 처리 시스템과 함께 사용될 수 있다. 다양한 유형들의 가스 분배 조립체들이 채용될 수 있지만(예를 들어, 샤워헤드들), 본 개시내용의 실시예들은, 복수의 실질적으로 평행한 가스 채널들을 갖는 공간적 ALD 가스 분배 조립체들에 특히 유용할 수 있다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 평행한"이라는 용어는, 가스 채널들의 세장 축이, 동일한 일반 방향으로 연장되는 것을 의미한다. 가스 채널들의 병렬성에는 약간의 결함들이 있을 수 있다. 복수의 실질적으로 평행한 가스 채널들은 적어도 하나의 제1 반응성 가스 A 채널, 적어도 하나의 제2 반응성 가스 B 채널, 적어도 하나의 퍼지 가스 P 채널 및/또는 적어도 하나의 진공 V 채널을 포함할 수 있다. 제1 반응성 가스 A 채널(들), 제2 반응성 가스 B 채널(들) 및 퍼지 가스 P 채널(들)로부터 유동하는 가스들은 웨이퍼의 최상부 표면 쪽으로 지향된다. 가스 유동의 일부는 웨이퍼의 표면에 걸쳐 수평으로 그리고 퍼지 가스 P 채널(들)을 통해 처리 영역 밖으로 이동한다. 가스 분배 조립체의 일 단부로부터 다른 단부로 이동하는 기판은 프로세스 가스들 각각에 노출되어, 차례로, 기판 표면 상에 층을 형성할 것이다.The particular type of gas distribution assembly 120 used may vary depending on the particular process being used. Embodiments of the present disclosure may be used with any type of processing system in which the gap between the susceptor and gas distribution assembly is controlled. Although various types of gas distribution assemblies may be employed (eg, showerheads), embodiments of the present disclosure may be particularly useful for spatial ALD gas distribution assemblies having a plurality of substantially parallel gas channels. there is. As used in this specification and the appended claims, the term "substantially parallel" means that the elongated axes of the gas channels extend in the same general direction. There may be some deficiencies in the parallelism of the gas channels. The plurality of substantially parallel gas channels may include at least one first reactive gas A channel, at least one second reactive gas B channel, at least one purge gas P channel, and/or at least one vacuum V channel. . Gases flowing from the first reactive gas A channel(s), the second reactive gas B channel(s) and the purge gas P channel(s) are directed towards the top surface of the wafer. A portion of the gas flow travels horizontally across the surface of the wafer and out of the processing region through the purge gas P channel(s). A substrate moving from one end of the gas distribution assembly to the other will be exposed to each of the process gases, which in turn will form a layer on the substrate surface.

일부 실시예들에서, 가스 분배 조립체(120)는 단일 주입기 유닛으로 이루어진 강성 고정 몸체이다. 하나 이상의 실시예에서, 가스 분배 조립체(120)는 도 2에 도시된 바와 같이, 복수의 개별 섹터들(예를 들어, 주입기 유닛들(122))로 구성된다. 단일편 몸체 또는 다중 섹터 몸체 중 어느 하나가, 설명된 본 개시내용의 다양한 실시예들과 함께 사용될 수 있다.In some embodiments, gas distribution assembly 120 is a rigid stationary body composed of a single injector unit. In one or more embodiments, gas distribution assembly 120 is comprised of a plurality of discrete sectors (eg, injector units 122 ), as shown in FIG. 2 . Either a single-piece body or a multi-sector body may be used with the various embodiments of the present disclosure described.

서셉터 조립체(140)는 가스 분배 조립체(120) 아래에 위치된다. 서셉터 조립체(140)는 최상부 표면(141) 및 최상부 표면(141)의 적어도 하나의 함몰부(142)를 포함한다. 서셉터 조립체(140)는 또한, 바닥 표면(143) 및 에지(144)를 갖는다. 함몰부(142)는 처리되고 있는 기판들(60)의 형상 및 크기에 따라 임의의 적합한 형상 및 크기일 수 있다. 도 1에 도시된 실시예에서, 함몰부(142)는 웨이퍼의 바닥을 지지하기 위한 평평한 바닥을 갖지만; 함몰부의 바닥은 변할 수 있다. 일부 실시예들에서, 함몰부는 함몰부의 외측 둘레 에지 주위에 단차 영역들을 갖고, 단차 영역들은 웨이퍼의 외측 둘레 에지를 지지하도록 크기가 정해진다. 단차들에 의해 지지되는 웨이퍼의 외측 둘레 에지의 양은, 예를 들어, 웨이퍼의 두께 및 웨이퍼의 후면 상에 이미 존재하는 피쳐들의 존재에 따라 변할 수 있다.A susceptor assembly 140 is positioned below the gas distribution assembly 120 . The susceptor assembly 140 includes a top surface 141 and at least one depression 142 in the top surface 141 . Susceptor assembly 140 also has a bottom surface 143 and an edge 144 . The depression 142 may be of any suitable shape and size depending on the shape and size of the substrates 60 being processed. In the embodiment shown in Figure 1, the depression 142 has a flat bottom to support the bottom of the wafer; The bottom of the depression may vary. In some embodiments, the depression has stepped areas around an outer peripheral edge of the depression, and the stepped areas are sized to support the outer peripheral edge of the wafer. The amount of outer perimeter edge of the wafer supported by the steps may vary, for example, depending on the thickness of the wafer and the presence of features already present on the backside of the wafer.

일부 실시예들에서, 도 1에 도시된 바와 같이, 서셉터 조립체(140)의 최상부 표면(141)의 함몰부(142)는 함몰부(142)에 지지된 기판(60)이 서셉터(140)의 최상부 표면(141)과 실질적으로 동일 평면 상에 있는 최상부 표면(61)을 갖도록 크기가 정해진다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 동일 평면"이라는 용어는, 웨이퍼의 최상부 표면 및 서셉터 조립체의 최상부 표면이 ± 0.2 mm 내의 동일 평면이라는 것을 의미한다. 일부 실시예들에서, 최상부 표면들은 ± 0.15 mm, ± 0.10 mm 또는 ± 0.05 mm 내의 동일 평면이다. 일부 실시예들의 함몰부(142)는 웨이퍼의 내경(ID)이 서셉터의 중심(회전 축)으로부터 약 170 mm 내지 약 185 mm의 범위 내에 위치되도록 웨이퍼를 지지한다. 일부 실시예들에서, 함몰부(142)는 웨이퍼의 외경(OD)이 서셉터의 중심(회전 축)으로부터 약 470 mm 내지 약 485 mm의 범위에 위치되도록 웨이퍼를 지지한다.In some embodiments, as shown in FIG. 1 , the depression 142 of the top surface 141 of the susceptor assembly 140 is such that the substrate 60 supported in the depression 142 is the susceptor 140 ) is sized to have a top surface 61 that is substantially coplanar with the top surface 141 of . As used herein and in the appended claims, the term “substantially coplanar” means that the top surface of the wafer and the top surface of the susceptor assembly are coplanar to within ±0.2 mm. In some embodiments, the top surfaces are coplanar within ± 0.15 mm, ± 0.10 mm or ± 0.05 mm. The depression 142 of some embodiments supports the wafer such that the inner diameter (ID) of the wafer is positioned within a range of about 170 mm to about 185 mm from the center (axis of rotation) of the susceptor. In some embodiments, the depression 142 supports the wafer such that an outer diameter (OD) of the wafer is located in a range of about 470 mm to about 485 mm from the center (axis of rotation) of the susceptor.

도 1의 서셉터 조립체(140)는 서셉터 조립체(140)를 상승, 하강 및 회전시킬 수 있는 지지 기둥(160)을 포함한다. 서셉터 조립체는 지지 기둥(160)의 중심 내에 가열기, 또는 가스 라인들, 또는 전기 구성요소들을 포함할 수 있다. 지지 기둥(160)은 서셉터 조립체(140)와 가스 분배 조립체(120) 사이의 갭을 증가시키거나 감소시켜 서셉터 조립체(140)를 적절한 위치로 이동시키는 주요 수단일 수 있다. 서셉터 조립체(140)는 또한, 미리 결정된 갭(170)을 서셉터 조립체(140)와 가스 분배 조립체(120) 사이에 생성하기 위해 서셉터 조립체(140)에 미세 조정들을 행할 수 있는 미세 조정 액추에이터들(162)을 포함할 수 있다. 일부 실시예들에서, 갭(170) 거리는 약 0.1 mm 내지 약 5.0 mm 범위, 또는 약 0.1 mm 내지 약 3.0 mm 범위, 또는 약 0.1 mm 내지 약 2.0 mm 범위, 또는 약 0.2 mm 내지 약 1.8 mm 범위, 또는 약 0.3 mm 내지 약 1.7 mm 범위, 또는 약 0.4 mm 내지 약 1.6 mm 범위, 또는 약 0.5 mm 내지 약 1.5 mm 범위, 또는 약 0.6 mm 내지 약 1.4 mm 범위, 또는 약 0.7 mm 내지 약 1.3 mm 범위, 또는 약 0.8 mm 내지 약 1.2 mm 범위, 또는 약 0.9 mm 내지 약 1.1 mm 범위, 또는 약 1 mm이다.The susceptor assembly 140 of FIG. 1 includes a support pillar 160 capable of raising, lowering, and rotating the susceptor assembly 140 . The susceptor assembly may include a heater, or gas lines, or electrical components in the center of the support column 160 . The support post 160 may be the primary means of moving the susceptor assembly 140 to an appropriate position by increasing or decreasing the gap between the susceptor assembly 140 and the gas distribution assembly 120 . The susceptor assembly 140 also includes a fine-tuning actuator that can make fine adjustments to the susceptor assembly 140 to create a predetermined gap 170 between the susceptor assembly 140 and the gas distribution assembly 120. s 162 may be included. In some embodiments, the gap 170 distance ranges from about 0.1 mm to about 5.0 mm, or from about 0.1 mm to about 3.0 mm, or from about 0.1 mm to about 2.0 mm, or from about 0.2 mm to about 1.8 mm, or in the range of about 0.3 mm to about 1.7 mm, or in the range of about 0.4 mm to about 1.6 mm, or in the range of about 0.5 mm to about 1.5 mm, or in the range of about 0.6 mm to about 1.4 mm, or in the range of about 0.7 mm to about 1.3 mm, or in the range of about 0.8 mm to about 1.2 mm, or in the range of about 0.9 mm to about 1.1 mm, or about 1 mm.

도면들에 도시된 처리 챔버(100)는 서셉터 조립체(140)가 복수의 기판들(60)을 유지할 수 있는 캐러셀형 챔버이다. 도 2에 도시된 바와 같이, 가스 분배 조립체(120)는 복수의 개별 주입기 유닛들(122)을 포함할 수 있고, 각각의 주입기 유닛(122)은 웨이퍼가 주입기 유닛 아래에서 이동될 때 웨이퍼 상에 막을 증착시킬 수 있다. 서셉터 조립체(140) 위에 그리고 서셉터 조립체(140)의 대략 대향하는 측들 상에 위치된 2개의 파이 형상 주입기 유닛들(122)이 도시된다. 이러한 개수의 주입기 유닛들(122)은 단지 예시의 목적들만을 위해 도시된다. 더 많거나 더 적은 주입기 유닛들(122)이 포함될 수 있다는 것이 이해될 것이다. 일부 실시예들에서, 서셉터 조립체(140)의 형상을 따르는 형상을 형성하기에 충분한 개수의 파이 형상 주입기 유닛들(122)이 있다. 일부 실시예들에서, 개별적인 파이 형상 주입기 유닛들(122) 각각은, 다른 주입기 유닛들(122) 중 임의의 것에 영향을 미치지 않고서 독립적으로 이동, 제거 및/또는 대체될 수 있다. 예를 들어, 기판들(60)을 로딩/언로딩하기 위해 로봇이 서셉터 조립체(140)와 가스 분배 조립체(120) 사이의 영역에 접근하는 것을 허용하도록 하나의 세그먼트가 상승될 수 있다.The processing chamber 100 shown in the figures is a carousel-like chamber in which a susceptor assembly 140 can hold a plurality of substrates 60 . As shown in FIG. 2 , the gas distribution assembly 120 may include a plurality of individual injector units 122, each injector unit 122 on the wafer as it is moved under the injector unit. film can be deposited. Two pie-shaped injector units 122 are shown positioned above the susceptor assembly 140 and on approximately opposite sides of the susceptor assembly 140 . This number of injector units 122 is shown for illustrative purposes only. It will be appreciated that more or fewer injector units 122 may be included. In some embodiments, there are a sufficient number of pie-shaped injector units 122 to form a shape that follows the shape of susceptor assembly 140 . In some embodiments, each of the individual pie-shaped injector units 122 may be independently moved, removed, and/or replaced without affecting any of the other injector units 122 . For example, one segment may be raised to allow a robot to access the area between the susceptor assembly 140 and the gas distribution assembly 120 to load/unload substrates 60 .

다수의 가스 주입기들을 갖는 처리 챔버들은 웨이퍼들이 동일한 프로세스 흐름을 경험하도록 다수의 웨이퍼들을 동시에 처리하는 데에 사용될 수 있다. 예를 들어, 도 3에 도시된 바와 같이, 처리 챔버(100)는 4개의 가스 주입기 조립체들 및 4개의 기판들(60)을 갖는다. 처리의 시작에서, 기판들(60)은 주입기 조립체들(30) 사이에 위치될 수 있다. 서셉터 조립체(140)를 45°만큼 회전시키는 것(17)은, 가스 분배 조립체들(120) 아래의 점선 원으로 예시된 바와 같이, 가스 분배 조립체들(120) 사이에 있는 각각의 기판(60)이 막 증착을 위해 가스 분배 조립체(120)로 이동되게 할 것이다. 추가적인 45° 회전은 기판들(60)을 주입기 조립체들(30)로부터 벗어나게 이동시킬 것이다. 공간적 ALD 주입기들을 이용하여, 주입기 조립체에 대한 웨이퍼의 이동 동안 웨이퍼 상에 막이 증착된다. 일부 실시예들에서, 서셉터 조립체(140)는 기판들(60)이 가스 분배 조립체들(120) 아래에서 정지하는 것을 방지하는 증분들로 회전된다. 기판들(60) 및 가스 분배 조립체들(120)의 개수는 동일하거나 상이할 수 있다. 일부 실시예들에서, 존재하는 가스 분배 조립체들과 동일한 개수의 처리 중인 웨이퍼들이 존재한다. 하나 이상의 실시예에서, 처리 중인 웨이퍼들의 개수는 가스 분배 조립체들의 개수의 분수 또는 정수 배수이다. 예를 들어, 4개의 가스 분배 조립체들이 존재하는 경우, 처리 중인 웨이퍼는 4x개가 존재하고, 여기서 x는 1 이상의 정수 값이다.Processing chambers with multiple gas injectors may be used to simultaneously process multiple wafers so that the wafers experience the same process flow. For example, as shown in FIG. 3 , processing chamber 100 has four gas injector assemblies and four substrates 60 . At the beginning of processing, substrates 60 may be placed between injector assemblies 30 . Rotating 17 the susceptor assembly 140 by 45° results in each substrate 60 between the gas distribution assemblies 120, as illustrated by the dashed circle below the gas distribution assemblies 120. ) will be moved to the gas distribution assembly 120 for film deposition. An additional 45° rotation will move the substrates 60 away from the injector assemblies 30 . With spatial ALD injectors, a film is deposited on a wafer during movement of the wafer relative to the injector assembly. In some embodiments, the susceptor assembly 140 is rotated in increments that prevent the substrates 60 from stopping under the gas distribution assemblies 120 . The number of substrates 60 and gas distribution assemblies 120 may be the same or different. In some embodiments, there are the same number of wafers in process as there are gas distribution assemblies. In one or more embodiments, the number of wafers being processed is a fractional or integer multiple of the number of gas distribution assemblies. For example, if there are four gas distribution assemblies, there are 4x wafers in process, where x is an integer value greater than or equal to one.

도 3에 도시된 처리 챔버(100)는 단지 하나의 가능한 구성을 나타내며, 본 개시내용의 범위를 제한하는 것으로 간주되어서는 안 된다. 여기서, 처리 챔버(100)는 복수의 가스 분배 조립체들(120)을 포함한다. 도시된 실시예에서, 처리 챔버(100) 주위에 균일하게 이격된 4개의 가스 분배 조립체들(또한, 주입기 조립체들(30)로 불리움)이 존재한다. 도시된 처리 챔버(100)는 팔각형이지만; 관련 기술분야의 통상의 기술자들은 이는 하나의 가능한 형상이고 본 개시내용의 범위를 제한하는 것으로 간주되어서는 안 된다는 것을 이해할 것이다. 도시된 가스 분배 조립체들(120)은 사다리꼴이지만, 도 2에 도시된 것과 같이, 단일 원형 구성요소일 수 있거나 복수의 파이 형상 세그먼트들로 구성될 수 있다.The processing chamber 100 shown in FIG. 3 represents only one possible configuration and should not be considered limiting the scope of the present disclosure. Here, the processing chamber 100 includes a plurality of gas distribution assemblies 120 . In the illustrated embodiment, there are four gas distribution assemblies (also called injector assemblies 30) equally spaced around the processing chamber 100. The processing chamber 100 shown is octagonal; Those skilled in the art will understand that this is one possible configuration and should not be considered limiting the scope of the present disclosure. The illustrated gas distribution assemblies 120 are trapezoidal, but, as shown in FIG. 2 , may be a single circular component or may be composed of a plurality of pie-shaped segments.

도 3에 도시된 실시예는 로드 록 챔버(180), 또는 버퍼 스테이션과 같은 보조 챔버를 포함한다. 이 챔버(180)는, 예를 들어, 기판들(또한, 기판들(60)로 지칭됨)이 처리 챔버(100)로부터 로딩/언로딩되는 것을 허용하기 위해, 처리 챔버(100)의 측부에 연결된다. 웨이퍼 로봇은 기판을 서셉터 상으로 이동시키기 위해 챔버(180)에 위치될 수 있다.The embodiment shown in FIG. 3 includes a load lock chamber 180, or an auxiliary chamber such as a buffer station. This chamber 180 is located on the side of the processing chamber 100, for example to allow substrates (also referred to as substrates 60) to be loaded/unloaded from the processing chamber 100. Connected. A wafer robot may be positioned in the chamber 180 to move the substrate onto the susceptor.

캐러셀(예를 들어, 서셉터 조립체(140))의 회전은 연속적이거나 불연속적일 수 있다. 연속적인 처리에서, 웨이퍼들은 웨이퍼들이 주입기들 각각에 차례로 노출되도록 연속적으로 회전한다. 불연속적인 처리에서, 웨이퍼들은 주입기 영역으로 이동되고 정지되고, 그 다음, 주입기들 사이의 영역(84)으로 이동되고 정지될 수 있다. 예를 들어, 캐러셀은, 웨이퍼들이 주입기 간 영역으로부터 주입기를 가로질러(또는 주입기에 인접하여 정지하고) 다음 주입기 간 영역(여기서 캐러셀이 다시 일시정지할 수 있음) 상으로 이동하도록 회전할 수 있다. 주입기들 사이에서의 일시정지는 각각의 층 증착 사이의 추가적인 처리 단계들(예를 들어, 플라즈마에 대한 노출)을 위한 시간을 제공할 수 있다.Rotation of the carousel (eg, susceptor assembly 140 ) may be continuous or discontinuous. In continuous processing, the wafers are continuously rotated so that the wafers are exposed to each of the injectors in turn. In discontinuous processing, the wafers may be moved to the injector area and stopped, then moved to the area 84 between the injectors and stopped. For example, the carousel may rotate so that the wafers move from an inter-injector region across an injector (or stop adjacent to an injector) and onto the next inter-injector region where the carousel may pause again. there is. A pause between implanters may provide time for additional processing steps (eg, exposure to plasma) between each layer deposition.

도 4는 주입기 유닛(122)으로 지칭될 수 있는 가스 분배 조립체(220)의 섹터 또는 부분을 도시한다. 주입기 유닛들(122)은 개별적으로 또는 다른 주입기 유닛들과 조합하여 사용될 수 있다. 예를 들어, 도 5에 도시된 바와 같이, 도 4의 주입기 유닛들(122) 중 4개가, 단일 가스 분배 조립체(220)를 형성하기 위해 조합된다. (4개의 주입기 유닛들을 분리시키는 라인들은 명료성을 위해 도시되지 않는다.) 도 4의 주입기 유닛(122)은 퍼지 가스 포트들(155) 및 진공 포트들(145)에 추가적으로 제1 반응성 가스 포트(125) 및 제2 반응성 가스 포트(135) 양쪽 모두를 갖지만, 주입기 유닛(122)은 이러한 구성요소들 전부를 필요로 하지는 않는다.4 shows a sector or portion of a gas distribution assembly 220 that may be referred to as an injector unit 122 . Injector units 122 may be used individually or in combination with other injector units. For example, as shown in FIG. 5 , four of the injector units 122 of FIG. 4 are combined to form a single gas distribution assembly 220 . (Lines separating the four injector units are not shown for clarity.) The injector unit 122 of FIG. 4 includes a first reactive gas port 125 in addition to purge gas ports 155 and vacuum ports 145. ) and a second reactive gas port 135, the injector unit 122 does not require all of these components.

도 4 및 5 둘 모두를 참조하면, 하나 이상의 실시예에 따른 가스 분배 조립체(220)는 각각의 섹터가 동일하거나 상이한 복수의 섹터들(또는 주입기 유닛들(122))을 포함할 수 있다. 가스 분배 조립체(220)는 처리 챔버 내에 위치되고, 가스 분배 조립체(220)의 전방 표면(121)에 복수의 세장형 가스 포트들(125, 135, 145)을 포함한다. 복수의 세장형 가스 포트들(125, 135, 145) 및 진공 포트들(155)은 가스 분배 조립체(220)의 내측 둘레 에지(123)에 인접한 영역으로부터 외측 둘레 에지(124)에 인접한 영역을 향해 연장된다. 도시된 복수의 가스 포트들은, 제1 반응성 가스 포트(125), 제2 반응성 가스 포트(135), 제1 반응성 가스 포트들 및 제2 반응성 가스 포트들 각각을 둘러싸는 진공 포트(145) 및 퍼지 가스 포트(155)를 포함한다.Referring to both FIGS. 4 and 5 , a gas distribution assembly 220 according to one or more embodiments may include a plurality of sectors (or injector units 122 ) where each sector is identical or different. A gas distribution assembly 220 is located within the processing chamber and includes a plurality of elongated gas ports 125 , 135 , 145 on a front surface 121 of the gas distribution assembly 220 . The plurality of elongated gas ports 125, 135, 145 and vacuum ports 155 extend from the area adjacent the inner circumferential edge 123 to the area adjacent the outer circumferential edge 124 of the gas distribution assembly 220. is extended The illustrated plurality of gas ports include a first reactive gas port 125, a second reactive gas port 135, a vacuum port 145 surrounding each of the first reactive gas ports and the second reactive gas ports, and a purge port. It includes a gas port 155.

도 4 또는 5에 도시된 실시예들에 관하여, 포트들이 적어도 내측 둘레 영역 주위로부터 적어도 외측 둘레 영역 주위로 연장되는 것을 언급할 때, 그러나, 포트들은 단지 방사상으로 내측으로부터 외측 영역들까지보다 그 이상으로 연장될 수 있다. 포트들은 진공 포트(145)가 반응성 가스 포트(125) 및 반응성 가스 포트(135)를 둘러쌀 때 접선 방향으로 연장될 수 있다. 도 4 및 5에 도시된 실시예에서, 쐐기 형상 반응성 가스 포트들(125, 135)은, 내측 둘레 영역 및 외측 둘레 영역에 인접한 것을 포함하여, 모든 에지들 상에서 진공 포트(145)에 의해 둘러싸인다.With respect to the embodiments shown in Figures 4 or 5, when referring to the ports extending from around at least the inner perimeter region to at least around the outer perimeter region, however, the ports are more than just radially from the inner to the outer regions. can be extended to The ports may extend tangentially when vacuum port 145 surrounds reactive gas port 125 and reactive gas port 135 . In the embodiment shown in Figures 4 and 5, the wedge-shaped reactive gas ports 125, 135 are surrounded on all edges by vacuum port 145, including adjacent the inner and outer circumferential regions. .

도 4를 참조하면, 기판이 경로(127)를 따라 이동할 때, 기판 표면의 각각의 부분은 다양한 반응성 가스들에 노출된다. 경로(127)를 따르기 위해, 기판은 퍼지 가스 포트(155), 진공 포트(145), 제1 반응성 가스 포트(125), 진공 포트(145), 퍼지 가스 포트(155), 진공 포트(145), 제2 반응성 가스 포트(135) 및 진공 포트(145)에 노출되거나 이들을 "만나게" 될 것이다. 따라서, 도 4에 도시된 경로(127)의 끝에서, 기판은 층을 형성하기 위해 제1 반응성 가스 포트(125) 및 제2 반응성 가스 포트(135)로부터의 가스 스트림들에 노출되었다. 도시된 주입기 유닛(122)은 사분원을 만들지만, 더 크거나 더 작을 수 있다. 도 5에 도시된 가스 분배 조립체(220)는, 직렬로 연결된, 도 4의 주입기 유닛들(122) 중 4개의 주입기 유닛들의 조합으로 간주될 수 있다.Referring to Figure 4, as the substrate moves along path 127, a respective portion of the substrate surface is exposed to various reactive gases. To follow path 127, the substrate passes through purge gas port 155, vacuum port 145, first reactive gas port 125, vacuum port 145, purge gas port 155, vacuum port 145. , will be exposed to or “meet” the second reactive gas port 135 and the vacuum port 145. Thus, at the end of path 127 shown in FIG. 4 , the substrate has been exposed to gas streams from first reactive gas port 125 and second reactive gas port 135 to form a layer. The illustrated injector unit 122 makes a quadrant, but may be larger or smaller. The gas distribution assembly 220 shown in FIG. 5 may be considered a combination of four of the injector units 122 of FIG. 4 connected in series.

도 4의 주입기 유닛(122)은 반응성 가스들을 분리시키는 가스 커튼(150)을 도시한다. "가스 커튼"이라는 용어는, 반응성 가스들을 혼합으로부터 분리시키는 가스 유동들 또는 진공의 임의의 조합을 설명하는 데에 사용된다. 도 4에 도시된 가스 커튼(150)은 제1 반응성 가스 포트(125) 옆의 진공 포트(145)의 부분, 중간의 퍼지 가스 포트(155) 및 제2 반응성 가스 포트(135) 옆의 진공 포트(145)의 부분을 포함한다. 가스 유동과 진공의 이러한 조합은 제1 반응성 가스 및 제2 반응성 가스의 가스 상 반응들을 방지하거나 최소화하는 데에 사용될 수 있다.The injector unit 122 of FIG. 4 shows a gas curtain 150 separating the reactive gases. The term “gas curtain” is used to describe any combination of gas flows or vacuum that separate reactive gases from mixing. The gas curtain 150 shown in FIG. 4 is part of the vacuum port 145 next to the first reactive gas port 125, the middle purge gas port 155 and the vacuum port next to the second reactive gas port 135. (145). This combination of gas flow and vacuum can be used to prevent or minimize gas phase reactions of the first and second reactive gases.

도 5를 참조하면, 가스 분배 조립체(220)로부터의 가스 유동들 및 진공의 조합은 복수의 처리 영역들(250)로의 분리를 형성한다. 처리 영역들은, 250 사이의 가스 커튼(150)으로 개별 반응성 가스 포트들(125, 135) 주위에 대략적으로 한정된다. 도 5에 도시된 실시예는, 8개의 개별 처리 영역들(250)과 그 사이의 8개의 개별 가스 커튼들(150)을 구성한다. 처리 챔버는 적어도 2개의 처리 영역을 가질 수 있다. 일부 실시예들에서, 적어도 3, 4, 5, 6, 7, 8, 9, 10, 11 또는 12개의 처리 영역들이 존재한다.Referring to FIG. 5 , the combination of gas flows and vacuum from the gas distribution assembly 220 forms a separation into a plurality of processing regions 250 . Processing regions are roughly defined around individual reactive gas ports 125 and 135 with a gas curtain 150 between them. The embodiment shown in FIG. 5 consists of eight separate processing regions 250 and eight separate gas curtains 150 therebetween. A processing chamber can have at least two processing regions. In some embodiments, there are at least 3, 4, 5, 6, 7, 8, 9, 10, 11 or 12 treatment regions.

처리 동안, 기판은 임의의 주어진 시간에 하나 초과의 처리 영역(250)에 노출될 수 있다. 그러나, 상이한 처리 영역들에 노출되는 부분들은 그 둘을 분리시키는 가스 커튼을 가질 것이다. 예를 들어, 기판의 선단 에지가, 제2 반응성 가스 포트(135)를 포함하는 처리 영역에 진입하면, 기판의 중간 부분은 가스 커튼(150) 아래에 있을 것이고 기판의 후단 에지는, 제1 반응성 가스 포트(125)를 포함하는 처리 영역에 있을 것이다.During processing, a substrate may be exposed to more than one processing region 250 at any given time. However, parts exposed to different processing areas will have a gas curtain separating the two. For example, if the leading edge of the substrate enters the processing region that includes the second reactive gas port 135, the middle portion of the substrate will be below the gas curtain 150 and the trailing edge of the substrate will be at the first reactive gas port 135. It will be in the processing area that contains the gas port 125.

예를 들어, 로드 록 챔버일 수 있는 팩토리 인터페이스(280)는 처리 챔버(100)에 연결된 것으로 도시된다. 기판(60)은 기준 프레임을 제공하기 위해 가스 분배 조립체(220) 위에 중첩되는 것으로 도시된다. 기판(60)은 종종, 가스 분배 조립체(120)(또한, 가스 분배 판으로 지칭됨)의 전방 표면(121) 근처에 유지되도록 서셉터 조립체 상에 놓일 수 있다. 기판(60)은 팩토리 인터페이스(280)를 통해 처리 챔버(100) 내에 기판 지지부 또는 서셉터 조립체 상에 로딩된다(도 3 참고). 기판(60)은 처리 영역 내에 위치된 것으로 도시될 수 있는데 이는 기판이 제1 반응성 가스 포트(125)에 인접하여 그리고 2개의 가스 커튼들(150a, 150b) 사이에 위치되기 때문이다. 기판(60)을 경로(127)를 따라 회전시키는 것은 기판을 처리 챔버(100) 주위에서 반시계 방향으로 이동시킬 것이다. 따라서, 기판(60)은 제1 처리 영역(250a)으로부터 제8 처리 영역(250h)에까지, 그 사이의 모든 처리 영역들을 포함하여, 노출될 것이다. 처리 챔버 주위의 각각의 주기의 경우, 도시된 가스 분배 조립체를 사용하여, 기판(60)은 제1 반응성 가스 및 제2 반응성 가스의 4회의 ALD 주기들에 노출될 것이다.Factory interface 280 , which may be, for example, a load lock chamber, is shown coupled to processing chamber 100 . A substrate 60 is shown superimposed over the gas distribution assembly 220 to provide a frame of reference. A substrate 60 may often be placed on the susceptor assembly to be held near the front surface 121 of the gas distribution assembly 120 (also referred to as a gas distribution plate). A substrate 60 is loaded onto a substrate support or susceptor assembly within the processing chamber 100 via the factory interface 280 (see FIG. 3). The substrate 60 can be shown as being positioned within the processing region as it is positioned adjacent to the first reactive gas port 125 and between the two gas curtains 150a and 150b. Rotating the substrate 60 along the path 127 will move the substrate around the processing chamber 100 in a counterclockwise direction. Accordingly, the substrate 60 will be exposed from the first processing region 250a to the eighth processing region 250h, including all processing regions in between. For each cycle around the processing chamber, using the illustrated gas distribution assembly, the substrate 60 will be exposed to four ALD cycles of a first reactive gas and a second reactive gas.

도 5의 것과 마찬가지로, 배치 프로세서에서의 종래의 ALD 순서는, 사이에 펌프/퍼지 섹션을 갖는 공간적으로 분리된 주입기들 각각으로부터의 화학물질(A 및 B) 유동을 유지한다. 종래의 ALD 순서는 증착된 막의 불균일성을 초래할 수 있는 시작 및 종료 패턴을 갖는다. 본 발명자들은 놀랍게도, 공간적 ALD 배치 처리 챔버에서 수행되는 시간 기반 ALD 프로세스가, 더 높은 균일성을 갖는 막을 제공한다는 것을 발견하였다. 가스(A)에 노출되고, 반응성 가스에 노출되지 않고, 가스(B)에 노출되고, 반응성 가스에 노출되지 않는 기본 프로세스는, 막에 시작 및 종료 패턴 형태를 갖는 것을 회피하기 위해 표면을 화학물질(A 및 B)로 각각 포화시키기 위해서 주입기들 아래의 기판을 스위핑할 것이다. 본 발명자들은 놀랍게도, 시작 및 종료 패턴이 웨이퍼 내 균일성 성능에 상당한 영향을 미치는, 목표 막 두께가 얇을 때(예를 들어, 20회 ALD 주기들 미만), 시간 기반 접근법이 특히 유리하다는 것을 발견하였다. 본 발명자들은 또한, SiCN, SiCO 및 SiCON 막들을 생성하기 위한 반응 프로세스가, 본원에서 설명되는 바와 같이, 시간 도메인 프로세스로는 달성될 수 없다는 것을 발견하였다. 처리 챔버를 퍼징하기 위해 사용되는 시간의 양은 기판 표면으로부터 물질이 벗겨지게 한다. 벗겨짐은 설명된 공간적 ALD 프로세스로는 발생하지 않는데, 이는 가스 커튼 아래에서의 시간이 짧기 때문이다.Similar to that of FIG. 5, a conventional ALD sequence in a batch processor maintains chemical (A and B) flows from each of the injectors spatially separated with a pump/purge section in between. Conventional ALD sequences have start and end patterns that can lead to non-uniformities in the deposited film. The inventors have surprisingly discovered that a time-based ALD process performed in a spatial ALD batch processing chamber provides films with higher uniformity. The basic process of being exposed to gas (A), not exposed to reactive gas, exposed to gas (B), and not exposed to reactive gas is to chemically coat the surface to avoid having a start and end pattern form on the film. We will sweep the substrate under the injectors to saturate with (A and B), respectively. The inventors have surprisingly found that the time-based approach is particularly advantageous when the target film thickness is low (e.g., less than 20 ALD cycles), where the start and end patterns have a significant impact on within-wafer uniformity performance. . The inventors have also discovered that the reaction process for producing SiCN, SiCO and SiCON films, as described herein, cannot be achieved with a time domain process. The amount of time used to purge the process chamber causes material to peel off the substrate surface. Exfoliation does not occur with the described spatial ALD process because the time under the gas curtain is short.

이에 따라, 본 개시내용의 실시예들은, 각각의 처리 영역이 가스 커튼(150)에 의해 인접 영역으로부터 분리되는, 복수의 처리 영역들(250a-250h)을 갖는 처리 챔버(100)를 포함하는 처리 방법들에 관한 것이다. 예를 들어, 도 5에 도시된 처리 챔버. 처리 챔버 내의 가스 커튼들 및 처리 영역들의 개수는 가스 유동들의 배열에 따라 임의의 적합한 개수일 수 있다. 도 5에 도시된 실시예는 8개의 가스 커튼들(150) 및 8개의 처리 영역들(250a-250h)을 갖는다. 가스 커튼들의 개수는 일반적으로, 처리 영역들의 개수 이상이다. 예를 들어, 영역(250a)이 반응성 가스 유동을 갖지 않고 단지 로딩 영역으로서만 역할하는 경우, 처리 챔버는 7개의 처리 영역들 및 8개의 가스 커튼들을 가질 것이다.Accordingly, embodiments of the present disclosure provide a process comprising a process chamber 100 having a plurality of process regions 250a-250h, each process region separated from an adjacent region by a gas curtain 150. It's about methods. For example, the processing chamber shown in FIG. 5 . The number of gas curtains and processing regions within the processing chamber may be any suitable number depending on the arrangement of the gas flows. The embodiment shown in FIG. 5 has eight gas curtains 150 and eight processing regions 250a-250h. The number of gas curtains is generally greater than or equal to the number of processing regions. For example, if region 250a has no reactive gas flow and serves only as a loading region, the processing chamber will have 7 processing regions and 8 gas curtains.

복수의 기판들(60)이 기판 지지부, 예를 들어, 도 1 및 2에 도시된 서셉터 조립체(140) 상에 위치된다. 복수의 기판들(60)은 처리를 위해 처리 영역들 주위로 회전된다. 일반적으로, 가스 커튼들(150)은, 챔버 내로 어떠한 반응성 가스도 유동하지 않는 기간들을 포함하여 처리 전반에 걸쳐 관여된다(가스가 유동하고 진공이 활성화됨).A plurality of substrates 60 are positioned on a substrate support, for example the susceptor assembly 140 shown in FIGS. 1 and 2 . A plurality of substrates 60 are rotated around processing areas for processing. Generally, gas curtains 150 are engaged throughout the process, including periods when no reactive gas flows into the chamber (gas flows and vacuum is activated).

제1 반응성 가스(A)는 처리 영역들(250) 중 하나 이상 내로 유동되는 한편, 불활성 가스는, 제1 반응성 가스(A)가 내부로 유동되지 않는 임의의 처리 영역(250) 내로 유동된다. 예를 들어, 제1 반응성 가스가 처리 영역들(250b) 내지 처리 영역(250h) 내로 유동하는 경우, 불활성 가스는 처리 영역(250a) 내로 유동할 것이다. 불활성 가스는 제1 반응성 가스 포트(125) 또는 제2 반응성 가스 포트(135)를 통해 유동될 수 있다.The first reactive gas (A) is flowed into one or more of the process regions 250 while the inert gas is flowed into any process region 250 into which the first reactive gas (A) is not flowed. For example, when the first reactive gas flows into processing regions 250b to 250h, the inert gas will flow into processing region 250a. An inert gas may flow through the first reactive gas port 125 or the second reactive gas port 135 .

처리 영역들 내에서의 불활성 가스 유동은 일정하거나 변할 수 있다. 일부 실시예들에서, 반응성 가스는 불활성 가스와 공동 유동된다. 불활성 가스는 캐리어 및 희석제로서 작용할 것이다. 캐리어 가스에 비해 반응성 가스의 양이 적으므로, 공동 유동은 인접 영역들 간의 압력 차이들을 감소시킴으로써 처리 영역들 간의 가스 압력들을 평형화하는 것을 더 용이하게 할 수 있다.The inert gas flow within the processing regions can be constant or variable. In some embodiments, a reactive gas is co-flowed with an inert gas. An inert gas will act as a carrier and diluent. Since the amount of reactive gas is small compared to the carrier gas, co-flow can make it easier to equalize gas pressures between processing regions by reducing pressure differences between adjacent regions.

도 6은 4개의 주입기 유닛들(122) 및 4개의 개구부들(610)을 갖는 가스 분배 조립체(120)의 다른 실시예를 예시한다. 개구부들(610)은 균일한 구성요소를 형성할 주입기 삽입부(도시되지 않음)에 의해 점유될 수 있다. 일부 실시예들에서, 가스 분배 조립체(120)는 온도 제어된 몸체를 갖는다. 예를 들어, 4개의 개구부들(610) 및 4개의 주입기 유닛들(122)을 갖는 크게 예시된 구성요소는 유체 채널들 또는 통상의 기술자에게 알려진 다른 냉각/가열 구성들을 사용하여 냉각되고/거나 가열될 수 있다. 예시된 개구부들(610)은 주입기 삽입부를 지지하도록 크기가 정해진 레지들(612)을 포함하지만; 이는 단지 하나의 가능한 구성을 나타낼 뿐이며, 본 개시내용의 범위를 제한하는 것으로 간주되어서는 안 된다.6 illustrates another embodiment of a gas distribution assembly 120 having four injector units 122 and four openings 610 . Openings 610 may be occupied by an injector insert (not shown) that will form a uniform component. In some embodiments, the gas distribution assembly 120 has a temperature controlled body. For example, the large illustrated component with four openings 610 and four injector units 122 is cooled and/or heated using fluid channels or other cooling/heating arrangements known to those skilled in the art. It can be. The illustrated openings 610 include ledges 612 sized to support the injector insert; This represents only one possible configuration and should not be considered limiting the scope of the present disclosure.

종래의 방법들 및 장치는 RF 전류를 위한 양호한 접지 경로를 요구한다. 이는 다수의 CCP 전극들 하에서 물리적으로 이동하고 있는 스테이지를 사용하는 것을 배제한다. 그 경우, 대향 전극이 제공되고, 웨이퍼가 배치되는, 스테이지의 일 측에 있는 복귀 경로는 RF 복귀 경로의 일부일 필요는 없다. 이는 전체 웨이퍼에 걸쳐 균일한 플라즈마(전위 및 이온 밀도)를 생성하는 데에 어려움을 초래할 수 있다.Conventional methods and apparatus require a good ground path for RF current. This precludes using a stage that is physically moving under multiple CCP electrodes. In that case, the return path on one side of the stage, on which the opposite electrode is provided and the wafer is placed, need not be part of the RF return path. This can lead to difficulties in generating a uniform plasma (potential and ion density) across the entire wafer.

본 개시내용의 일부 실시예들은 유리하게, 직렬로 전기적으로 연결된 한 쌍의 용량성 결합된 플라즈마(CCP) 공급원들을 갖는 장치를 제공한다. 일부 실시예들은 유리하게, 하나의 플라즈마 공급원 상의 RF 전류가 다른 플라즈마 공급원을 통해 복귀되는 CCP들을 제공한다. 일부 실시예들은 유리하게, RF 전류가 복귀하기 위한 외부 접지 경로들을 최소화하거나 제거하여, 이를, 양호한 접지 경로를 고정하는 것이 어려운 기계적으로 동적인 플라즈마 챔버에 적합하게 하기 위한 장치 및 방법들을 제공한다.Some embodiments of the present disclosure advantageously provide an apparatus having a pair of capacitively coupled plasma (CCP) sources electrically connected in series. Some embodiments advantageously provide CCPs in which the RF current on one plasma source is returned through another plasma source. Some embodiments advantageously provide apparatus and methods for minimizing or eliminating external ground paths for RF current to return, making it suitable for mechanically dynamic plasma chambers where it is difficult to fix a good ground path.

본 개시내용의 일부 실시예들은 유리하게, 함께 이동하는 2개의 CCP 스테이지들을 쌍형성하고 하나의 CCP 공급원을 다른 공급원에 대한 복귀부로서 사용하는 장치 및 방법들을 제공한다. 일부 실시예들은 RF 복귀 경로의 결합 및 해제의 복잡한 배열 없는 장치 및 방법들을 제공한다.Some embodiments of the present disclosure advantageously provide apparatus and methods for pairing two CCP stages that move together and using one CCP source as a return to the other. Some embodiments provide apparatus and methods without complex arrangements of coupling and disengagement of the RF return path.

일부 실시예들에서, 2개의 CCP 공급원들은 병렬로 연결된다. 바닥 RF 경로는 서로 연결된다. RF 전력은 서로 180도 위상차로 최상부 측에 인가되고, RF 전류를 푸시-풀 방식으로 앞뒤로 구동한다. RF 전류는 하나의 공급원에 진입하고, 바닥으로부터 공급원을 빠져나가고, 바닥으로부터 다른 공급원으로 되돌아가고, 다른 공급원의 최상부 전극으로부터 빠져나간다.In some embodiments, two CCP sources are connected in parallel. The bottom RF paths are interconnected. RF power is applied to the uppermost side 180 degrees out of phase with each other, and drives the RF current back and forth in a push-pull manner. RF current enters one source, exits the source from the bottom, returns from the bottom to the other source, and exits the top electrode of the other source.

공급원은 180° 비동기로 작동하는 2개의 RF 생성기들에 의해, 또는 발룬(동축 변압기, 종래의 변압기 등)을 통해 2개의 측들에 급전할 하나의 생성기에 의해 구동될 수 있다. 2개의 공급원들을 통한 RF 전류의 연속성은 2개의 공급원들의 개선된 동시 작동을 허용할 것이다. 단일 생성기의 사용은 제2 생성기 및 정합 회로의 비용을 절감할 수 있다.The supply can be driven by two RF generators operating 180° asynchronously, or by one generator that will feed the two sides through a balun (coaxial transformer, conventional transformer, etc.). Continuity of the RF current through the two sources will allow for improved simultaneous operation of the two sources. The use of a single generator can reduce the cost of a second generator and matching circuit.

도 2 및 3에 예시된 실시예들에서, 서셉터는 단일 전도성 몸체이다. 일부 실시예들에서, 도 7에 예시된 바와 같이, 개별 기판 지지부들(710)이 사용되고 단일 웨이퍼 페디스털(700)처럼 작용하도록 구성된다. 4개의 개별 기판 지지부들(710)이 십자형 페디스털 베이스(720)에 연결된다. 페디스털 베이스(720)는 z 축 이동 또는 세타 이동(z 축을 중심으로 한 회전) 중 하나 이상을 제공할 수 있는 모터(730)에 연결된다. 예시된 웨이퍼 페디스털(700)은 4개의 개별 기판 지지부들(710) 및 적절하게 성형된 페디스털 베이스(720)를 갖지만; 통상의 기술자는 적절하게 성형된 페디스털 베이스(720)를 갖는 임의의 적합한 개수(예를 들어, 2개, 3개, 4개, 5개, 6개 등)의 기판 지지부들(710)이 있을 수 있다는 것을 인식할 것이다.In the embodiments illustrated in Figures 2 and 3, the susceptor is a single conductive body. In some embodiments, as illustrated in FIG. 7 , separate substrate supports 710 are used and configured to act like a single wafer pedestal 700 . Four separate substrate supports 710 are connected to the cross pedestal base 720 . The pedestal base 720 is coupled to a motor 730 that can provide one or more of z-axis movement or theta movement (rotation around the z-axis). The illustrated wafer pedestal 700 has four separate substrate supports 710 and a suitably shaped pedestal base 720; One of ordinary skill in the art will appreciate that any suitable number (eg, two, three, four, five, six, etc.) of substrate supports 710 having a suitably shaped pedestal base 720 can be provided. You will recognize that there may be.

기판 지지부들(710) 각각은 다른 기판 지지부들(710)과 독립적으로 가열/냉각될 수 있다. 이는, 각각의 페디스털 상의 웨이퍼가, z 축 주위의 임의의 주어진 위치에서 발생하는 특정 프로세스/반응에 따라 개별적으로 온도 제어되는 것을 허용한다. 예를 들어, 처리 툴은 각각의 웨이퍼가 다수의 반응들 및 프로세스들을 위해 페디스털 상에서 처리 영역들 중 일부 또는 전부로 이동되도록 4개의 개별 처리 영역들을 가질 수 있다.Each of the substrate supports 710 may be heated/cooled independently of the other substrate supports 710 . This allows wafers on each pedestal to be individually temperature controlled according to the particular process/reaction occurring at any given location around the z-axis. For example, a processing tool may have four separate processing regions such that each wafer is moved to some or all of the processing regions on a pedestal for multiple reactions and processes.

도 8-13을 참조하면, 본 개시내용의 하나 이상의 실시예는 처리 챔버들(800) 및 균일한 플라즈마들을 제공하는 방법들에 관한 것이다. 도 8에서, 한 쌍의 고정 전극들(820a, 820b) 및 한 쌍의 이동 전극들(이동 가열기들(810a, 810b)로서 도시됨)이 직렬 회로에 도시된 바와 같이 사용될 수 있다. 예시된 실시예가 이동 가열기들을 사용하지만, 본 개시내용은 그러한 장치로 제한되지 않는다. 일반적으로, 본 개시내용은 물리적 접지가 필요하지 않도록 접지 경로들을 연결시키고 위상들을 조정함으로써 2개 이상의 공급원들에 걸쳐 플라즈마를 생성하는 방법들에 관한 것이다. 도 6 및 7에 예시된 실시예에서, 본원에 설명된 위상 조정을 사용하여 2개가 동시에 점화될 수 있는 2개 또는 4개의 플라즈마 주입기들(샤워헤드들)이 존재할 수 있다. 플라즈마(815a)는 전극(820a)과 가열기(810a) 사이에 점등될 수 있고, 다른 플라즈마(815b)는 전극(820b)과 가열기(810b) 사이에 동시에 점등된다. 그 다음, 가열기들(810a, 810b)은 전극(820a)과 가열기(810b)가 쌍을 이루도록 움직일 수 있고, 그 반대의 경우도 마찬가지이다. 2개의 플라즈마들이 다시 점등될 수 있다. 동일한 생성기(830) 및 정합 세트(835)가 플라즈마들 양쪽 모두에 직렬로 전력을 공급하기 위해 사용된다. 임의의 특정 작동 이론에 얽매이지 않고, 2개의 플라즈마들을 직렬로 갖는 것은, 양쪽 플라즈마들 모두가 동시에 점화되고 동일한 전류가 2개의 쌍들의 전극들을 통과하며, 그러므로, 2개의 웨이퍼들이, 유사한 노출들을 갖는 것을 보장하는 것으로 여겨진다. 전극들 사이를 교번하는 것에 의해, 2개의 웨이퍼들에 대해 평균화 효과가 보장된다. 동축 케이블(840)은, 물리적 RF 연결들에 상대 운동이 없도록, 가열기들과 함께 이동하는 2개의 가열기(810a, 810b) 전극들을 연결한다. 하나의 생성기(830) 및 하나의 정합 세트(835)로의 RF 구성요소들의 감소는 웨이퍼 대 웨이퍼 정합을 보장하면서 비용 및 복잡성을 감소시킨다.8-13, one or more embodiments of the present disclosure relate to processing chambers 800 and methods of providing uniform plasmas. 8, a pair of fixed electrodes 820a, 820b and a pair of moving electrodes (shown as moving heaters 810a, 810b) can be used as shown in a series circuit. Although the illustrated embodiment uses moving heaters, the present disclosure is not limited to such a device. In general, the present disclosure relates to methods of generating plasma across two or more sources by connecting the ground paths and adjusting the phases so that a physical ground is not required. In the embodiment illustrated in FIGS. 6 and 7 , there may be two or four plasma injectors (showerheads), two of which may be fired simultaneously using the phasing described herein. Plasma 815a may be ignited between the electrode 820a and the heater 810a, and another plasma 815b may be ignited simultaneously between the electrode 820b and the heater 810b. Heaters 810a and 810b can then be moved such that electrode 820a and heater 810b are paired, and vice versa. The two plasmas can be re-ignited. The same generator 830 and matching set 835 are used to power both plasmas in series. Without being bound by any particular theory of operation, having two plasmas in series means that both plasmas are ignited simultaneously and the same current passes through the two pairs of electrodes, and therefore the two wafers have similar exposures. It is believed to guarantee By alternating between the electrodes, an averaging effect is ensured for the two wafers. A coaxial cable 840 connects the two heater 810a, 810b electrodes that move with the heaters so that there is no relative motion to the physical RF connections. The reduction of RF components to one generator 830 and one matching set 835 reduces cost and complexity while ensuring wafer-to-wafer matching.

도 8에 예시된 실시예에서, 동축 케이블(840)은 페디스털 베이스(720) 내에 내장된다. 동축 케이블(840)은 가열기들에 연결되고 통상의 기술자에게 알려진 임의의 적합한 방식으로 라우팅될 수 있다. 동축 케이블(840)은 내측 도체(842) 및 외측 도체(846) 그리고 그 사이에 절연체(844)를 포함한다.In the embodiment illustrated in FIG. 8 , coaxial cable 840 is embedded within pedestal base 720 . Coaxial cable 840 connects to the heaters and can be routed in any suitable way known to those skilled in the art. The coaxial cable 840 includes an inner conductor 842 and an outer conductor 846 and an insulator 844 therebetween.

도 9는 기판 지지부들(910a, 910b) 및 전극들(920a, 920b)을 갖는 처리 챔버(900)의 개략도를 도시한다. 적합한 정합 회로(935)를 갖는 RF 공급원(930)은 전극(920a) 및 전극(920b)에 결합된다. 기판 지지부들(910a, 910b)(일부 실시예들에서는 가열기들임) 및 동축 케이블(940)은, 전류(950)가 한 방향으로 RF 공급원(930)으로부터 다시 RF 공급원(930)으로 흐르도록 회로의 바닥 부분을 형성한다. 전류(950)를 나타내는 화살표들은 전류(950)와 이미지 전류(960)를 구별하기 위해 가는 라인을 갖는다. 통상의 기술자가 인식할 바와 같이, 화살표들의 방향은 RF 전류의 진동들에 따라 변할 것이다.9 shows a schematic diagram of a processing chamber 900 having substrate supports 910a, 910b and electrodes 920a, 920b. An RF source 930 with a suitable matching circuit 935 is coupled to electrode 920a and electrode 920b. Substrate supports 910a, 910b (which are heaters in some embodiments) and coaxial cable 940 are circuitry such that current 950 flows in one direction from RF source 930 back to RF source 930. Form the bottom part. Arrows representing current 950 have a thin line to distinguish current 950 from image current 960. As the skilled person will recognize, the direction of the arrows will change with the oscillations of the RF current.

예시된 실시예에서, 최상부 RF 경로(RF 생성기(930)를 통해 920a를 920b에 연결함)는 개방 연결이다. 바닥 RF 경로(지지부(910a)를 지지부(910b)에 연결함)는 이미지 전류(960) 흐름에 대한 전체 경로를 형성하기 위해 동축 케이블(940) 및 연결부(970)로 완성된다. RF 공급원(930)은 변압기 결합된 발룬을 통해 전극들에 결합된다. 발룬은 생성기의 출력을 평형 출력으로 변환하는 데 사용될 수 있다.In the illustrated embodiment, the top RF path (connecting 920a to 920b via RF generator 930) is an open connection. The bottom RF path (connecting support 910a to support 910b) is completed with coaxial cable 940 and connection 970 to form a full path for image current 960 flow. An RF source 930 is coupled to the electrodes through a transformer coupled balun. A balun can be used to convert the generator's output into a balanced output.

도 10은 처리 챔버(1000)의 다른 실시예를 도시한다. 여기서, 동축 라인(1040)은 바닥(1002) 구성요소들(지지부들(1010a, 1010b))을 연결하고 동축 라인(1041)은 RF 생성기(1030)를 통해 전극들(1020a, 1020b)을 연결한다. 하나의 플라즈마 공급원(전극(1020a, 1020b))에 공급된 RF 전류는 다른 공급원을 통해 복귀할 것이다. 이는 프로세스 영역 내부의 RF 필드들을 편향시킬 수 있는 이미지 전류(1060)를 생성할 것이다. 전체 원을 완성하기 위해 동축 경로를 이용하는 것은 대칭 전류 분포를 형성하는 것을 도울 수 있지만, 벽을 따라 그리고 처리 챔버(1000)의 최상부(1001)와 바닥(1002) 사이의 갭(1005)에 걸친 전류 흐름(1050)(변위 전류 또는 무효 전류일 수 있음)을 갖는다. 일부 실시예들의 갭은 약 0.1 mm 내지 약 5 mm의 범위, 또는 약 0.5 mm 내지 약 2 mm의 범위, 또는 약 1 mm이다.10 shows another embodiment of a processing chamber 1000 . Here, coaxial line 1040 connects the floor 1002 components (supports 1010a, 1010b) and coaxial line 1041 connects electrodes 1020a, 1020b via RF generator 1030. . RF current supplied to one plasma source (electrodes 1020a, 1020b) will return through the other source. This will create an image current 1060 that can deflect RF fields inside the process region. Using the coaxial path to complete the full circle can help form a symmetrical current distribution, but the current along the walls and across the gap 1005 between the top 1001 and bottom 1002 of the processing chamber 1000 It has a flow 1050 (which can be displacement current or reactive current). The gap in some embodiments is in the range of about 0.1 mm to about 5 mm, or in the range of about 0.5 mm to about 2 mm, or about 1 mm.

도 11은 처리 챔버(1100)의 다른 실시예를 도시한다. 도 9와 유사하게, 도 11에 예시된 실시예는 바닥 구성요소들(기판 지지부들(1110a, 1110b))을 연결하는 동축 라인(1140)을 갖는 한편, 개방 라인(1141)은 최상부 구성요소들(전극(1120a, 1120b) 및 RF 생성기(1130))을 연결한다. 동축 라인이 또한, 최상부 구성요소들을 연결하는 데 사용될 수 있다. 여기서, 가상 접지를 전극에 가져오기 위해 조정 요소(1147a, 1147b)가 추가된다. 이는 전극들과 주변 금속 구조(1108) 사이의 전압을 감소시키고 그 영역들에서 방전을 개시할 기회를 최소화할 것으로 여겨진다. 조정 요소들(1147a, 1147b)은 기생 플라즈마를 감소시키기 위해 페디스털(기판 지지부들(1110a, 1110b))과 주변 금속 구조(1108) 사이의 전압을 감소시킨다.11 shows another embodiment of a processing chamber 1100. Similar to FIG. 9, the embodiment illustrated in FIG. 11 has a coaxial line 1140 connecting the bottom components (substrate supports 1110a, 1110b), while an open line 1141 connects the top components. (electrodes 1120a, 1120b and RF generator 1130) are connected. Coaxial lines may also be used to connect the top components. Here, adjustment elements 1147a and 1147b are added to bring a virtual ground to the electrodes. It is believed that this will reduce the voltage between the electrodes and the surrounding metal structure 1108 and minimize the chance of initiating a discharge in those areas. Adjusting elements 1147a and 1147b reduce the voltage between the pedestal (substrate supports 1110a and 1110b) and the surrounding metal structure 1108 to reduce the parasitic plasma.

도 12는 유전체 브레이크(1270)를 갖는 처리 챔버(1200)의 다른 실시예를 도시한다. 챔버의 최상부 부분은 도 11에 예시된 것과 유사한 방식으로 연결된다. 유전체 브레이크(1270)의 포함으로 인해 챔버의 바닥 부분이 상이하다. 기판 지지부(1210a)는 발룬(1247a, 1247b)을 갖는 동축 라인(1240)을 통해 기판 지지부(1210b)에 연결된다. 유전체 스페이서(1270)는 기판 지지부들(1210a, 1210b)을 분리시키고, 챔버의 바닥 부분에서의 이미지 전류를 감소시킨다. 임의의 특정 작동 이론에 얽매이지 않고, 유전체 브레이크를 제공하는 것은 챔버의 벽을 따르는 경로에 대한 필요성을 제거할 것으로 여겨진다. 이는 구성요소들의 표면들 사이의 전압차의 생성을 초래할 수 있다.12 shows another embodiment of a processing chamber 1200 having a dielectric brake 1270. The uppermost part of the chamber is connected in a manner similar to that illustrated in FIG. 11 . The bottom portion of the chamber is different due to the inclusion of a dielectric brake 1270. The substrate support 1210a is connected to the substrate support 1210b via a coaxial line 1240 with baluns 1247a and 1247b. A dielectric spacer 1270 separates the substrate supports 1210a and 1210b and reduces the image current at the bottom portion of the chamber. Without being bound by any particular theory of operation, it is believed that providing a dielectric break will eliminate the need for a path along the walls of the chamber. This can result in the creation of a voltage difference between the surfaces of the components.

유전체 브레이크(1270)는 통상의 기술자에게 알려진 임의의 적합한 물질일 수 있다. 적합한 유전체 물질들은 석영, 세라믹 및 테프론®(폴리테트라플루오로에틸렌)을 포함하지만 이에 제한되지 않는다.Dielectric brake 1270 may be any suitable material known to those skilled in the art. Suitable dielectric materials include, but are not limited to, quartz, ceramics and Teflon ® (polytetrafluoroethylene).

도 13은 비동축 바닥 경로를 갖는 처리 챔버(1300)의 다른 실시예를 도시한다. RF 생성기(1330)는 전극(1320a)을 전극(1320b)과 연결한다. 바닥 경로는 기판 지지부(1310a)를 기판 지지부(1310b)와 연결하는 동축 라인 없이 형성된다. 조정 요소들(1347a, 1347b)은 동축 라인 없이 이미지 전류를 위한 복귀 경로를 제공하는 외측 도체에 결합된다.13 shows another embodiment of a processing chamber 1300 having a non-coaxial bottom path. RF generator 1330 connects electrode 1320a with electrode 1320b. The bottom path is formed without a coaxial line connecting substrate support 1310a with substrate support 1310b. Adjustment elements 1347a and 1347b are coupled to the outer conductor providing a return path for the image current without a coaxial line.

본 개시내용의 추가적인 실시예들은 적어도 2개의 플라즈마 스테이션들을 갖는 처리 챔버들에 관한 것이다. 이러한 방식으로 사용될 때, 플라즈마 스테이션은 플라즈마를 생성하는 데 사용될 수 있는 전극, 샤워헤드 또는 가스 분배 시스템을 갖는다. 플라즈마 스테이션은 도 7-8의 실시예에서와 같이 분리된 영역일 수 있거나, 도 1-6에 예시된 처리 챔버와 같은 배치 처리 챔버들의 개별 부분들일 수 있다.Additional embodiments of the present disclosure relate to processing chambers having at least two plasma stations. When used in this manner, the plasma station has an electrode, showerhead or gas distribution system that can be used to generate plasma. The plasma station may be a separate area, as in the embodiment of FIGS. 7-8, or may be separate parts of batch processing chambers, such as the processing chambers illustrated in FIGS. 1-6.

도 8-13을 다시 참조하면, 처리 챔버(800)는 처리를 위해 개별 웨이퍼들을 지지하기 위해 복수의 지지 표면들을 갖는 웨이퍼 페디스털을 포함한다. 도 8에서, 지지 표면들은 한 쌍의 이동 전극들로서 예시된다(이동 가열기들(810a, 810b)로서 도시됨).Referring again to FIGS. 8-13 , the processing chamber 800 includes a wafer pedestal having a plurality of support surfaces for supporting individual wafers for processing. 8, the support surfaces are illustrated as a pair of moving electrodes (shown as moving heaters 810a, 810b).

플라즈마(815a)는 제1 전극(820a)과 제1 지지 표면(가열기(810a)) 사이에 점등될 수 있고, 플라즈마(815b)는 제2 전극(820b)과 제2 지지 표면(가열기(810b)) 사이에 동시에 점등된다. 그 다음, 지지 표면들(가열기들(810a, 810b))은 제1 전극(820a)과 제2 지지 표면(가열기(810b))이 쌍을 이루도록 움직일 수 있고, 그 반대의 경우도 마찬가지이다. 2개의 플라즈마들이 다시 점등될 수 있다. 동일한 RF 생성기(830) 및 정합 세트(835)가 플라즈마들 양쪽 모두에 직렬로 전력을 공급하기 위해 사용된다. RF 생성기(830)는 최상부 RF 경로를 형성하기 위해 제1 플라즈마 스테이션의 제1 전극(820a) 및 제2 플라즈마 스테이션의 제2 전극(820b)에 연결된다. 임의의 특정 작동 이론에 얽매이지 않고, 2개의 플라즈마들을 직렬로 갖는 것은, 양쪽 플라즈마들 모두가 동시에 점화되고 동일한 전류가 2개의 쌍들의 전극들을 통과하며, 그러므로, 2개의 웨이퍼들이, 유사한 노출들을 갖는 것을 보장하는 것으로 여겨진다. 전극들 사이를 교번하는 것에 의해, 2개의 웨이퍼들에 대해 평균화 효과가 보장된다. 동축 케이블(840)은, 물리적 RF 연결들에 상대 운동이 없도록, 가열기들과 함께 이동하는 2개의 가열기(810a, 810b) 전극들을 연결한다. 하나의 생성기(830) 및 하나의 정합 세트(835)로의 RF 구성요소들의 감소는 웨이퍼 대 웨이퍼 정합을 보장하면서 비용 및 복잡성을 감소시킨다.A plasma 815a may be ignited between the first electrode 820a and the first support surface (heater 810a), and the plasma 815b may be ignited between the second electrode 820b and the second support surface (heater 810b). ) lights up simultaneously. The support surfaces (heaters 810a, 810b) can then be moved such that the first electrode 820a and the second support surface (heater 810b) are paired, and vice versa. The two plasmas can be re-ignited. The same RF generator 830 and matching set 835 are used to power both plasmas in series. The RF generator 830 is coupled to the first electrode 820a of the first plasma station and the second electrode 820b of the second plasma station to form the top RF path. Without being bound by any particular theory of operation, having two plasmas in series means that both plasmas are ignited simultaneously and the same current passes through the two pairs of electrodes, and therefore the two wafers have similar exposures. It is believed to guarantee By alternating between the electrodes, an averaging effect is ensured for the two wafers. A coaxial cable 840 connects the two heater 810a, 810b electrodes that move with the heaters so that there is no relative motion to the physical RF connections. The reduction of RF components to one generator 830 and one matching set 835 reduces cost and complexity while ensuring wafer-to-wafer matching.

도 8에 예시된 실시예에서, 동축 케이블(840)은 페디스털 베이스(720) 내에 내장된다. 동축 케이블(840)은 바닥 RF 경로를 형성하기 위해 웨이퍼 페디스털의 적어도 2개의 지지 표면들(예를 들어, 가열기들(810a, 810b))에 연결될 수 있다. 공동축(동축) 케이블들을 포함하지만 이에 제한되지 않는 연결들이, 통상의 기술자에게 알려진 임의의 적합한 방식으로 라우팅될 수 있다. 동축 케이블(840)은 내측 도체(842) 및 외측 도체(846) 그리고 그 사이에 절연체(844)를 포함한다.In the embodiment illustrated in FIG. 8 , coaxial cable 840 is embedded within pedestal base 720 . A coaxial cable 840 may be connected to at least two support surfaces of the wafer pedestal (eg, heaters 810a and 810b) to form a bottom RF path. Connections, including but not limited to coaxial (coaxial) cables, may be routed in any suitable manner known to those skilled in the art. The coaxial cable 840 includes an inner conductor 842 and an outer conductor 846 and an insulator 844 therebetween.

도 9-13에 예시된 실시예들 각각은, 적어도 2개의 지지 표면들 및 적어도 2개의 플라즈마 스테이션들을 갖는 처리 챔버에 적용가능하다. 이 예시들에서의 구성요소들의 배열은 이동가능한 가열기가 더 일반적인 지지 표면으로 대체되는 구성요소들의 배열과 유사하다. 지지 표면은 이동가능한 가열기를 포함할 수 있지만, 이러한 방식으로 제한되지 않는다.Each of the embodiments illustrated in FIGS. 9-13 are applicable to a processing chamber having at least two support surfaces and at least two plasma stations. The arrangement of components in these examples is similar to that in which the movable heater is replaced with a more general support surface. The support surface may include, but is not limited to, a movable heater.

본 개시내용의 추가적인 실시예들은 복수의 기판들을 처리하는 방법들에 관한 것이다. 방법은, 최상부 RF 경로를 형성하기 위해 제1 플라즈마 처리 스테이션의 제1 전극 및 제2 플라즈마 처리 스테이션의 제2 전극에 연결되는 RF 생성기에 전력을 공급하는 단계를 포함한다. 전극들의 전력 공급 동안, 제1 지지 표면은 제1 플라즈마 처리 스테이션 내에 위치되고 제2 지지 표면은 제2 플라즈마 처리 스테이션 내에 위치된다. 제1 지지 표면 및 제2 지지 표면은 바닥 RF 경로를 형성하도록 연결된다. 지지 표면들은 이동가능한 가열기들 또는 통상의 기술자에게 알려진 임의의 다른 서셉터 유형의 구성요소일 수 있다. 일부 실시예들에서, 방법은 도 9-13과 관련하여 위에서 설명된 바와 같이, 제1 전극과 제2 전극 사이의 연결 및/또는 제1 지지 표면과 제2 지지 표면 사이의 연결을 제공하는 단계를 더 포함한다.Additional embodiments of the present disclosure relate to methods of processing a plurality of substrates. The method includes supplying power to an RF generator coupled to a first electrode of a first plasma processing station and a second electrode of a second plasma processing station to form a top RF path. During powering of the electrodes, the first support surface is located in the first plasma processing station and the second support surface is located in the second plasma processing station. The first support surface and the second support surface are connected to form a bottom RF path. Support surfaces may be movable heaters or any other susceptor type component known to those skilled in the art. In some embodiments, the method includes providing a connection between the first electrode and the second electrode and/or a connection between the first and second support surfaces, as described above with respect to FIGS. 9-13 . more includes

전술한 명세서에서, 본 개시내용의 실시예들은 그의 특정한 예시적인 실시예들을 참조하여 설명되었다. 다음의 청구항들에 열거된 바와 같이 본 개시내용의 실시예들의 더 넓은 사상 및 범위로부터 벗어나지 않고 그에 대해 다양한 수정들이 이루어질 수 있다는 것이 명백할 것이다. 이에 따라, 본 명세서 및 도면들은 제한적인 의미보다는 예시적인 의미로 간주되어야 한다.In the foregoing specification, embodiments of the present disclosure have been described with reference to specific exemplary embodiments thereof. It will be apparent that various modifications may be made thereto without departing from the broader spirit and scope of the embodiments of the present disclosure as recited in the following claims. Accordingly, the present specification and drawings are to be regarded in an illustrative rather than a restrictive sense.

Claims (17)

복수의 기판들을 처리하는 방법으로서,
최상부 RF 경로를 형성하기 위해 제1 플라즈마 처리 스테이션의 제1 전극 및 제2 플라즈마 처리 스테이션의 제2 전극에 연결된 RF 생성기에 전력을 공급하는 단계를 포함하고, 상기 제1 플라즈마 처리 스테이션은 제1 지지 표면을 포함하고, 상기 제2 플라즈마 처리 스테이션은 제2 지지 표면을 포함하고, 웨이퍼 페디스털의 적어도 2개의 지지 표면들 사이의 연결은 바닥 RF 경로를 형성하고, 상기 제1 전극, 상기 적어도 2개의 지지 표면들 사이의 연결은 RF 전류 흐름을 위한 경로를 형성하는, 방법.
As a method of processing a plurality of substrates,
supplying power to an RF generator coupled to a first electrode of a first plasma processing station and a second electrode of a second plasma processing station to form an uppermost RF path, wherein the first plasma processing station comprises a first support a surface, wherein the second plasma processing station includes a second support surface, wherein a connection between at least two support surfaces of a wafer pedestal forms a bottom RF path, wherein the first electrode, the at least two wherein the connection between the support surfaces of the dog forms a path for RF current flow.
제1항에 있어서, 상기 지지 표면들 사이의 상기 연결은 웨이퍼 페디스털 내에 있는, 방법.The method of claim 1 , wherein the connection between the support surfaces is within a wafer pedestal. 제1항에 있어서, 상기 지지 표면들 사이의 상기 연결은 동축 연결을 포함하는, 방법.The method of claim 1 , wherein the connection between the support surfaces comprises a coaxial connection. 제3항에 있어서, 상기 지지 표면들을 연결하는 제2 바닥 RF 경로를 더 포함하는 방법.4. The method of claim 3, further comprising a second bottom RF path connecting the support surfaces. 제3항에 있어서, 상기 최상부 RF 경로는 동축 케이블을 이용하여 형성되는, 방법.4. The method of claim 3, wherein the top RF path is formed using coaxial cable. 제3항에 있어서, 상기 지지 표면들 각각에 연결된 조정 요소를 조정하는 단계를 더 포함하는, 방법.4. The method of claim 3, further comprising adjusting an adjustment element coupled to each of the support surfaces. 제6항에 있어서, 상기 조정 요소는 발룬을 포함하는, 방법.7. The method of claim 6, wherein the adjustment element comprises a balun. 제6항에 있어서, 상기 조정 요소를 조정하는 단계는 상기 지지 표면들과 상기 처리 챔버를 형성하는 주변 금속 구조 사이의 전압차를 감소시키는, 방법.7. The method of claim 6, wherein adjusting the adjusting element reduces a voltage difference between the support surfaces and a peripheral metal structure forming the processing chamber. 제3항에 있어서, 상기 지지 표면들을 분리시키는 유전체 스페이서를 더 포함하는, 방법.4. The method of claim 3, further comprising a dielectric spacer separating the support surfaces. 제9항에 있어서, 상기 유전체 스페이서는 석영, 세라믹 또는 폴리테트라플루오로에틸렌 중 하나 이상을 포함하는, 방법.10. The method of claim 9, wherein the dielectric spacer comprises one or more of quartz, ceramic or polytetrafluoroethylene. 제6항에 있어서, 상기 바닥 RF 경로는 동축 케이블 없이 형성되는, 방법.7. The method of claim 6, wherein the bottom RF path is formed without coaxial cable. 복수의 기판들을 처리하는 방법으로서,
최상부 RF 경로를 형성하기 위해 제1 플라즈마 처리 스테이션의 제1 전극 및 제2 플라즈마 처리 스테이션의 제2 전극에 연결된 RF 생성기에 전력을 공급하는 단계를 포함하고, 상기 제1 플라즈마 처리 스테이션 및 상기 제2 플라즈마 처리 스테이션은 처리 챔버의 내부 주위에 배열되고, 상기 처리 챔버는 복수의 처리 스테이션들, 처리를 위해 개별 웨이퍼들을 지지하기 위한, 복수의 가열기들을 갖는 웨이퍼 페디스털 - 상기 가열기들의 개수는 상기 처리 스테이션들의 개수와 동일함 -, 및 바닥 RF 경로를 형성하기 위한, 상기 웨이퍼 페디스털의 제1 가열기와 제2 가열기 사이의 연결을 포함하고, 상기 제1 전극, 상기 웨이퍼 페디스털의 상기 제1 가열기와 상기 제2 가열기 표면들 사이의 상기 연결 및 상기 제2 전극은 RF 전류 흐름을 위한 경로를 형성하는, 방법.
As a method of processing a plurality of substrates,
supplying power to an RF generator connected to a first electrode of a first plasma processing station and a second electrode of a second plasma processing station to form an uppermost RF path; A plasma processing station is arranged around the inside of the processing chamber, the processing chamber comprising a plurality of processing stations, a wafer pedestal having a plurality of heaters for supporting individual wafers for processing - the number of the heaters being the number of the processing stations. equal to the number of stations, and a connection between the first heater and the second heater of the wafer pedestal to form a bottom RF path; wherein the connection between the first heater and the second heater surfaces and the second electrode form a path for RF current flow.
제12항에 있어서, 상기 제1 가열기와 상기 제2 가열기 사이의 상기 연결은 상기 웨이퍼 페디스털 내에 있는, 방법.13. The method of claim 12, wherein the connection between the first heater and the second heater is within the wafer pedestal. 제12항에 있어서, 상기 제1 가열기와 상기 제2 가열기 사이의 상기 연결은 동축 연결을 포함하는, 방법.13. The method of claim 12, wherein the connection between the first heater and the second heater comprises a coaxial connection. 제14항에 있어서, 상기 제1 가열기를 상기 제2 가열기에 연결하는 제2 바닥 RF 경로를 더 포함하는, 방법.15. The method of claim 14, further comprising a second bottom RF path connecting the first heater to the second heater. 제14항에 있어서, 상기 제1 가열기 및 상기 제2 가열기 각각에 연결된 조정 요소를 조정하는 단계를 더 포함하는, 방법.15. The method of claim 14, further comprising adjusting an adjusting element coupled to each of the first and second heaters. 제14항에 있어서, 상기 제1 가열기를 상기 제2 가열기로부터 분리시키는 유전체 스페이서를 더 포함하는, 방법.15. The method of claim 14 further comprising a dielectric spacer separating the first heater from the second heater.
KR1020227042772A 2018-06-18 2019-06-18 Paired dynamic parallel plate capacitively coupled plasmas KR102652116B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862686643P 2018-06-18 2018-06-18
US62/686,643 2018-06-18
PCT/US2019/037655 WO2019246041A1 (en) 2018-06-18 2019-06-18 Paired dynamic parallel plate capacitively coupled plasmas
KR1020217001084A KR102476114B1 (en) 2018-06-18 2019-06-18 Paired Dynamic Parallel Plate Capacitively Coupled Plasmas

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020217001084A Division KR102476114B1 (en) 2018-06-18 2019-06-18 Paired Dynamic Parallel Plate Capacitively Coupled Plasmas

Publications (2)

Publication Number Publication Date
KR20230004878A true KR20230004878A (en) 2023-01-06
KR102652116B1 KR102652116B1 (en) 2024-03-29

Family

ID=68840307

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217001084A KR102476114B1 (en) 2018-06-18 2019-06-18 Paired Dynamic Parallel Plate Capacitively Coupled Plasmas
KR1020227042772A KR102652116B1 (en) 2018-06-18 2019-06-18 Paired dynamic parallel plate capacitively coupled plasmas

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020217001084A KR102476114B1 (en) 2018-06-18 2019-06-18 Paired Dynamic Parallel Plate Capacitively Coupled Plasmas

Country Status (6)

Country Link
US (2) US11282676B2 (en)
JP (2) JP7078762B2 (en)
KR (2) KR102476114B1 (en)
CN (1) CN112292755A (en)
TW (2) TWI780337B (en)
WO (1) WO2019246041A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114023620B (en) * 2021-10-29 2023-07-14 德鸿半导体设备(浙江)有限公司 Processing station for processing substrates

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000030894A (en) * 1998-07-07 2000-01-28 Kokusai Electric Co Ltd Plasma processing method and device
KR20060048008A (en) * 2004-05-21 2006-05-18 가부시키가이샤 아루박 Vacuum film-forming apparatus
KR20090013286A (en) * 2007-08-01 2009-02-05 삼성전자주식회사 Apparatus for manufacturing a semiconductor device
US20170191159A1 (en) * 2016-01-01 2017-07-06 Applied Materials, Inc. Non-Metallic Thermal CVD/ALD Gas Injector And Purge System
KR20170106250A (en) * 2015-11-20 2017-09-20 어플라이드 머티어리얼스, 인코포레이티드 Lateral plasma/radical source

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0666298B2 (en) * 1983-02-03 1994-08-24 日電アネルバ株式会社 Dry etching equipment
US4439261A (en) * 1983-08-26 1984-03-27 International Business Machines Corporation Composite pallet
JP2963973B2 (en) * 1993-09-17 1999-10-18 東京エレクトロン株式会社 Batch type cold wall processing apparatus and cleaning method thereof
JP2978974B2 (en) * 1996-02-01 1999-11-15 キヤノン販売株式会社 Plasma processing equipment
US6521046B2 (en) * 2000-02-04 2003-02-18 Kabushiki Kaisha Kobe Seiko Sho Chamber material made of Al alloy and heater block
JP2003201565A (en) * 2002-01-08 2003-07-18 Canon Inc Apparatus and method for forming deposit film
FR2872826B1 (en) 2004-07-07 2006-09-15 Commissariat Energie Atomique LOW-TEMPERATURE GROWTH OF CARBON NANOTUBES ORIENTED
JP2006028577A (en) 2004-07-15 2006-02-02 Canon Anelva Corp Cvd system
US8366829B2 (en) * 2005-08-05 2013-02-05 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
JP5390230B2 (en) * 2008-03-31 2014-01-15 日本碍子株式会社 Silicon-based thin film deposition apparatus and method
JP5544697B2 (en) 2008-09-30 2014-07-09 東京エレクトロン株式会社 Deposition equipment
TWI465599B (en) * 2008-12-29 2014-12-21 K C Tech Co Ltd Atomic layer deposition apparatus
US20120006489A1 (en) * 2009-03-26 2012-01-12 Shogo Okita Plasma processing apparatus and plasma processing method
JP5327147B2 (en) * 2009-12-25 2013-10-30 東京エレクトロン株式会社 Plasma processing equipment
US20130157466A1 (en) * 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
JP2013030392A (en) * 2011-07-29 2013-02-07 Tokyo Electron Ltd Plasma processing apparatus
JP2013055129A (en) 2011-09-01 2013-03-21 Hitachi Kokusai Electric Inc Substrate processing device and manufacturing method of semiconductor device
WO2013136656A1 (en) * 2012-03-15 2013-09-19 東京エレクトロン株式会社 Film forming device
JP5939147B2 (en) * 2012-12-14 2016-06-22 東京エレクトロン株式会社 Film forming apparatus, substrate processing apparatus, and film forming method
JP6134191B2 (en) * 2013-04-07 2017-05-24 村川 惠美 Rotary semi-batch ALD equipment
JP2015180768A (en) * 2014-03-06 2015-10-15 株式会社日立国際電気 Substrate treatment apparatus, semiconductor device manufacturing method, and recording medium
JP6697067B2 (en) 2016-02-23 2020-05-20 株式会社Kokusai Electric Substrate processing apparatus, semiconductor device manufacturing method, and computer-readable recording medium

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000030894A (en) * 1998-07-07 2000-01-28 Kokusai Electric Co Ltd Plasma processing method and device
KR20060048008A (en) * 2004-05-21 2006-05-18 가부시키가이샤 아루박 Vacuum film-forming apparatus
KR20090013286A (en) * 2007-08-01 2009-02-05 삼성전자주식회사 Apparatus for manufacturing a semiconductor device
KR20170106250A (en) * 2015-11-20 2017-09-20 어플라이드 머티어리얼스, 인코포레이티드 Lateral plasma/radical source
US20170191159A1 (en) * 2016-01-01 2017-07-06 Applied Materials, Inc. Non-Metallic Thermal CVD/ALD Gas Injector And Purge System

Also Published As

Publication number Publication date
US20220165540A1 (en) 2022-05-26
TW202000984A (en) 2020-01-01
US20190385819A1 (en) 2019-12-19
WO2019246041A1 (en) 2019-12-26
KR102652116B1 (en) 2024-03-29
JP7422807B2 (en) 2024-01-26
TW202302909A (en) 2023-01-16
TWI780337B (en) 2022-10-11
KR102476114B1 (en) 2022-12-12
KR20210008564A (en) 2021-01-22
JP7078762B2 (en) 2022-05-31
US11923172B2 (en) 2024-03-05
US11282676B2 (en) 2022-03-22
JP2022122897A (en) 2022-08-23
JP2021528849A (en) 2021-10-21
CN112292755A (en) 2021-01-29

Similar Documents

Publication Publication Date Title
KR102656575B1 (en) Lateral plasma/radical source
JP6892439B2 (en) Plasma module with slotted ground plate
US11315769B2 (en) Plasma source for rotating susceptor
KR102405729B1 (en) Geometric Selective Deposition of Dielectric Films Using Low Frequency Bias
TW201737295A (en) Dual-feed tunable plasma source
WO2019118808A1 (en) Shaped electrodes for improved plasma exposure from vertical plasma source
US20230307213A1 (en) Vertically adjustable plasma source
US11887818B2 (en) Methods and systems to modulate film stress
JP7422807B2 (en) Paired dynamic parallel plate capacitively coupled plasma
WO2019169253A1 (en) Microwave plasma source for spatial plasma enhanced atomic layer deposition (pe-ald) processing tool
WO2019164865A1 (en) Pbn heaters for ald temperature uniformity
US20210327686A1 (en) Microwave Plasma Source For Spatial Plasma Enhanced Atomic Layer Deposition (PE-ALD) Processing Tool
WO2022232502A1 (en) Microwave plasma source for spatial plasma enhanced atomic layer deposition (pe-ald) processing tool

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant