KR20230002104A - Auxiliary precursor, thin film and semiconductor device - Google Patents

Auxiliary precursor, thin film and semiconductor device Download PDF

Info

Publication number
KR20230002104A
KR20230002104A KR1020220079550A KR20220079550A KR20230002104A KR 20230002104 A KR20230002104 A KR 20230002104A KR 1020220079550 A KR1020220079550 A KR 1020220079550A KR 20220079550 A KR20220079550 A KR 20220079550A KR 20230002104 A KR20230002104 A KR 20230002104A
Authority
KR
South Korea
Prior art keywords
formula
thin film
integer
group
independently
Prior art date
Application number
KR1020220079550A
Other languages
Korean (ko)
Inventor
김종문
정재선
이승현
연창봉
Original Assignee
솔브레인 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 솔브레인 주식회사 filed Critical 솔브레인 주식회사
Publication of KR20230002104A publication Critical patent/KR20230002104A/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals

Abstract

The present invention relates to an auxiliary precursor, a thin film, and a semiconductor device. According to the present invention, the present invention is applied before or after a step of injecting a metal precursor to reduce impurities in a tin film and improve step coverage.

Description

보조 전구체, 박막 및 반도체 소자 {AUXILIARY PRECURSOR, THIN FILM AND SEMICONDUCTOR DEVICE}Auxiliary precursor, thin film and semiconductor device {AUXILIARY PRECURSOR, THIN FILM AND SEMICONDUCTOR DEVICE}

본 발명은 보조 전구체, 박막 및 반도체 소자에 관한 것으로, 구체적으로는 메탈 프리커서 주입 전 단계 또는 후 단계에 적용하여 박막내 불순물을 저감시키고, 단차피복성을 향상시키는 보조 전구체 및 이를 이용한 박막과 반도체 소자에 관한 것이다. The present invention relates to an auxiliary precursor, a thin film, and a semiconductor device, and specifically, an auxiliary precursor that is applied before or after metal precursor injection to reduce impurities in a thin film and improve step coverage, and a thin film and semiconductor using the same It's about the little ones.

보조전구체가 없는 종래의 ALD(atomic layer deposition)를 통한 박막 형성시 주입된 메탈 프리커서의 리간드가 잔류하여 박막내 불순물이 유입되는 오염(contamination)이 있다. When forming a thin film through conventional ALD (atomic layer deposition) without an auxiliary precursor, ligands of the injected metal precursors remain and impurities in the thin film are introduced.

반도체소자의 미세화로 인해 얇은 박막을 수평방향으로 형성할 때 박막 내 불순물(C, Cl, F 등)의 오염으로 인해 박막의 밀도를 낮추고, 전기전도성을 저해시키는 문제점이 있다. When a thin thin film is formed in a horizontal direction due to miniaturization of semiconductor devices, contamination of impurities (C, Cl, F, etc.) in the thin film reduces the density of the thin film and impairs electrical conductivity.

또한 반도체소자의 미세화로 인해 깊은 수직 홀 (via hole) 또는 트렌치를 박막을 수직방향으로 형성할 때, 수직방향의 박막의 상부와 하부의 두께 균일성 즉 단차피복성(step coverage)이 확보되기 어려운 문제점이 있다.In addition, due to the miniaturization of semiconductor devices, when forming deep vertical via holes or trenches in the vertical direction of the thin film, it is difficult to secure the thickness uniformity of the upper and lower portions of the thin film in the vertical direction, that is, step coverage. There is a problem.

이에 메탈 프리커서 주입 전 단계 또는 후 단계에 적용하여 박막내 불순물을 저감시키고, 단차피복성을 향상시키는 보조 전구체에 대한 기술 개발이 필요하다. Therefore, it is necessary to develop a technology for an auxiliary precursor that is applied before or after the injection of the metal precursor to reduce impurities in the thin film and improve step coverage.

한국공개특허 제2006-0037241호Korean Patent Publication No. 2006-0037241

본 발명의 일 목적은 메탈 프리커서 주입 전 단계 또는 후 단계에 적용하여 박막내 불순물을 저감시키면서 단차 피복성(step coverage)을 향상시킬 수 있는 보조 전구체를 제공하는데 있다. One object of the present invention is to provide an auxiliary precursor capable of improving step coverage while reducing impurities in a thin film by applying it before or after metal precursor implantation.

본 발명의 다른 목적은 전술한 보조 전구체를 이용한 박막 및 그 제조방법을 제공하는데 있다. Another object of the present invention is to provide a thin film and a method for manufacturing the same using the auxiliary precursor described above.

본 발명의 다른 목적은 상기 박막을 포함하는 반도체 기판 및 반도체 소자를제공하는데 있다. Another object of the present invention is to provide a semiconductor substrate and a semiconductor device including the thin film.

본 발명에 따르면, 박막용 메탈 프리커서에 대한 보조 전구체로서, 상기 보조 전구체는 아이오딘계 화합물인 보조 전구체를 제공한다. According to the present invention, as an auxiliary precursor for a metal precursor for a thin film, the auxiliary precursor is an iodine-based compound.

상기 메탈 프리커서는 하기 화학식(1) 내지 화학식(6)으로 표시되는 군에서 선택된 1종 이상일 수 있다. The metal precursor may be at least one selected from the group represented by Chemical Formulas (1) to (6) below.

화학식(1) = MxLyL'z Formula (1) = M x L y L' z

(상기 화학식 (1)에서, 하기 x는 1 내지 3의 정수이며, 하기 M은 Li, Be, C, P, Na, Mg, Al, Si, K, Ca, Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ga, Ge, As, Se, Rb, Sr, Y, Zr, Nb, Mo, Te, Ru, Rh, Pd, Ag, Cd, In, Sn, Sb, Te, Ce, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Th, Pa, U, Cs, Ba, La, Hf, Ta, W, Re, Os, Ir, Pt, Au, Hg, Tl, Pb, Bi, Pt, At 및 Tn으로 이루어진 군에서 선택될 수 있고, y는 1 내지 6의 정수이며, z는 0 내지 6(바람직하게는 1 내지 6)의 정수이고, 상기 L 및 L'는 각각 독립적으로 H, C, N, O, F, P, S, Cl, Br 또는 I이거나, H, C, N, O, F, P, S, Cl 및 Br로 이루어진 군에서 선택된 1종 또는 2종 이상의 조합으로 이루어진 리간드이다.) (In Formula (1), the following x is an integer from 1 to 3, and the following M is Li, Be, C, P, Na, Mg, Al, Si, K, Ca, Sc, Ti, V, Cr, Mn , Fe, Co, Ni, Cu, Zn, Ga, Ge, As, Se, Rb, Sr, Y, Zr, Nb, Mo, Te, Ru, Rh, Pd, Ag, Cd, In, Sn, Sb, Te , Ce, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Th, Pa, U, Cs, Ba, La, Hf, Ta, W, Re, Os, Ir, Pt, Au , Hg, Tl, Pb, Bi, Pt, At and Tn, y is an integer from 1 to 6, z is an integer from 0 to 6 (preferably 1 to 6), the above L and L' are each independently H, C, N, O, F, P, S, Cl, Br or I, or from the group consisting of H, C, N, O, F, P, S, Cl and Br It is a ligand consisting of one selected species or a combination of two or more species.)

화학식(2)=

Figure pat00001
Formula (2) =
Figure pat00001

(상기 화학식 (2)에서, 하기 M1은 Zr, Hf, Si, Ge 또는 Ti이며, 하기 X1, X2, X3는 독립적으로 -NR1R2 또는 -OR3이고, 상기 R1 내지 R3은 독립적으로 탄소수 1 내지 6의 알킬기이며, 상기 n은 1 또는 2이다.) (In Formula (2), the following M1 is Zr, Hf, Si, Ge or Ti, the following X 1 , X 2 , X 3 are independently -NR 1 R 2 or -OR 3 , wherein R1 to R3 are It is independently an alkyl group having 1 to 6 carbon atoms, wherein n is 1 or 2.)

화학식(3)=

Figure pat00002
Formula (3)=
Figure pat00002

(상기 화학식 (3)에서, 하기 M은 Zr, Hf, Si, Ge 또는 Ti이며, R1은 독립적으로 수소, 탄소수 1 내지 4의 알킬기이고, 상기 n은 0 내지 5의 정수이며, X'1, X'2 및 X'3은 독립적으로 -NR1R2 또는 -OR3이고, 상기 R'1 내지 R'3은 독립적으로 탄소수 1 내지 6의 알킬기이다.)(In the formula (3), M is Zr, Hf, Si, Ge or Ti, R1 is independently hydrogen or an alkyl group having 1 to 4 carbon atoms, n is an integer of 0 to 5, X'1, X'2 and X'3 are independently -NR1R2 or -OR 3 , and R'1 to R'3 are independently an alkyl group having 1 to 6 carbon atoms.)

화학식(4)= Mo(O)n(X)m(L)kFormula (4) = Mo(O)n(X)m(L)k

(상기 화학식 (4)에서, 하기 Mo는 몰리브덴이고, O는 산소이며, X는 할로겐이고, 상기 L은 리간드이며, 상기 n은 0 내지 2의 정수이고, 상기 m은 2 내지 6의 정수이며, 상기 k는 1 내지 3의 정수이다.)(In the formula (4), Mo is molybdenum, O is oxygen, X is halogen, L is a ligand, n is an integer from 0 to 2, m is an integer from 2 to 6, The k is an integer from 1 to 3.)

화학식(5)= MXaY(6-a)ZbFormula (5) = MXaY(6-a)Zb

(상기 화학식 (5)에서, 하기 M는 Co, Ni, Ru, Ti, Ta, Nb, W, Cu, Ru 및 Mo 군으로부터 선택된 1종 이상이고; X는 할로겐 원소이며; 상기 Y는 아민 계열 또는 알콕시 계열이고; 상기 a는 1 내지 6의 정수이며; 상기 Z는 탄소수가 1 내지 15인 알킬 시아나이드, 또는 탄소수가 3 내지 15이고 하나 이상의 질소(N), 산소(O), 인(P) 또는 황(S)으로 치환된 선형 또는 고리형 포화 탄화수소로 이루어진 군에서 선택되며; 상기 b는 0 내지 5의 정수이다.) (In Formula (5), M is at least one selected from the group Co, Ni, Ru, Ti, Ta, Nb, W, Cu, Ru, and Mo; X is a halogen element; Y is an amine-based or an alkoxy group; wherein a is an integer of 1 to 6; wherein Z is an alkyl cyanide having 1 to 15 carbon atoms, or an alkyl cyanide having 3 to 15 carbon atoms and containing one or more of nitrogen (N), oxygen (O), and phosphorus (P) Or it is selected from the group consisting of linear or cyclic saturated hydrocarbons substituted with sulfur (S); wherein b is an integer from 0 to 5.)

화학식(6)= ML1L2L3L4(L5)h(L6)iFormula (6) = ML1L2L3L4(L5)h(L6)i

(상기 화학식 (6)에서, 하기 M는 Co, Ni, Ru, Ti, Ta, Nb, W, Cu, Ru 및 Mo 군으로부터 선택된 1종 이상이고, 하기 L1, L2, L3, L4, L5 및 L6은 독립적으로 H; F; Cl; Br; I; NRaRb; ORc; CO; RdCp; 아미디네이트; 구아디니네이트; 에틸렌디아민; 프로필렌디아민; 및 하나 이상의 카본(C), 질소(N), 산소(O), 인(P) 또는 황(S)으로 치환된 선형 또는 고리형 포화 또는 불포화 탄화수소;로 이루어진 군으로부터 선택된 1종이고, 하기 Cp는 시클로펜타디에닐이며, 하기 Ra, Rb, Rc 및 Rd는 독립적으로 수소 또는 탄소수 1 내지 12의 알킬이며, 하기 h 및 I는 독립적으로 0 또는 1이고, 화합물 전체 산화수는 -2 내지 6의 정수이다.) (In the formula (6), the following M is at least one selected from the group Co, Ni, Ru, Ti, Ta, Nb, W, Cu, Ru and Mo, and the following L1, L2, L3, L4, L5 and L6 are independently H; F; Cl; Br; I; NRaRb; ORc; CO; RdCp; amidinate; guadininate; ethylenediamine; propylenediamine; and one or more of carbon (C), nitrogen (N), oxygen (O), phosphorus (P) or sulfur (S) substituted linear or cyclic saturated or unsaturated hydrocarbon; is one selected from the group consisting of, Cp is cyclopentadienyl, and Ra, Rb, Rc and Rd is independently hydrogen or alkyl having 1 to 12 carbon atoms, the following h and I are independently 0 or 1, and the total oxidation number of the compound is an integer of -2 to 6.)

또한, 본 발명은 전술한 보조 전구체를 메탈 프리커서 주입 전 단계 또는 후 단계에 적용하여 형성된 박막을 제공한다. In addition, the present invention provides a thin film formed by applying the above-described auxiliary precursor before or after injection of the metal precursor.

상기 메탈 프리커서는 전술한 화학식(1) 내지 화학식(6)으로 표시되는 군에서 선택된 1종 이상일 수 있다. The metal precursor may be at least one selected from the group represented by Chemical Formulas (1) to (6).

상기 박막은 유전막, 배선 또는 배리어일 수 있다. The thin film may be a dielectric film, wiring or barrier.

상기 유전막은 SiO2, ZrO2, HfO2, TiO2, 또는 Al2O3일 수 있다. The dielectric layer may be SiO2, ZrO2, HfO2, TiO2, or Al2O3.

상기 배선은 금속(M) 또는 질화금속(MN), 황화금속(MS2), 또는 탄화금속(MC)일 수 있으며, 여기서 금속(M)은 Al, Si, Ti, V, Co, Ni, Cu, Zn, Ga, Ge, Se, Zr, Nb, Mo, Ru, Rh, In, Sn, Sb, Te, Hf, Ta, W, Re, Os, Ir, La, Ce 및 Nd로 이루어진 군으로부터 선택된 1종 이상일 수 있다. The wiring may be a metal (M) or a metal nitride (MN), a metal sulfide (MS2), or a metal carbide (MC), where the metal (M) is Al, Si, Ti, V, Co, Ni, Cu, One selected from the group consisting of Zn, Ga, Ge, Se, Zr, Nb, Mo, Ru, Rh, In, Sn, Sb, Te, Hf, Ta, W, Re, Os, Ir, La, Ce and Nd may be ideal

상기 배리어는 금속(M) 또는 질화금속(MN), 황화금속(MS2), 또는 탄화금속(MC)일 수 있으며, 여기서 금속(M)은 Al, Si, Ti, V, Co, Ni, Cu, Zn, Ga, Ge, Se, Zr, Nb, Mo, Ru, Rh, In, Sn, Sb, Te, Hf, Ta, W, Re, Os, Ir, La, Ce 및 Nd로 이루어진 군으로부터 선택된 1종 이상일 수 있다. The barrier may be a metal (M) or a metal nitride (MN), a metal sulfide (MS2), or a metal carbide (MC), wherein the metal (M) is Al, Si, Ti, V, Co, Ni, Cu, One selected from the group consisting of Zn, Ga, Ge, Se, Zr, Nb, Mo, Ru, Rh, In, Sn, Sb, Te, Hf, Ta, W, Re, Os, Ir, La, Ce and Nd may be ideal

또한, 본 발명은 전술한 보조 전구체를 메탈 프리커서 주입 전 단계 또는 후 단계에 적용하는 것을 특징으로 하는 박막 형성 방법을 제공한다. In addition, the present invention provides a thin film formation method characterized in that the above-described auxiliary precursor is applied before or after the injection of the metal precursor.

또한, 본 발명은 전술한 박막을 포함하는 반도체 기판을 제공한다. In addition, the present invention provides a semiconductor substrate including the aforementioned thin film.

또한, 본 발명은 전술한 박막을 포함하는 반도체 소자를 제공한다. In addition, the present invention provides a semiconductor device including the aforementioned thin film.

본 발명의 보조 전구체에 따르면, 박막 밀도가 향상되어 SiO2, ZrO2, HfO2, TiO2, Al2O3을 비롯한 유전막으로 제공하는 경우 정전용량이 증가하고, 누설전류가 줄어들어 매우 우수한 성능을 갖는 반도체 소자를 제공하는 효과가 있다. According to the auxiliary precursor of the present invention, when the thin film density is improved and provided as a dielectric film including SiO2, ZrO2, HfO2, TiO2, Al2O3, the capacitance increases and the leakage current decreases, thereby providing a semiconductor device with excellent performance. there is

나아가 본 발명의 보조 전구체에 따르면, 배선 또는 배리어를 형성하는 금속 또는 질화금속(MN), 황화금속(MS2), 탄화금속(MC)의 전기전도성을 향상시켜 매우 우수한 성능을 갖는 반도체소자를 제공하는 효과가 있다.Furthermore, according to the auxiliary precursor of the present invention, the electrical conductivity of metal or metal nitride (MN), metal sulfide (MS2), and metal carbide (MC) forming a wiring or barrier is improved to provide a semiconductor device having excellent performance. It works.

도 1은 본 발명에서 금속 산화막을 형성하는 반응 메커니즘을 개략적으로 나타낸 도면이다.
도 2는 본 발명에서 질화 산화막을 형성하는 반응 메커니즘을 개략적으로 나타낸 도면이다.
도 3은 본 발명에서 금속막을 형성하는 반응 메커니즘을 개략적으로 나타낸 도면이다.
도 4는 본 발명에서 제작한 대상 박막의 전기적 특성 평가를 실시하기 위해 제작한 소자의 개념도이다.
도 5는 박막의 증착 평가 결과를 나타낸 그래프로서, 좌측 도면은 XPS depth profile을 통해 확인한 금속 산화막 내부의 불순물(탄소, C)를 확인한 그래프이고, 중간 도면은 XRR을 통해 측정한 금속 산화막의 밀도를 나타낸 그래프이고, 우측 도면은 약 22:1의 종횡비를 갖는 2미크론 깊이로 트렌치가 형성된 패턴 웨이퍼에 본 발명에 따른 금속 산화막을 증착한 다음 단면 상부(최상부에서 200nm 아래)와 하부(바닥에서 100nm 위)에 증착된 두께(=상부 두께/하부 두께)를 나타낸 그래프이다.
도 6은 보조 전구체를 이용하지 않고 증착된 HfO2 박막의 단면 상부(최상부에서 200nm 아래)와 하부(바닥에서 100nm 위)에 증착된 두께와, 보조 전구체를 이용하여 증착된 HfO2 박막의 단면 상부(최상부에서 200nm 아래)와 하부(바닥에서 100nm 위)에 증착된 두께를 찍은 TEM 도면이다.
도 7은 종래기술에 따라 보조 전구체를 이용하지 않고 제조된 HfO2를 적용한 반도체 소자와 본 발명에 따라 보조 전구체를 이용하여 제조된 HfO2를 적용한 반도체 소자에서 전기적 특성으로서 정전용량, 유전상수, 누설전류밀도를 측정하고, 좌측 도면으로서 정전용량을, 중간 도면으로서 유전상수를, 우측 도면으로서 누설전류밀도를 각각 나타낸 도면이다.
도 8은 박막의 증착 평가 결과를 나타낸 그래프로서, 좌측 도면은 XPS depth profile을 통해 확인한 질화 금속막 내부의 불순물(탄소, C)를 확인한 그래프이고, 중간 도면은 중간 도면은 4선 저항측정기를 통해 측정한 면저항에 박막 두께를 고려하여 비저항으로 환산한 도면이고, 우측 도면은 약 22:1의 종횡비를 갖는 2미크론 깊이로 트렌치가 형성된 패턴 웨이퍼에 본 발명에 따른 질화 금속막을 증착한 다음 단면 상부(최상부에서 200nm 아래)와 하부(바닥에서 100nm 위)에 증착된 두께(=상부 두께/하부 두께)를 나타낸 그래프이다.
도 9는 상기 도 8의 좌측 도면에 나타낸 질화 금속막 내부의 불순물 개선과, 상기 도 8의 중간 도면에 나타낸 질화 금속막의 비저항 개선도를 확인한 도면으로, 좌측 도면은 질화 금속막 내부의 불순물 개선도를 스퍼터 시간대별로 측정한 그래 프이고, 우측 도면은 질화 금속막의 비저항 개선도를 증착 온도별로 측정한 그래프이다.
1 is a diagram schematically showing a reaction mechanism for forming a metal oxide film in the present invention.
2 is a diagram schematically showing a reaction mechanism for forming a nitrided oxide film in the present invention.
3 is a diagram schematically showing a reaction mechanism for forming a metal film in the present invention.
4 is a conceptual diagram of a device fabricated to evaluate the electrical characteristics of a target thin film fabricated in the present invention.
5 is a graph showing the deposition evaluation results of the thin film. The left figure is a graph confirming the impurities (carbon, C) inside the metal oxide film confirmed through an XPS depth profile, and the middle figure shows the density of the metal oxide film measured through XRR. The graph shown on the right shows a patterned wafer having an aspect ratio of about 22:1 and a 2-micron-deep trench formed by depositing a metal oxide film according to the present invention, and then the top (200 nm from the top) and the bottom (100 nm from the bottom). ) is a graph showing the deposited thickness (= upper thickness / lower thickness).
FIG. 6 shows the thickness deposited at the top (200 nm below the top) and the bottom (100 nm above the bottom) of a cross-section of an HfO 2 thin film deposited without using an auxiliary precursor, and the cross-sectional top of the HfO 2 thin film deposited using an auxiliary precursor. TEM plots of the deposited thicknesses (200 nm below the top) and bottom (100 nm above the bottom).
7 shows capacitance, dielectric constant, and leakage as electrical characteristics in a semiconductor device using HfO 2 manufactured without using an auxiliary precursor according to the prior art and a semiconductor device using HfO 2 manufactured using an auxiliary precursor according to the present invention. The current density is measured, and the left figure shows the capacitance, the middle figure shows the dielectric constant, and the right figure shows the leakage current density.
8 is a graph showing deposition evaluation results of thin films. The left figure is a graph showing impurities (carbon, C) inside the metal nitride film confirmed through an XPS depth profile, and the middle figure is a graph showing impurities (carbon, C) inside the metal nitride film confirmed through an XPS depth profile. The measured sheet resistance is converted into specific resistance in consideration of the thin film thickness, and the right figure is a patterned wafer having an aspect ratio of about 22:1 and a 2-micron-deep trench formed by depositing a metal nitride film according to the present invention, and then the top of the cross section ( It is a graph showing the thickness (=top thickness/bottom thickness) deposited on the bottom (200nm below the top) and the bottom (100nm above the bottom).
FIG. 9 is a diagram confirming the impurity improvement in the metal nitride film shown in the left drawing of FIG. 8 and the improvement in resistivity of the metal nitride film shown in the middle drawing of FIG. is a graph measured by sputtering time period, and the figure on the right is a graph measuring the improvement in resistivity of the metal nitride film by deposition temperature.

이하, 본 발명에 대하여 구체적으로 설명한다.Hereinafter, the present invention will be described in detail.

앞서 살펴본 바와 같이, 본 발명은 전술한 문제점들을 해결하고자 보조전구체를 메탈 프리커서 주입 전 단계 또는 후 단계에 적용하여 박막내 불순물을 저감 시키고, 단차피복성을 향상시키는 특징이 있다. As described above, the present invention is characterized by reducing impurities in the thin film and improving step coverage by applying the auxiliary precursor before or after the injection of the metal precursor in order to solve the above problems.

이러한 특징으로 인해 박막의 밀도가 향상되어 유전막(SiO2, ZrO2, HfO2, TiO2, Al2O3 등)의 경우 정전용량이 증가하고, 누설전류가 줄어들어 반도체소자를 제조함에 있어서 매우 우수한 효과가 있다. Due to these characteristics, the density of the thin film is improved, and in the case of a dielectric film (SiO2, ZrO2, HfO2, TiO2, Al2O3, etc.), the capacitance increases and the leakage current decreases, so that there is a very good effect in manufacturing a semiconductor device.

본 발명에 따르면, 배선 또는 배리어를 형성하는 금속(M) 또는 질화금속(MN), 황화금속(MS2), 탄화금속(MC)의 전기전도성을 향상시켜 반도체소자를 제조함에 있어서 매우 우수한 효과가 있다. 여기서 금속은 일례로 Al, Si, Ti, V, Co, Ni, Cu, Zn, Ga, Ge, Se, Zr, Nb, Mo, Ru, Rh, In, Sn, Sb, Te, Hf, Ta, W, Re, Os, Ir, La, Ce 및 Nd로 이루어진 군으로부터 선택된 1종 이상일 수 있다. According to the present invention, there is a very excellent effect in manufacturing a semiconductor device by improving the electrical conductivity of metal (M), metal nitride (MN), metal sulfide (MS2), or metal carbide (MC) forming a wiring or barrier. . Here, the metal is, for example, Al, Si, Ti, V, Co, Ni, Cu, Zn, Ga, Ge, Se, Zr, Nb, Mo, Ru, Rh, In, Sn, Sb, Te, Hf, Ta, W , Re, Os, Ir, La, Ce, and may be one or more selected from the group consisting of Nd.

전술한 박막은 구체적인 예로 질화실리콘막, 산화실리콘막, 질화티탄막, 산화티탄막, 질화텅스텐막, 질화몰리브덴막, 산화하프늄막, 산화지르코늄막, 산화텅스텐막, 또는 산화알미늄막의 막 조성을 가질 수 있다. The aforementioned thin film may have, for example, a film composition of a silicon nitride film, a silicon oxide film, a titanium nitride film, a titanium oxide film, a tungsten nitride film, a molybdenum nitride film, a hafnium oxide film, a zirconium oxide film, a tungsten oxide film, or an aluminum oxide film. there is.

상기 박막은 전술한 막 조성을 단독으로 혹은 선택적 영역(selective area)으로 포함할 수 있으나, 이에 한정하는 것은 아니며, SiH, SiOH 또한 포함하는 의미이다. The thin film may include the above-described film composition alone or in a selective area, but is not limited thereto, and also includes SiH and SiOH.

상기 박막은 일반적으로 사용하는 확산방지막 뿐 아니라 에칭정지막, 전극막, 유전막, 게이트절연막, 블럭산화막 또는 차지트랩의 용도로 반도체 소자에 활용될 수 있다. The thin film may be used in a semiconductor device for use as an etch stop film, an electrode film, a dielectric film, a gate insulating film, a block oxide film, or a charge trap, as well as a generally used diffusion barrier film.

본 발명에 사용되는 보조 전구체는 아이오딘계 화합물을 사용할 수 있고, 일례로 3N 내지 15N, 바람직하게는 5N 내지 6N의 HI(hydrogen iodide)를 사용하는 것을 특징으로 하며, 이 경우에 박막 형성 시 박막에 잔류하지 않는 차폐 영역을 형성하여 상대적으로 성긴 박막을 형성하는 동시에 부반응을 억제하고 박막 성장률을 조절하여, 박막 내 공정 부산물이 저감되어 부식이나 열화가 저감되고, 박막의 결정성이 향상되며, 금속산화막 형성시 화학양론적인 산화상태에 도달하게 하며, 복잡한 구조를 갖는 기판 위에 박막을 형성하는 경우에도 단차 피복성(step coverage) 및 박막의 두께 균일성을 크게 향상시키는 효과가 있다. The auxiliary precursor used in the present invention may use an iodine-based compound, for example, 3N to 15N, preferably 5N to 6N HI (hydrogen iodide) is used, and in this case, when forming a thin film, By forming a shielding region that does not remain in the thin film to form a relatively loose thin film, while suppressing side reactions and controlling the thin film growth rate, process by-products in the thin film are reduced to reduce corrosion or deterioration, improve the crystallinity of the thin film, and When forming an oxide film, it reaches a stoichiometric oxidation state, and even when a thin film is formed on a substrate having a complicated structure, step coverage and thickness uniformity of the thin film are greatly improved.

구체적인 예로, 상기 보조 전구체는 메탈 프리커서 주입 전후에 단일물, 기체 혼합물 또는 수용액 혼합물 등 다양한 형태로 투입될 수 있다. As a specific example, the auxiliary precursor may be introduced in various forms such as a single substance, a gas mixture, or an aqueous solution mixture before or after injection of the metal precursor.

상기 단일물은 일례로 3N 내지 15N의 HI를 100 중량% 사용할 수 있다. The single material may use, for example, 100% by weight of HI of 3N to 15N.

상기 기체 혼합물은 일례로 3N 내지 15N의 HI 1~99 중량% 및 기체 혼합물의 총량이 100 중량%가 되도록 하는 불활성기체 잔량으로 이루어질 수 있다. 여기서 불활성 기체는 4N 내지 9N의 순도를 갖는 질소, 헬륨, 아르곤 등을 사용할 수 있다. The gas mixture may be composed of, for example, 1 to 99% by weight of HI of 3N to 15N and the remaining amount of an inert gas such that the total amount of the gas mixture is 100% by weight. Here, nitrogen, helium, argon, etc. having a purity of 4N to 9N may be used as the inert gas.

상기 수용액 혼합물은 일례로 3N 내지 15N의 HI 0.5~70 중량% 및 수용액 혼합물의 총량이 100 중량%가 되도록 하는 H2O 잔량으로 이루어질 수 있다. The aqueous mixture may be composed of, for example, 3N to 15N HI of 0.5 to 70% by weight and the remaining amount of H 2 O such that the total amount of the aqueous mixture is 100% by weight.

상기 보조 전구체는 일례로 굴절률이 1.4 이상, 구체적인 예로 1.42 이상, 바람직하게는 1.44 내지 1.50 범위 내일 수 있다. 이러한 경우에 기판에 전술한 구조를 갖는 차폐제의 흡착 분포도 차이에 의한 균질한 두께의 퇴적층을 박막에 잔류하지 않는 차폐 영역을 형성하여 박막의 증착 속도를 저감시키고 박막 성장률을 적절히 낮추어 복잡한 구조를 갖는 기판위에 박막을 형성하는 경우에도 단차 피복성(step coverage) 및 박막의 두께 균일성을 크게 향상시키고 박막 전구체 뿐 아니라 공정 부산물이 흡착을 저지하여 기판의 표면을 효과적으로 보호(protection)하고 공정 부산물을 효과적으로 제거하는 이점이 있다.The auxiliary precursor may have a refractive index of, for example, 1.4 or more, in specific examples, 1.42 or more, and preferably within the range of 1.44 to 1.50. In this case, a substrate having a complex structure by reducing the deposition rate of the thin film and appropriately lowering the growth rate of the thin film by forming a shielding region in which the deposited layer of uniform thickness due to the difference in the adsorption distribution of the shielding agent having the above structure on the substrate does not remain in the thin film Even when a thin film is formed on the substrate, the step coverage and thickness uniformity of the thin film are greatly improved, and the adsorption of not only thin film precursors but also process by-products is prevented, effectively protecting the surface of the substrate and effectively removing process by-products. There is an advantage to

특히, 상대적으로 성긴 박막을 형성하는 동시에 형성되는 박막의 성장률이 크게 낮아져서 복잡한 구조의 기판에 적용하더라도 박막의 균일성을 확보하여 단차 커버리지가 크게 향상되고, 특히 얇은 두께로 증착 가능하고, 공정 부산물로 잔류하던 O, Si, 금속, 금속 산화물, 나아가 종래 줄이기 쉽지 않던 탄소 잔량까지 개선시키는 효과를 제공할 수 있다. In particular, even when a relatively thin thin film is formed and the growth rate of the thin film formed at the same time is greatly reduced, the uniformity of the thin film is secured even when applied to a substrate with a complex structure, and the step coverage is greatly improved. It can provide an effect of improving the remaining O, Si, metal, metal oxide, and even the remaining amount of carbon, which has not been easy to reduce in the past.

본 발명에서 사용되는 메탈 프리커서는 당업계에서 공지된 물질을 다양하게 사용할 있으며, 다음과 같은 화학식(1) 내지 화학식(6)으로 표시되는 물질을 예로 들 수 있다. As the metal precursor used in the present invention, various materials known in the art may be used, and materials represented by the following Chemical Formulas (1) to (6) may be exemplified.

1)하기 화학식(1)1) Formula (1)

화학식(1) = MxLyL'z Formula (1) = M x L y L' z

을 따르며, 하기 x는 1 내지 3의 정수이며, 하기 M은 Li, Be, C, P, Na, Mg, Al, Si, K, Ca, Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ga, Ge, As, Se, Rb, Sr, Y, Zr, Nb, Mo, Te, Ru, Rh, Pd, Ag, Cd, In, Sn, Sb, Te, Ce, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Th, Pa, U, Cs, Ba, La, Hf, Ta, W, Re, Os, Ir, Pt, Au, Hg, Tl, Pb, Bi, Pt, At 및 Tn으로 이루어진 군에서 선택될 수 있고, y는 1 내지 6의 정수이며, z는 0 내지 6(바람직하게는 1 내지 6)의 정수이고, 상기 L 및 L'는 각각 독립적으로 H, C, N, O, F, P, S, Cl, Br 또는 I이거나, H, C, N, O, F, P, S, Cl 및 Br로 이루어진 군에서 선택된 1종 또는 2종 이상의 조합으로 이루어진 리간드이다. Following, x is an integer from 1 to 3, and M is Li, Be, C, P, Na, Mg, Al, Si, K, Ca, Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ga, Ge, As, Se, Rb, Sr, Y, Zr, Nb, Mo, Te, Ru, Rh, Pd, Ag, Cd, In, Sn, Sb, Te, Ce, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Th, Pa, U, Cs, Ba, La, Hf, Ta, W, Re, Os, Ir, Pt, Au, Hg, Tl, It may be selected from the group consisting of Pb, Bi, Pt, At and Tn, y is an integer from 1 to 6, z is an integer from 0 to 6 (preferably 1 to 6), and L and L' are Each independently H, C, N, O, F, P, S, Cl, Br or I, or one or two selected from the group consisting of H, C, N, O, F, P, S, Cl and Br It is a ligand consisting of a combination of more than species.

구체적인 예를 들면, 상기 화학식(1)로 표시되는 물질은 SiH2Cl2, SiH2I2 등일 수 있다.For example, the material represented by Chemical Formula (1) may be SiH2Cl2, SiH2I2, or the like.

2)하기 화학식(2)2) Formula (2)

화학식(2)=

Figure pat00003
Formula (2)=
Figure pat00003

를 따르며, 하기 M1은 Zr, Hf, Si, Ge 또는 Ti이며, 하기 X1, X2, X3는 독립적으로 -NR1R2 또는 -OR3이고, 상기 R1 내지 R3은 독립적으로 탄소수 1 내지 6의 알킬기이며, 상기 n은 1 또는 2이다.According to, M1 is Zr, Hf, Si, Ge or Ti, X 1 , X 2 , X 3 are independently -NR 1 R 2 or -OR 3 , and R1 to R3 independently have 1 to 10 carbon atoms. It is an alkyl group of 6, and said n is 1 or 2.

3)하기 화학식(3)3) Formula (3)

화학식(3)=

Figure pat00004
Formula (3)=
Figure pat00004

를 따르며, 하기 M은 Zr, Hf, Si, Ge 또는 Ti이며, R1은 독립적으로 수소, 탄소수 1 내지 4의 알킬기이고, 상기 n은 0 내지 5의 정수이며, X'1, X'2 및 X'3은 독립적으로 -NR1R2 또는 -OR3이고, 상기 R'1 내지 R'3은 독립적으로 탄소수 1 내지 6의 알킬기이다.According to, M is Zr, Hf, Si, Ge or Ti, R1 is independently hydrogen, an alkyl group having 1 to 4 carbon atoms, n is an integer of 0 to 5, and X'1, X'2 and X '3 is independently -NR1R2 or -OR 3 , wherein R'1 to R'3 are independently an alkyl group having 1 to 6 carbon atoms.

4)하기 화학식(4)4) Formula (4)

화학식(4)= Mo(O)n(X)m(L)kFormula (4) = Mo(O)n(X)m(L)k

를 따르며, 하기 Mo는 몰리브덴이고, O는 산소이며, X는 할로겐이고, 상기 L은 리간드이며, 상기 n은 0 내지 2의 정수이고, 상기 m은 2 내지 6의 정수이며, 상기 k는 1 내지 3의 정수이다.Wherein Mo is molybdenum, O is oxygen, X is a halogen, L is a ligand, n is an integer of 0 to 2, m is an integer of 2 to 6, and k is an integer of 1 to 2. is an integer of 3

5)하기 화학식(5)5) Formula (5) below

화학식(5)= MXaY(6-a)ZbFormula (5) = MXaY(6-a)Zb

를 따르며, 하기 M는 Co, Ni, Ru, Ti, Ta, Nb, W, Cu, Ru 및 Mo 군으로부터 선택된 1종 이상이고; X는 할로겐 원소이며; 상기 Y는 아민 계열 또는 알콕시 계열이고; 상기 a는 1 내지 6의 정수이며; 상기 Z는 탄소수가 1 내지 15인 알킬 시아나이드, 또는 탄소수가 3 내지 15이고 하나 이상의 질소(N), 산소(O), 인(P) 또는 황(S)으로 치환된 선형 또는 고리형 포화 탄화수소로 이루어진 군에서 선택되며; 상기 b는 0 내지 5의 정수이다. According to, M is at least one selected from the group Co, Ni, Ru, Ti, Ta, Nb, W, Cu, Ru, and Mo; X is a halogen element; Y is an amine group or an alkoxy group; a is an integer from 1 to 6; Wherein Z is an alkyl cyanide having 1 to 15 carbon atoms, or a linear or cyclic saturated hydrocarbon having 3 to 15 carbon atoms and substituted with one or more nitrogen (N), oxygen (O), phosphorus (P) or sulfur (S) It is selected from the group consisting of; The b is an integer from 0 to 5.

6)하기 화학식(6)6) Formula (6)

화학식(6)= ML1L2L3L4(L5)h(L6)iFormula (6) = ML1L2L3L4(L5)h(L6)i

을 따르며, 하기 M는 Co, Ni, Ru, Ti, Ta, Nb, W, Cu, Ru 및 Mo 군으로부터 선택된 1종 이상이고, 하기 L1, L2, L3, L4, L5 및 L6은 독립적으로 H; F; Cl; Br; I; NRaRb; ORc; CO; RdCp; 아미디네이트; 구아디니네이트; 에틸렌디아민; 프로필렌디아민; 및 하나 이상의 카본(C), 질소(N), 산소(O), 인(P) 또는 황(S)으로 치환된 선형 또는 고리형 포화 또는 불포화 탄화수소;로 이루어진 군으로부터 선택된 1종이고, 하기 Cp는 시클로펜타디에닐이며, 하기 Ra, Rb, Rc 및 Rd는 독립적으로 수소 또는 탄소수 1 내지 12의 알킬이며, 하기 h 및 I는 독립적으로 0 또는 1이고, 화합물 전체 산화수는 -2 내지 6의 정수이다. According to, M is at least one selected from the group Co, Ni, Ru, Ti, Ta, Nb, W, Cu, Ru and Mo, and L1, L2, L3, L4, L5 and L6 are independently H; F; Cl; Br; I; NRaRb; ORc; CO; RdCp; amidinate; guadininate; ethylenediamine; propylenediamine; and linear or cyclic saturated or unsaturated hydrocarbons substituted with at least one carbon (C), nitrogen (N), oxygen (O), phosphorus (P) or sulfur (S); is cyclopentadienyl, the following Ra, Rb, Rc and Rd are independently hydrogen or alkyl having 1 to 12 carbon atoms, the following h and I are independently 0 or 1, and the total oxidation number of the compound is an integer of -2 to 6 to be.

구체적인 예를 들면, 상기 리간드는 알킬의 탄소수가 1 내지 15인 알킬 시아나이드; 및 탄소수가 3 내지 15이고 하나 이상의 질소(N), 산소(O), 인(P) 또는 황(S)으로 치환된 선형 또는 고리형 포화 탄화수소로 이루어진 군에서 1종 이상 선택되는 박막 메탈 프리커서일 수 있다. For specific examples, the ligand may be an alkyl cyanide having 1 to 15 carbon atoms; and a thin film metal precursor selected from the group consisting of linear or cyclic saturated hydrocarbons having 3 to 15 carbon atoms and substituted with at least one nitrogen (N), oxygen (O), phosphorus (P) or sulfur (S). can be

본 발명에서 사용되는 반응가스는 제조하고자 하는 대상 박막에 따라 선정될 수 있으며, 대상 박막과 연결하여 예를 들면 다음과 같다. The reaction gas used in the present invention may be selected according to the target thin film to be manufactured, and in connection with the target thin film, for example, as follows.

금속산화막용 반응가스로는 O2, O3, H2O 중에서 1종 이상 선택할 수 있다. As the reaction gas for the metal oxide film, one or more types may be selected from O 2 , O 3 , and H 2 O.

또한, 금속막용 반응가스로는 H2, NH3 및 환원성분자 (formic acid 등) 중에서 1종 이상 선택할 수 있다. In addition, as the reaction gas for the metal film, one or more types may be selected from among H 2 , NH 3 , and a reducing component (formic acid, etc.).

또한, 질화금속막용 반응가스로는 NH3, H2/N2 gas mixture일 수 있다. In addition, the reaction gas for the metal nitride film may be NH 3 , H 2 /N 2 gas mixture.

또한, 탄화금속막용 반응가스로는 M(CH3)3이고, 여기서 M은 붕소족(주기율표 13족) 원소일 수 있다. In addition, the reaction gas for the metal carbide film is M(CH 3 ) 3 , where M may be a boron group (periodic table group 13) element.

또한, 황화금속막용 반응가스로는 H2S 및 S를 포함하는 기체 또는 증기상의 분자종일 수 있다. In addition, the reaction gas for the metal sulfide film may be gaseous or vapor phase molecular species including H 2 S and S.

구체적인 예로, 본 발명을 통해 제작된 반도체소자의 HfO2 유전막은 정전용량이 260 내지 280 pF이고, 유전상수는 14.5 내지 15.5이며, 3MV/cm에서 누설전류밀도는 10 내지 500 nA/cm2일 수 있다.As a specific example, the HfO 2 dielectric film of the semiconductor device fabricated through the present invention has a capacitance of 260 to 280 pF, a dielectric constant of 14.5 to 15.5, and a leakage current density of 10 to 500 nA/cm 2 at 3 MV/cm. there is.

한편, 상기 보조 전구체는 형성된 박막 내에서 차폐 영역을 제공할 수 있다. Meanwhile, the auxiliary precursor may provide a shielding region within the formed thin film.

일례로, 상기 박막용 차폐 영역은 상기 박막이 형성되는 전체 기판 또는 일부 기판에 형성될 수 있다. For example, the shielding area for the thin film may be formed on the entire substrate or a part of the substrate on which the thin film is formed.

나아가, 상기 박막용 차폐 영역은 상기 전체 기판 또는 일부 기판의 총 면적을 기판의 전체 면적을 100%라 할 때, 상기 차폐 영역이 일례로 10 내지 95%의 면적, 구체적인 예로 15 내지 90%의 면적, 바람직하게는 20 내지 85%의 면적, 보다 바람직하게는 30 내지 80%의 면적, 더욱 바람직하게는 40 내지 75%의 면적, 더욱 더 바람직하게는 40 내지 70%의 면적을 차지하고, 미차폐 영역이 잔류 면적을 차지하는 것일 수 있다.Furthermore, when the total area of the entire substrate or part of the substrate is 100% of the total area of the substrate, the shielding area for the thin film is, for example, 10 to 95% of the area, and specifically, 15 to 90% of the area of the substrate. , preferably occupying 20 to 85% of the area, more preferably 30 to 80% of the area, still more preferably 40 to 75% of the area, still more preferably 40 to 70% of the area, and the unshielded area It may be that it occupies this remaining area.

더 나아가, 상기 박막용 차폐 영역은 상기 전체 기판 또는 일부 기판의 총 면적을 기판의 전체 면적을 100%라 할 때, 제1 차폐 영역이 10 내지 95%의 면적, 구체적인 예로 15 내지 90%의 면적, 바람직하게는 20 내지 85%의 면적, 보다 바람직하게는 30 내지 80%의 면적, 더욱 바람직하게는 40 내지 75%의 면적, 더욱 더 바람직하게는 40 내지 70%의 면적을 차지하고, 잔류 면적 중 10 내지 95%의 면적, 구체적인 예로 15 내지 90%의 면적, 바람직하게는 20 내지 85%의 면적, 보다 바람직하게는 30 내지 80%의 면적, 더욱 바람직하게는 40 내지 75%의 면적, 더욱 더 바람직하게는 40 내지 70%의 면적을 제2 차폐 영역이 차지하며, 나머지 면적은 미차폐 영역을 차지하는 것일 수 있다.Furthermore, when the total area of the entire substrate or the partial substrate is 100% of the total area of the substrate, the first shielding area is 10 to 95% of the area, specifically 15 to 90% of the area of the thin film shielding area for the thin film. , preferably occupying 20 to 85% of the area, more preferably 30 to 80% of the area, still more preferably 40 to 75% of the area, still more preferably 40 to 70% of the area, and out of the remaining area 10 to 95% of the area, specifically 15 to 90% of the area, preferably 20 to 85% of the area, more preferably 30 to 80% of the area, still more preferably 40 to 75% of the area, even more Preferably, 40 to 70% of the area is occupied by the second shielding area, and the remaining area may be occupied by the unshielded area.

상기 박막용 차폐 영역은 상기 박막에 잔류하지 않는 것을 특징으로 한다. The shielding region for the thin film is characterized in that it does not remain in the thin film.

이때 잔류하지 않는다는 것은, 달리 특정하지 않는 한, XPS로 성분 분석 시 C 원소 0.1 원자%(atom %), Si 원소 0.1 원자%(atom%) 미만, N 원소 0.1 원자%(atom%) 미만, 할로겐 원소 0.1 원자%(atom%) 미만으로 존재하는 경우를 지칭한다. 보다 바람직하게 기판을 깊이 방향으로 파고 들어가며 측정하는 Secondary-ion mass spectrometry (SIMS) 측정방법 또는 X-ray Photoelectron Spectroscopy (XPS) 측정방법에 있어서, 같은 증착 조건 하에서 차폐제를 사용하기 전후의 C, N, Si, 할로겐 불순물의 증감율을 고려할 때 각 원소종의 신호감도(intensity) 증감율이 5%를 초과하지 않는 것이 바람직하다.At this time, non-residue means, unless otherwise specified, when analyzing the components by XPS, less than 0.1 atom% of C element, less than 0.1 atom% of Si element, less than 0.1 atom% of N element, halogen It refers to the case where an element is present in less than 0.1 atomic % (atom%). More preferably, in the Secondary-ion mass spectrometry (SIMS) measurement method or X-ray Photoelectron Spectroscopy (XPS) measurement method that measures by digging into the substrate in the depth direction, C, N, Considering the rate of change of Si and halogen impurities, it is preferable that the rate of increase and decrease of signal sensitivity of each element species does not exceed 5%.

상기 박막은 일예로 할로겐 화합물을 100 ppm 이하로 포함할 수 있다. The thin film may include, for example, 100 ppm or less of a halogen compound.

상기 박막은 에칭정지막, 전극막, 유전막, 게이트절연막, 블럭산화막 또는 차지트랩 용도로 사용될 수 있으며, 이에 한정하는 것은 아니다. The thin film may be used for an etching stop layer, an electrode layer, a dielectric layer, a gate insulating layer, a block oxide layer, or a charge trap, but is not limited thereto.

상기 보조 전구체는 바람직하게는 순도 99.9% 이상의 화합물, 순도 99.95% 이상의 화합물, 또는 순도 99.99% 이상의 화합물일 수 있으며, 참고로 순도 99% 미만의 화합물을 사용할 경우에는 불순물이 박막에 잔류하거나 전구체 또는 반응물과의 부반응을 초래할 수 있어 가급적 99% 이상의 물질을 사용하는 것이 좋다. The auxiliary precursor may preferably be a compound with a purity of 99.9% or more, a compound with a purity of 99.95% or more, or a compound with a purity of 99.99% or more. For reference, when a compound with a purity of less than 99% is used, impurities remain in the thin film or precursors or reactants It is recommended to use more than 99% of the material as it can cause side reactions with

상기 보조 전구체는 바람직하게 원자층 증착(ALD) 공정에 사용되는 것이며, 이 경우 메탈 프리커서의 흡착을 방해하지 않으면서 보조 전구체로서 기판의 표면을 효과적으로 보호(protection)하고 공정 부산물을 효과적으로 제거하는 이점이 있다.The auxiliary precursor is preferably used in an atomic layer deposition (ALD) process. In this case, the auxiliary precursor effectively protects the surface of the substrate and effectively removes process by-products without interfering with the adsorption of the metal precursor. there is

본 발명의 박막 형성 방법은 보조 전구체를 챔버 내로 주입하여 로딩(loading)된 기판 표면을 차폐시키는 단계를 포함하는 것을 특징으로 하고, 이와 같은 경우 기판에 박막용 차폐 영역을 형성하여 박막의 증착 속도를 저감시키고 박막 성장률을 적절히 낮추어 복잡한 구조를 갖는 기판위에 박막을 형성하는 경우에도 단차 피복성(step coverage) 및 박막의 두께 균일성을 크게 향상시키는 효과가 있다.The thin film formation method of the present invention is characterized in that it comprises the step of shielding the surface of a loaded substrate by injecting an auxiliary precursor into a chamber. Even in the case of forming a thin film on a substrate having a complicated structure by reducing the thin film growth rate and appropriately lowering the thin film growth rate, step coverage and thickness uniformity of the thin film are greatly improved.

또한, 본 발명은In addition, the present invention

ⅰ) 전술한 보조 전구체를 기화하여 챔버 내 로딩된 기판 표면에 차폐 영역을 형성하는 단계;i) vaporizing the auxiliary precursor to form a shielding region on the surface of the loaded substrate in the chamber;

ⅱ) 상기 챔버 내부를 퍼지 가스로 1차 퍼징하는 단계;ii) firstly purging the inside of the chamber with a purge gas;

ⅲ) 메탈 프리커서를 기화하여 상기 차폐 영역을 벗어난 영역에 흡착시키는 단계;iii) evaporating a metal precursor and adsorbing it to an area outside the shielding area;

ⅳ) 상기 챔버 내부를 퍼지 가스로 2차 퍼징하는 단계;iv) secondarily purging the inside of the chamber with a purge gas;

ⅴ) 상기 챔버 내부에 반응 가스를 공급하는 단계; 및 v) supplying a reactive gas into the chamber; and

ⅵ) 상기 챔버 내부를 퍼지 가스로 3차 퍼징하는 단계;를 포함하는 박막 형성 방법을 제공한다. vi) tertiary purging the inside of the chamber with a purge gas;

또한, 본 발명은 In addition, the present invention

ⅰ) 메탈 프리커서를 기화하여 챔버 내 로딩된 기판 표면에 흡착시키는 단계; i) evaporating the metal precursor and adsorbing it to the surface of the substrate loaded in the chamber;

ⅱ) 상기 챔버 내부를 퍼지 가스로 1차 퍼징하는 단계; ii) firstly purging the inside of the chamber with a purge gas;

ⅲ) 상기 보조 전구체를 기화하여 챔버 내 로딩된 기판 표면을 차폐하는 단계; iii) shielding the surface of the loaded substrate in the chamber by vaporizing the auxiliary precursor;

ⅳ) 상기 챔버 내부를 퍼지 가스로 2차 퍼징하는 단계;iv) secondarily purging the inside of the chamber with a purge gas;

ⅴ) 상기 챔버 내부에 반응 가스를 공급하는 단계; 및v) supplying a reactive gas into the chamber; and

ⅵ) 상기 챔버 내부를 퍼지 가스로 3차 퍼징하는 단계;를 포함하는 박막 형성 방법을 제공한다. vi) tertiary purging the inside of the chamber with a purge gas;

상기 메탈 프리커서는 Al, Si, Ti, V, Co, Ni, Cu, Zn, Ga, Ge, Se, Zr, Nb, Mo, Ru, Rh, In, Sn, Sb, Te, Hf, Ta, W, Re, Os, Ir, La, Ce 및 Nd로 이루어진 군으로부터 선택된 1종 이상으로 구성된 분자로서 25 ℃에서 증기압이 0.01 mTorr 초과, 100 Torr 이하인 전구체일 수 있다. The metal precursors are Al, Si, Ti, V, Co, Ni, Cu, Zn, Ga, Ge, Se, Zr, Nb, Mo, Ru, Rh, In, Sn, Sb, Te, Hf, Ta, W , Re, Os, Ir, La, Ce, and Nd as a molecule composed of at least one member selected from the group consisting of, and may be a precursor having a vapor pressure of greater than 0.01 mTorr and less than 100 Torr at 25 °C.

본 발명의 박막 형성 방법은 바람직한 일례로 한 사이클 내에서 본 발명의 보조 전구체를 메탈 프리커서보다 먼저 투입하여 기판에 흡착시킬 수 있고, 이 경우 고온에서 박막을 증착시키더라도 박막 성장률을 적절히 감소시킴으로써 공정 부산물이 크게 감소되고 단차 피복성이 크게 향상될 수 있고, 박막의 결성성이 증가하여 박막의 비저항이 감소될 수 있으며, 종횡비가 큰 반도체 소자에 적용하더라도 박막의 두께 균일도가 크게 향상되어 반도체 소자의 신뢰성을 확보하는 이점이 있다.In the thin film formation method of the present invention, as a preferred example, the auxiliary precursor of the present invention can be introduced prior to the metal precursor within one cycle and adsorbed to the substrate. By-products can be greatly reduced and the step coverage can be greatly improved, and the resistivity of the thin film can be reduced by increasing the formation of the thin film. It has the advantage of ensuring reliability.

상기 박막 형성 방법은 일례로 상기 보조 전구체를 메탈 프리커서의 증착 전 또는 후에 증착시키는 경우, 필요에 따라 단위 사이클을 1 내지 99,999회 반복 수행할 수 있고, 바람직하게는 단위 사이클을 10 내지 10,000회, 보다 바람직하게는 50 내지 5,000회, 보다 더욱 바람직하게는 100 내지 2,000회 반복 수행할 수 있으며, 이 범위 내에서 목적하는 박막의 두께를 얻으면서 본 발명에서 달성하고자 하는 효과를 충분히 얻을 수 있다.In the thin film formation method, for example, when the auxiliary precursor is deposited before or after deposition of the metal precursor, 1 to 99,999 unit cycles may be repeated as needed, preferably 10 to 10,000 unit cycles, More preferably, it can be repeated 50 to 5,000 times, and even more preferably 100 to 2,000 times, and the effect to be achieved in the present invention can be sufficiently obtained while obtaining a desired thin film thickness within this range.

상기 챔버는 ALD 챔버, CVD 챔버, PEALD 챔버, 또는 PECVD 챔버일 수 있다. The chamber may be an ALD chamber, a CVD chamber, a PEALD chamber, or a PECVD chamber.

상기 보조 전구체 또는 메탈 프리커서는 기화하여 주입된 다음 플라즈마 후처리하는 단계를 포함할 수 있다. The auxiliary precursor or metal precursor may be vaporized and injected, followed by plasma post-treatment.

상기 ⅱ) 단계와 상기 iv) 단계에서 각각 챔버 내부로 투입되는 퍼지 가스의 양은 투입된 보조 전구체의 부피를 기준으로 10 내지 100,000배일 수 있다. In steps ii) and iv), the amount of the purge gas introduced into the chamber may be 10 to 100,000 times the volume of the auxiliary precursor.

상기 반응 가스, 보조 전구체 및 메탈 프리커서는 VFC 방식, DLI 방식 또는 LDS 방식으로 챔버 내로 이송될 수 있다.The reaction gas, auxiliary precursor, and metal precursor may be transferred into the chamber using a VFC method, a DLI method, or an LDS method.

상기 챔버 내 로딩된 기판은 100 내지 800 ℃로 가열되며, 상기 보조 전구체과 상기 메탈 프리커서의 챔버 내 투입량(mg/cycle) 비는 1 : 1 내지 1 : 20일 수 있다. The substrate loaded into the chamber is heated at 100 to 800° C., and a ratio of input amounts (mg/cycle) of the auxiliary precursor and the metal precursor to the chamber may be 1:1 to 1:20.

상기 보조 전구체 및 메탈 프리커서는 바람직하게 VFC 방식, DLI 방식 또는 LDS 방식으로 ALD 챔버 내로 이송될 수 있고, 보다 바람직하게는 LDS 방식으로 챔버 내로 이송되는 것이다. The auxiliary precursor and the metal precursor may be preferably transferred into the ALD chamber using a VFC method, a DLI method, or an LDS method, and more preferably, they are transferred into the chamber using an LDS method.

상기 챔버 내 로딩된 기판은 일례로 50 내지 400 ℃, 구체적인 예로 50 내지 400 ℃로 가열될 수 있으며, 상기 보조 전구체 또는 메탈 프리커서는 상기 기판 상에 가열되지 않은 채로 혹은 가열된 상태로 주입될 수 있으며, 증착 효율에 따라 가열되지 않은 채 주입된 다음 증착 공정 도중에 가열 조건을 조절하여도 무방하다. 일례로 50 내지 400 ℃ 하에 1 내지 20초간 기판 상에 주입할 수 있다. The substrate loaded into the chamber may be heated to, for example, 50 to 400 ° C., specifically 50 to 400 ° C., and the auxiliary precursor or metal precursor may be injected onto the substrate in an unheated or heated state. And, depending on the deposition efficiency, it may be injected without being heated and then the heating conditions may be adjusted during the deposition process. For example, it may be implanted on a substrate at 50 to 400 °C for 1 to 20 seconds.

상기 보조 전구체과 상기 메탈 프리커서의 챔버 내 투입량(mg/cycle) 비는 바람직하게 1:1.5 내지 1:20일 수 있고, 보다 바람직하게 1:2 내지 1:15이며, 더욱 바람직하게 1:2 내지 1:12이고, 보다 더욱 바람직하게 1:2.5 내지 1:10이며, 이 범위 내에서 단차 피복성 향상 효과 및 공정 부산물의 저감 효과가 크다. The ratio of the auxiliary precursor and the metal precursor in the chamber (mg/cycle) may be preferably 1:1.5 to 1:20, more preferably 1:2 to 1:15, and still more preferably 1:2 to 1:20. It is 1:12, more preferably 1:2.5 to 1:10, and within this range, the step coverage improvement effect and process by-product reduction effect are large.

본 기재에서 퍼징은 바람직하게 1,000 내지 50,000 sccm(Standard Cubic Centimeter per Minute), 보다 바람직하게 2,000 내지 30,000 sccm, 더욱 바람직하게 2,500 내지 15,000 sccm이고, 이 범위 내에서 사이클당 박막 성장률이 적절히 제어되고, 단일 원자층(atomic mono-layer)으로 혹은 이에 가깝게 증착이 이루어져 막질 측면에서 유리한 이점이 있다.Purging in the present substrate is preferably 1,000 to 50,000 sccm (Standard Cubic Centimeter per Minute), more preferably 2,000 to 30,000 sccm, still more preferably 2,500 to 15,000 sccm, and within this range, the thin film growth rate per cycle is appropriately controlled, It is deposited as an atomic mono-layer or close to it, which is advantageous in terms of film quality.

상기 ALD(원자층 증착공정)은 높은 종횡비가 요구되는 집적회로(IC: Integrated Circuit) 제작에 있어서 매우 유리하며, 특히 자기제한적인 박막 성장 메커니즘에 의해 우수한 단차 도포성 (conformality), 균일한 피복성 (uniformity) 및 정밀한 두께 제어 등과 같은 이점이 있다.The ALD (atomic layer deposition process) is very advantageous in manufacturing an integrated circuit (IC) requiring a high aspect ratio, and in particular, excellent conformality and uniform coverage due to a self-limiting thin film growth mechanism (uniformity) and precise thickness control.

상기 박막 형성 방법은 일례로 50 내지 800 ℃ 범위의 증착 온도에서 실시할 수 있고, 바람직하게는 300 내지 700 ℃ 범위의 증착 온도에서, 보다 바람직하게는 400 내지 650 ℃ 범위의 증착 온도에서 실시하는 것이며, 더욱 바람직하게는 400 내지 600 ℃ 범위의 증착 온도에서 실시하는 것이고, 보다 더욱 바람직하게는 450 내지 600 ℃ 범위의 증착 온도에서 실시하는 것인데, 이 범위 내에서 ALD 공정 특성을 구현하면서 우수한 막질의 박막으로 성장시키는 효과가 있다.The thin film formation method may be carried out, for example, at a deposition temperature in the range of 50 to 800 ° C., preferably at a deposition temperature in the range of 300 to 700 ° C., more preferably at a deposition temperature in the range of 400 to 650 ° C. , More preferably, it is carried out at a deposition temperature in the range of 400 to 600 ° C, and even more preferably, it is carried out at a deposition temperature in the range of 450 to 600 ° C. has the effect of growing into

상기 박막 형성 방법은 일례로 0.01 내지 20 Torr 범위의 증착 압력에서 실시할 수 있고, 바람직하게는 0.1 내지 20 Torr 범위의 증착 압력에서, 보다 바람직하게는 0.1 내지 10 Torr 범위의 증착 압력에서, 가장 바람직하게는 1 내지 7 Torr 범위의 증착 압력에서 실시하는 것인데, 이 범위 내에서 균일한 두께의 박막을 얻는 효과가 있다.The thin film formation method may be carried out, for example, at a deposition pressure in the range of 0.01 to 20 Torr, preferably at a deposition pressure in the range of 0.1 to 20 Torr, more preferably at a deposition pressure in the range of 0.1 to 10 Torr, and most preferably at a deposition pressure in the range of 0.1 to 10 Torr. Preferably, it is carried out at a deposition pressure in the range of 1 to 7 Torr, and there is an effect of obtaining a thin film with a uniform thickness within this range.

본 기재에서 증착 온도 및 증착 압력은 증착 챔버 내 형성되는 온도 및 압력으로 측정되거나, 증착 챔버 내 기판에 가해지는 온도 및 압력으로 측정될 수 있다.In the present disclosure, the deposition temperature and the deposition pressure may be measured as the temperature and pressure formed in the deposition chamber or the temperature and pressure applied to the substrate in the deposition chamber.

상기 박막 형성 방법은 바람직하게 상기 보조 전구체를 챔버 내에 투입하기 전에 챔버 내 온도를 증착 온도로 승온하는 단계; 및/또는 상기 보조 전구체를 챔버 내에 투입하기 전에 챔버 내에 비활성 기체를 주입하여 퍼징하는 단계를 포함할 수 있다.The method of forming the thin film may preferably include raising the temperature in the chamber to a deposition temperature before introducing the auxiliary precursor into the chamber; and/or purging by injecting an inert gas into the chamber before introducing the auxiliary precursor into the chamber.

또한, 본 발명은 상기 박막 제조 방법을 구현할 수 있는 박막 제조 장치로 ALD 챔버, 보조 전구체를 기화하는 제1 기화기, 기화된 보조 전구체를 ALD 챔버 내로 이송하는 제1 이송수단, 박막 전구체를 기화하는 제2 기화기 및 기화된 박막 전구체를 ALD 챔버 내로 이송하는 제2 이송수단을 포함하는 박막 제조 장치를 포함할 수 있다. 여기에서 기화기 및 이송수단은 본 발명이 속한 기술분야에서 통상적으로 사용되는 기화기 및 이송수단인 경우 특별히 제한되지 않는다.In addition, the present invention is an ALD chamber, a first vaporizer for vaporizing an auxiliary precursor, a first transfer means for transferring the vaporized auxiliary precursor into the ALD chamber, and a vaporizer for vaporizing the thin film precursor. 2 It may include a thin film manufacturing apparatus including a vaporizer and a second transfer means for transferring the vaporized thin film precursor into the ALD chamber. Here, the vaporizer and transfer means are not particularly limited in the case of vaporizers and transfer means commonly used in the technical field to which the present invention belongs.

구체적인 예로서, 상기 박막 형성 방법에 대해 설명하면, 먼저 상부에 박막이 형성될 기판을 원자층 증착이 가능한 증착 챔버 내에 위치시킨다. As a specific example, in the description of the thin film forming method, first, a substrate on which a thin film is to be formed is placed in a deposition chamber capable of atomic layer deposition.

상기 기판은 실리콘 기판, 실리콘 옥사이드 등의 반도체 기판을 포함할 수 있다. The substrate may include a semiconductor substrate such as a silicon substrate or silicon oxide.

상기 기판은 그 상부에 도전층 또는 절연층이 더 형성되어 있을 수 있다.The substrate may further have a conductive layer or an insulating layer formed thereon.

상기 증착 챔버 내에 위치시킨 기판 상에 박막을 증착하기 위해서 상술한 보조 전구체과, 메탈 프리커서 또는 이와 비극성 용매의 혼합물을 각각 준비한다.In order to deposit a thin film on a substrate placed in the deposition chamber, the above-described auxiliary precursor, a metal precursor, or a mixture of the non-polar solvent and the auxiliary precursor are respectively prepared.

이후 준비된 보조 전구체를 기화기 내로 주입한 후 증기상으로 변화시켜 증착 챔버로 전달하여 기판 상에 흡착시키고, 퍼징(purging)하여 미흡착된 보조 전구체를 제거시킨다.Thereafter, the prepared auxiliary precursor is injected into a vaporizer, changed into a vapor phase, transferred to a deposition chamber, adsorbed on the substrate, and purged to remove unadsorbed auxiliary precursors.

다음으로, 준비된 메탈 프리커서 또는 이와 비극성 용매의 혼합물(박막 형성용 조성물)을 기화기 내로 주입한 후 증기상으로 변화시켜 증착 챔버로 전달하여 기판 상에 흡착시키고, 미흡착된 메탈 프리커서를 퍼징(purging)시킨다.Next, the prepared metal precursor or a mixture of it and a non-polar solvent (composition for forming a thin film) is injected into a vaporizer, changed into a vapor phase, transferred to a deposition chamber, and adsorbed on the substrate, and the unadsorbed metal precursor is purged ( purging).

본 기재에서 상기 보조 전구체를 기판 상에 흡착시킨 후 퍼징하여 미흡착 보조 전구체를 제거시키는 공정; 및 메탈 프리커서를 기판 상에 흡착시키고 퍼징하여 미흡착 메탈 프리커서를 제거시키는 공정은 필요에 따라 순서를 바꾸어 실시할 수 있다.In the present substrate, the step of adsorbing the auxiliary precursor on the substrate and then purging to remove the unadsorbed auxiliary precursor; And the process of adsorbing the metal precursor on the substrate and purging to remove unadsorbed metal precursors may be performed by changing the order if necessary.

본 기재에서 보조 전구체 및 메탈 프리커서 등을 증착 챔버로 전달하는 방식은 일례로 기체상 유량 제어(Mass Flow Controller; MFC) 방법을 활용하여 휘발된 기체를 이송하는 방식(Vapor Flow Control; VFC) 또는 액체상 유량 제어(Liquid Mass Flow Controller; LMFC) 방법을 활용하여 액체를 이송하는 방식(Liquid Delivery System; LDS)을 사용할 수 있고, 바람직하게는 LDS 방식을 사용하는 것이다.In the present description, the method of delivering auxiliary precursors and metal precursors to the deposition chamber is a method of transporting volatilized gas using, for example, a Mass Flow Controller (MFC) method (Vapor Flow Control; VFC) or A liquid delivery system (LDS) may be used by using a liquid mass flow controller (LMFC) method, and preferably the LDS method is used.

이때 보조 전구체 및 메탈 프리커서 등을 기판 상에 이동시키기 위한 운송 가스 또는 희석 가스로는 아르곤(Ar), 질소(N2), 헬륨(He)으로 이루어진 군으로부터 선택되는 하나 또는 둘 이상의 혼합 기체를 사용할 수 있으나, 제한되는 것은 아니다.At this time, one or a mixture of two or more selected from the group consisting of argon (Ar), nitrogen (N 2 ), and helium (He) may be used as a transport gas or diluent gas for moving auxiliary precursors and metal precursors on the substrate. It can, but is not limited.

본 기재에서 퍼지 가스로는 일례로 비활성 가스가 사용될 수 있고, 바람직하게는 상기 운송 가스 또는 희석 가스를 사용할 수 있다.In the present description, an inert gas may be used as the purge gas, for example, and the transport gas or dilution gas may be preferably used.

다음으로, 반응 가스를 공급한다. 상기 반응 가스로는 본 발명이 속한 기술분야에서 통상적으로 사용되는 반응 가스인 경우 특별히 제한되지 않고, 바람직하게 질화제를 포함할 수 있다. 상기 질화제와 기판에 흡착된 메탈 프리커서가 반응하여 질화막이 형성된다. Next, a reactive gas is supplied. The reaction gas is not particularly limited in the case of a reaction gas commonly used in the technical field to which the present invention pertains, and may preferably include a nitriding agent. A nitride film is formed by reacting the nitriding agent with the metal precursor adsorbed on the substrate.

바람직하게는 상기 질화제는 질소 가스(N2), 히드라진 가스(N2H4), 또는 질소 가스 및 수소 가스의 혼합물일 수 있다.Preferably, the nitriding agent may be nitrogen gas (N 2 ), hydrazine gas (N 2 H 4 ), or a mixture of nitrogen gas and hydrogen gas.

다음으로, 비활성 가스를 이용하여 반응하지 않은 잔류 반응 가스를 퍼징시킨다. 이에 따라, 과량의 반응 가스뿐만 아니라 생성된 부산물도 함께 제거할 수 있다.Next, the unreacted residual reaction gas is purged using an inert gas. Accordingly, it is possible to remove not only the excess reaction gas but also the generated by-products.

위와 같이, 상기 박막 형성 방법은 일례로 보조 전구체를 기판 상에 차폐시키는 단계, 미흡착된 보조 전구체를 퍼징하는 단계, 메탈 프리커서를 기판 상에 흡착시키는 단계, 미흡착된 메탈 프리커서를 퍼징하는 단계, 반응 가스를 공급하는 단계, 잔류 반응 가스를 퍼징하는 단계를 단위 사이클로 하며, 원하는 두께의 박막을 형성하기 위해, 상기 단위 사이클을 반복할 수 있다.As described above, the thin film formation method includes, for example, shielding an auxiliary precursor on a substrate, purging an unadsorbed auxiliary precursor, adsorbing a metal precursor onto a substrate, and purging the unadsorbed metal precursor. The step of supplying the reaction gas, and the step of purging the remaining reaction gas are a unit cycle, and the unit cycle may be repeated to form a thin film having a desired thickness.

상기 박막 형성 방법은 다른 일례로 메탈 프리커서를 기판 상에 흡착시키는 단계, 미흡착된 메탈 프리커서를 퍼징하는 단계, 보조 전구체를 기판 상에 흡착시키는 단계, 미흡착된 보조 전구체를 퍼징하는 단계, 반응 가스를 공급하는 단계, 잔류 반응 가스를 퍼징하는 단계를 단위 사이클로 하며, 원하는 두께의 박막을 형성하기 위해, 상기 단위 사이클을 반복할 수 있다.As another example, the thin film forming method includes adsorbing a metal precursor onto a substrate, purging the unadsorbed metal precursor, adsorbing an auxiliary precursor onto the substrate, purging the unadsorbed auxiliary precursor, The step of supplying the reaction gas and the step of purging the remaining reaction gas are performed as a unit cycle, and the unit cycle may be repeated to form a thin film having a desired thickness.

상기 단위 사이클은 일례로 1 내지 99,999회, 바람직하게는 10 내지 1,000회, 보다 바람직하게는 50 내지 5,000회, 보다 더욱 바람직하게는 100 내지 2,000회 반복될 수 있고, 이 범위 내에서 목적하는 박막 특성이 잘 발현되는 효과가 있다.The unit cycle may be repeated, for example, 1 to 99,999 times, preferably 10 to 1,000 times, more preferably 50 to 5,000 times, and still more preferably 100 to 2,000 times, and within this range, desired thin film properties This effect is well expressed.

본 발명은 또한 반도체 기판을 제공하고, 상기 반도체 기판은 본 기재의 박막 형성 방법으로 제조됨을 특징으로 하며, 이러한 경우 박막의 단차 피복성(step coverage) 및 박막의 두께 균일성이 크게 뛰어나고, 박막의 밀도 및 전기적 특성이 뛰어난 효과가 있다.The present invention also provides a semiconductor substrate, characterized in that the semiconductor substrate is manufactured by the thin film formation method of the present description, and in this case, the step coverage of the thin film and the thickness uniformity of the thin film are greatly excellent, and the thickness of the thin film is excellent. It has excellent density and electrical properties.

상기 제조된 박막은 바람직하게 두께가 20 nm 이하이고, 박막 두께 10 nm 기준 비저항 값이 50 내지 400 μΩ·cm이며, 할로겐 함량이 10,000 ppm 이하이고, 단차피복율이 90% 이상이며, 이 범위 내에서 확산 방지막으로서 성능이 뛰어나고, 금속 배선재료의 부식이 저감되는 효과가 있지만, 이에 한정하는 것은 아니다. The prepared thin film preferably has a thickness of 20 nm or less, a resistivity value of 50 to 400 μΩ cm based on a thin film thickness of 10 nm, a halogen content of 10,000 ppm or less, and a step coverage of 90% or more, within this range It has excellent performance as an anti-diffusion film and has an effect of reducing corrosion of metal wiring materials, but is not limited thereto.

상기 박막은 두께가 일례로 0.1 내지 20 nm, 바람직하게는 1 내지 20 nm, 보다 바람직하게는 3 내지 25 nm, 더욱 바람직하게는 5 내지 20 nm일 수 있고, 이 범위 내에서 박막 특성이 우수한 효과가 있다. The thin film may have a thickness of, for example, 0.1 to 20 nm, preferably 1 to 20 nm, more preferably 3 to 25 nm, and even more preferably 5 to 20 nm, and excellent thin film properties within this range. there is

상기 박막은 일례로 박막 두께 10 nm 기준 비저항 값이 0.1 내지 400 μΩ·cm, 바람직하게는 15 내지 300 μΩ·cm, 보다 바람직하게는 20 내지 290 μΩ· cm, 보다 더욱 바람직하게는 25 내지 280 μΩ· cm일 수 있고, 이 범위 내에서 박막 특성이 우수한 효과가 있다. The thin film has, for example, a specific resistance value based on a thin film thickness of 10 nm of 0.1 to 400 μΩ cm, preferably 15 to 300 μΩ cm, more preferably 20 to 290 μΩ cm, and even more preferably 25 to 280 μΩ. · cm, and within this range, there is an effect of excellent thin film properties.

상기 박막은 할로겐 함량이 바람직하게는 10,000 ppm 이하 또는 1 내지 9,000 ppm, 더욱 바람직하게는 5 내지 8,500 ppm, 보다 더욱 바람직하게는 100 내지 1,000 ppm일 수 있고, 이 범위 내에서 박막 특성이 우수하면서도 박막 성장률이 저감되는 효과가 있다. 여기서, 상기 박막에 잔류하는 할로겐은 일례로 Cl2, Cl, 또는 Cl-일 수 있고, 박막 내 할로겐 잔류량이 낮을수록 막질이 뛰어나 바람직하다. The thin film may have a halogen content of preferably 10,000 ppm or less, or 1 to 9,000 ppm, more preferably 5 to 8,500 ppm, and still more preferably 100 to 1,000 ppm, and within this range, the thin film properties are excellent and the thin film It has the effect of reducing the growth rate. Here, the halogen remaining in the thin film may be, for example, Cl 2 , Cl, or Cl , and the lower the residual amount of halogen in the thin film, the better the quality of the film.

상기 박막은 일례로 단차 피복률이 90% 이상, 바람직하게는 92% 이상, 보다 바람직하게는 95% 이상이며, 이 범위 내에서 복잡한 구조의 박막이라도 용이하게 기판에 증착시킬 수 있어 차세대 반도체 장치에 적용 가능한 이점이 있다. The thin film has, for example, a step coverage of 90% or more, preferably 92% or more, and more preferably 95% or more. There are applicable benefits.

상기 박막은 일례로 필요에 따라 2층 또는 3층의 다층 구조일 수 있다. 상기 2층 구조의 다층막은 구체적인 일례로 하층막-중층막 구조일 수 있고, 상기 3층 구조의 다층막은 구체적인 일례로 하층막-중층막-상층막 구조일 수 있다.The thin film may have, for example, a multilayer structure of two or three layers as needed. The multilayer film having a two-layer structure may have a lower film-middle layer structure as a specific example, and the multilayer film having a three-layer structure may have a lower film-middle layer-upper layer structure as a specific example.

상기 하층막은 일례로 Si, SiO2, MgO, Al2O3, CaO, ZrSiO4, ZrO2, HfSiO4, Y2O3, HfO2, LaLuO2, Si3N4, SrO, La2O3, Ta2O5, BaO, TiO2로 이루어진 군에서 선택된 1종 이상을 포함하여 이루어질 수 있다.The lower layer film is, for example, Si, SiO 2 , MgO, Al 2 O 3 , CaO, ZrSiO 4 , ZrO 2 , HfSiO 4 , Y 2 O 3 , HfO 2 , LaLuO 2 , Si 3 N 4 , SrO, La 2 O 3 , Ta 2 O 5 , BaO, TiO 2 It may be made of including one or more selected from the group consisting of.

상기 중층막은 일례로 TixNy, 바람직하게는 TN을 포함하여 이루어질 수 있다.The intermediate layer may include, for example, Ti x N y , preferably TN.

상기 상층막은 일례로 W, Mo로 이루어진 군에서 선택된 1종 이상을 포함하여 이루어질 수 있다.The upper layer film may include, for example, one or more selected from the group consisting of W and Mo.

또한, 본 발명은 전술한 박막 형성 방법으로 제조됨을 특징으로 하는 반도체 기판을 제공한다. In addition, the present invention provides a semiconductor substrate characterized in that it is manufactured by the above-described thin film forming method.

상기 박막은 2층 또는 3층의 다층 구조일 수 있다. The thin film may have a multilayer structure of two or three layers.

또한, 본 발명은 전술한 반도체 기판을 포함하는 반도체 소자를 제공한다. In addition, the present invention provides a semiconductor device including the semiconductor substrate described above.

상기 반도체 기판은 저 저항 금속 게이트 인터커넥트(low resistive metal gate interconnects), 고 종횡비 3D 금속-절연체-금속(MIM) 커패시터(high aspect ratio 3D metal-insulator-metal capacitor), DRAM 트렌치 커패시터(DRAM trench capacitor), 3D 게이트-올-어라운드(GAA; Gate-All-Around), 또는 3D NAND 플래시메모리 일 수 있다. The semiconductor substrate includes low resistive metal gate interconnects, a high aspect ratio 3D metal-insulator-metal (MIM) capacitor, and a DRAM trench capacitor. , 3D Gate-All-Around (GAA), or 3D NAND flash memory.

이하, 본 발명의 이해를 돕기 위하여 바람직한 실시예 및 도면을 제시하나, 하기 실시예 및 도면은 본 발명을 예시하는 것일 뿐 본 발명의 범주 및 기술사상 범위 내에서 다양한 변경 및 수정이 가능함은 당업자에게 있어서 명백한 것이며, 이러한 변형 및 수정이 첨부된 특허청구범위에 속하는 것도 당연한 것이다.Hereinafter, preferred embodiments and drawings are presented to aid understanding of the present invention, but the following embodiments and drawings are merely illustrative of the present invention, and various changes and modifications are possible within the scope and spirit of the present invention to those skilled in the art. It is obvious in this regard, and it is natural that such variations and modifications fall within the scope of the appended claims.

실시예 Example

본 발명에서 보조 전구체와 메탈 프리커서를 사용한 3 단계 박막 형성 단계를 1 cycle이라 할 때, 가능한 실험은 다음과 같다. In the present invention, when the three-step thin film formation step using the auxiliary precursor and the metal precursor is 1 cycle, possible experiments are as follows.

Figure pat00005
Figure pat00005

박막 증착 실험Thin film deposition experiment

모든 주입공정 간 주입시간의 1~100배에 해당하는 Purge 시간이 존재하여 화학적 흡착종을 제외한 주입된 분자종은 완전히 제거 후 다음 단계의 물질을 주입하였다. 구체적인 증착조건은 일례로 전술한 표 1에 제시한 조건을 사용할 수 있다.There was a purge time corresponding to 1 to 100 times the injection time between all injection processes, so the injected molecular species except for the chemically adsorbed species were completely removed, and then the next step material was injected. As specific deposition conditions, the conditions presented in Table 1 above may be used as an example.

본 발명에서 형성가능한 다양한 대상 박막 중에서 일례로 금속 산화막을 형성하는 반응 메커니즘을 하기 도 1에 나타내었다. A reaction mechanism for forming a metal oxide film as an example among various target thin films that can be formed in the present invention is shown in FIG. 1 below.

하기 도 1은 금속산화막 형성시 반응 메커니즘을 개략적으로 나타낸 도면으로, 구체적으로 CpHf(N(CH3)2)3를 사용한 것으로, 하기 도 1에서 L1은 사이클로펜타디엔(Cp), L2는 N(CH3)2를 나타낸다. 1 is a diagram schematically showing a reaction mechanism when forming a metal oxide film, specifically using CpHf(N(CH 3 ) 2 ) 3 . In FIG. 1, L 1 is cyclopentadiene (Cp) and L 2 is N(CH 3 ) 2 .

본 발명에서 형성가능한 다양한 대상 박막 중에서 일례로 질화 금속막을 형성하는 반응 메커니즘을 하기 도 2에 나타내었다. A reaction mechanism for forming a metal nitride film as an example among various target thin films that can be formed in the present invention is shown in FIG. 2 below.

하기 도 2는 질화 금속막 형성시 반응 메커니즘을 개략적으로 나타낸 도면으로, 구체적으로 TiCl4를 사용한 것으로, 하기 도 2에서 L1, L2, L3는 각각 염소(Cl)이다.FIG. 2 below schematically shows a reaction mechanism when forming a metal nitride film, specifically using TiCl 4 . In FIG. 2 , L 1 , L 2 , and L 3 represent chlorine (Cl), respectively.

본 발명에서 형성가능한 다양한 대상 박막 중에서 일례로 금속막을 형성하는 반응 메커니즘을 하기 도 3에 나타내었다. A reaction mechanism for forming a metal film as an example among various target thin films that can be formed in the present invention is shown in FIG. 3 below.

하기 도 3은 금속막 형성시 반응 메커니즘을 개략적으로 나타낸 도면으로, 구체적으로 WF6을 사용한 것으로, 하기 도 3에서 L1, L2, L3는 각각 불소(F)이다.FIG. 3 below is a diagram schematically showing a reaction mechanism when forming a metal film, specifically using WF 6 . In FIG. 3 , L 1 , L 2 , and L 3 represent fluorine (F), respectively.

증착 박막의 물성 평가Evaluation of properties of deposited thin films

형성된 각각의 박막의 물성을 하기 표 2에 따라 분석하였다. The physical properties of each thin film formed were analyzed according to Table 2 below.

구분division 분석장비analysis equipment 박막의 두께 측정Thin film thickness measurement EllipsometerEllipsometer 전기저항 측정electrical resistance measurement 4-point probe (면저항에 박막 두께를 고려하여 비저항으로 환산)4-point probe (converted to resistivity by considering thin film thickness in sheet resistance) 불순물 함량 측정Impurity content measurement XPS (X-ray photoelectron spectroscopy) *depth profileXPS (X-ray photoelectron spectroscopy) *depth profile 박막의 밀도 측정Density measurement of thin films XRR (X-ray Reflectometry)X-ray reflectometry (XRR) 단차 피복성 확인Step Coverage Check TEM (Focused Ion Beam으로 측정 대상 단면의 시료를 준비함)TEM (Prepare a sample of the cross section to be measured with Focused Ion Beam) 전기적 특성 평가Electrical Characteristics Evaluation Probe station (전압-전류 곡선)Probe station (voltage-current curve)

*XPS depth profile: XPS 측정간 ion sputtering을 통해 박막을 수 nm 깊이로 파고 들어가면서 해당 면에 존재하는 원소종의 atomic%를 측정하여 매우 신뢰성 높은 박막의 성분분석 방법*XPS depth profile: A highly reliable component analysis method of thin films by measuring the atomic % of elemental species present on the surface while digging into the thin film to a depth of several nm through ion sputtering between XPS measurements.

또한, 전기적 특성 평가를 위해 하기 도 4에 나타낸 구조를 갖는 소자를 제작하였다. In addition, a device having a structure shown in FIG. 4 was fabricated for electrical property evaluation.

하기 도 4는 본 발명에서 제작한 대상 박막의 전기적 특성 평가를 실시하기 위해 제작한 소자의 개념도이다. 4 is a conceptual diagram of a device fabricated to evaluate the electrical characteristics of a target thin film fabricated in the present invention.

<시험예1><Test Example 1>

하기 도 4와 같이 제작한 소자를 사용하여 증착 평가를 실시하였다. Deposition evaluation was performed using the device manufactured as shown in FIG. 4 below.

구체적으로는 CpHf(N(CH3)2)3 +O3 → HfO2 반응에 의해 얻어진 금속 산화막에 대하여 증착 평가를 실시한 결과, 본 발명에 따른 보조전구체 HI (hydrogen iodide)를 포함하는 경우 종래기술 대비 월등히 우수한 결과를 확인하고, 전기적 특성이 우수한 반도체소자를 제작할 수 있었다.Specifically, as a result of conducting deposition evaluation on the metal oxide film obtained by the CpHf(N(CH 3 ) 2 ) 3 +O 3 → HfO 2 reaction, when the auxiliary precursor HI (hydrogen iodide) according to the present invention is included, the prior art It was possible to confirm the results that were far superior to the comparison and fabricate a semiconductor device with excellent electrical characteristics.

구체적으로 전술한 박막 형성 방법을 통해 얻어지는 박막의 특성을 하기 도 5에 나타내었다. In detail, the characteristics of the thin film obtained through the above-described thin film forming method are shown in FIG. 5 below.

하기 도 5는 박막의 증착 평가 결과를 나타낸 그래프로서, 좌측 도면은 XPS depth profile을 통해 확인한 금속 산화막 내부의 불순물(탄소, C)를 확인한 그래프이고, 중간 도면은 XRR을 통해 측정한 금속 산화막의 밀도를 나타낸 그래프이고, 우측 도면은 약 22:1의 종횡비를 갖는 2미크론 깊이로 트렌치가 형성된 패턴 웨이퍼에 본 발명에 따른 금속 산화막을 증착한 다음 단면 상부(최상부에서 200nm 아래)와 하부(바닥에서 100nm 위)에 증착된 두께(=상부 두께/하부 두께)를 나타낸 그래프이다. 5 is a graph showing the results of thin film deposition evaluation. The left figure is a graph showing impurities (carbon, C) inside the metal oxide film confirmed through an XPS depth profile, and the middle figure is a graph showing the density of the metal oxide film measured through XRR. , and the figure on the right shows a patterned wafer having an aspect ratio of about 22:1 and a 2-micron-deep trench formed by depositing a metal oxide film according to the present invention, followed by cross-sectional top (200 nm below the top) and bottom (100 nm from the bottom). Above) is a graph showing the deposited thickness (= upper thickness / lower thickness).

참고로, 하기 도 5에 표기된 '종래기술'은 본 발명에 따른 보조전구체를 이용하지 않고 제작된 박막을 지칭하며, '발명기술'은 본 발명에 따른 보조전구체를 이용하여 제작된 박막을 지칭한다. For reference, 'prior art' indicated in FIG. 5 below refers to a thin film manufactured without using the auxiliary precursor according to the present invention, and 'invention technology' refers to a thin film manufactured using the auxiliary precursor according to the present invention. .

초당 카운팅 되는 탄소(C)의 농도는, 하기 도 5의 좌측 도면에서 보듯이,종래기술에 따라 보조전구체를 이용하지 않고 형성된 HfO2 박막에 잔존하는 C의 농도 대비 본 발명에 따라 보조전구체를 이용하여 형성된 HfO2 박막에 잔존하는 C의 농도가 1/4 이하로 대폭 감소한 것을 확인할 수 있었다. As shown in the left drawing of FIG. 5, the concentration of carbon (C) counted per second is compared to the concentration of C remaining in the HfO 2 thin film formed without using an auxiliary precursor according to the prior art using an auxiliary precursor according to the present invention. It was confirmed that the concentration of C remaining in the formed HfO 2 thin film was significantly reduced to less than 1/4.

또한, XRR을 통해 측정한 박막 밀도는, 하기 도 5의 중간 도면에서 보듯이, 종래기술에 따라 보조전구체를 이용하지 않고 형성된 HfO2 박막의 밀도는 9.2 g/cm3 인 반면 본 발명에 따라 보조전구체를 이용하여 형성된 HfO2 박막의 밀도는 9.4 g/cm3로서 HfO2의 벌크 상태의 밀도 9.68 g/cm3 (출처: Wikipedia)에 근사하는 매우 진보된 결과를 확인할 수 있었다. In addition, the thin film density measured through XRR, as shown in the middle diagram of FIG. 5 below, the density of the HfO 2 thin film formed without using an auxiliary precursor according to the prior art is 9.2 g/cm 3 , whereas the auxiliary precursor according to the present invention has a density of 9.2 g/cm 3 . The density of the HfO 2 thin film formed using the precursor was 9.4 g/cm 3 , which was close to the bulk density of HfO 2 of 9.68 g/cm 3 (source: Wikipedia).

또한, 단차피복성(step coverage)은, 하기 도 5의 우측 도면에서 보듯이, 약 22:1의 종횡비를 갖는 2미크론 깊이로 트렌치가 형성된 패턴웨이퍼에 종래기술에 따라 보조전구체를 이용하지 않고 형성된 HfO2 박막과 본 발명에 따라 보조전구체를 이용하여 형성된 HfO2 박막의 각각에서, 단면 상부(최상부에서 200nm 아래)와 하부(바닥에서 100nm 위)에 증착된 두께(=상부 두께/하부 두께)를 비교한 결과, 종래기술에서 평가된 단차피복성 87% 대비 본 발명에 따라 평가된 단차피복성 97%는 이상치 100%에 근사하는 매우 뛰어난 결과임을 확인하였다. 참고로, 단차피복성은 100%에 가까울수록 수직방향 증착에 있어서 이상적인 결과를 의미한다. In addition, step coverage, as shown in the right drawing of FIG. 5 below, is formed without using an auxiliary precursor according to the prior art on a pattern wafer having an aspect ratio of about 22:1 and a 2-micron-deep trench. In each of the HfO 2 thin film and the HfO 2 thin film formed using the auxiliary precursor according to the present invention, the thickness (= upper thickness / lower thickness) deposited on the top (200 nm below the top) and bottom (100 nm above the bottom) of the cross section As a result of comparison, it was confirmed that the step coverage of 97% evaluated according to the present invention compared to the step coverage of 87% evaluated in the prior art is a very excellent result close to the ideal value of 100%. For reference, the closer the step coverage is to 100%, the more ideal the vertical direction deposition is.

전술한 도 5에서 증착된 HfO2 박막의 단면 상부(최상부에서 200nm 아래)와 하부(바닥에서 100nm 위)에 증착된 두께를 하기 도 6에 대비하였다. The thickness of the HfO 2 thin film deposited in FIG. 5 above was compared with FIG.

하기 도 6은 보조 전구체를 이용하지 않고 증착된 HfO2 박막의 단면 상부(최상부에서 200nm 아래)와 하부(바닥에서 100nm 위)에 증착된 두께와, 보조 전구체를 이용하여 증착된 HfO2 박막의 단면 상부(최상부에서 200nm 아래)와 하부(바닥에서 100nm 위)에 증착된 두께를 찍은 TEM 도면이다. 6 is a cross-section of an HfO 2 thin film deposited using an auxiliary precursor, and a thickness deposited on the top (200 nm below the top) and the bottom (100 nm above the bottom) of a cross-section of an HfO 2 thin film deposited without using an auxiliary precursor. TEM plots of the deposited thicknesses on the top (200 nm below the top) and bottom (100 nm above the bottom).

하기 도 6에서 보듯이, 상하부 모두 개선된 증착 두께를 나타내는 것을 확인할 수 있었다. As shown in FIG. 6, it was confirmed that both the upper and lower portions exhibit improved deposition thickness.

반도체 소자의 특성 평가Evaluation of characteristics of semiconductor devices

형성된 각각의 박막을 하기 도 4에 나타낸 소자의 개념도의 HfO2로서 각각 적용한 반도체 소자를 제작한 다음 전압-전류를 인가하여 전기적 특성 평가를 수행하고 결과를 하기 도 7에 나타내었다. Each of the formed thin films was manufactured as HfO 2 of the conceptual diagram of the device shown in FIG. 4, and then a voltage-current was applied to evaluate electrical characteristics, and the results are shown in FIG. 7 below.

하기 도 7은 종래기술에 따라 보조 전구체를 이용하지 않고 제조된 HfO2를 적용한 반도체 소자와 본 발명에 따라 보조 전구체를 이용하여 제조된 HfO2를 적용한 반도체 소자에서 전기적 특성으로서 정전용량, 유전상수, 누설전류밀도 (3 MV/cm 조건)를 측정하고, 좌측 도면으로서 정전용량을, 중간 도면으로서 유전상수를, 우측 도면으로서 누설전류밀도를 각각 나타낸 도면이다. 7 shows electrical characteristics of capacitance, dielectric constant, The leakage current density (3 MV/cm condition) was measured, and the left figure shows the capacitance, the middle figure shows the dielectric constant, and the right figure shows the leakage current density.

유전상수는 하기 도 7의 중간 도면에서 보듯이, 전술한 정전용량 개선으로 인해 보조 전구체를 이용하지 않은 종래기술의 14.4 대비 본 발명의 경우 15.1로서 월등히 개선된 특성을 갖는 유전막임을 알 수 있다. As shown in the middle diagram of FIG. 7 below, the dielectric constant is 15.1 in the case of the present invention compared to 14.4 in the prior art without using an auxiliary precursor due to the above-described improvement in capacitance, indicating that the dielectric film has significantly improved characteristics.

3 MV/cm에서 측정한 누설전류밀도는 하기 도 7의 우측 도면에서 보듯이, 보조 전구체를 이용하지 않은 종래기술의 1130 A/cm2 대비 10 내지 500 A/cm2 범위 내인 51.6 A/cm2으로 역시 개선된 결과를 확인할 수 있었다. The leakage current density measured at 3 MV/cm is 51.6 A/cm 2 within the range of 10 to 500 A/cm 2 compared to 1130 A/cm 2 of the prior art without using an auxiliary precursor, as shown in the right diagram of FIG. 7 below. It was also possible to confirm improved results.

<시험예2> <Test Example 2>

하기 도 4와 같이 제작한 소자를 사용하여 증착 평가를 실시하였다. Deposition evaluation was performed using the device manufactured as shown in FIG. 4 below.

구체적으로는 TiCl4 +NH3 → TiN 반응에 의해 얻어진 질화 금속막에 대하여 증착 평가를 실시한 결과, 본 발명에 따른 보조전구체 HI (hydrogen iodide)를 포함하는 경우 종래기술 대비 월등히 우수한 결과를 확인하고, 전기적 특성이 우수한 반도체소자를 제작할 수 있었다.Specifically, as a result of the deposition evaluation on the metal nitride film obtained by the TiCl 4 +NH 3 → TiN reaction, when the auxiliary precursor HI (hydrogen iodide) according to the present invention was included, it was confirmed that the results were significantly superior to those of the prior art, A semiconductor device with excellent electrical characteristics could be fabricated.

구체적으로 전술한 박막 형성 방법을 통해 얻어지는 박막의 특성을 하기 도 8에 나타내었다. Specifically, the characteristics of the thin film obtained through the above-described thin film forming method are shown in FIG. 8 below.

하기 도 8은 박막의 증착 평가 결과를 나타낸 그래프로서, 좌측 도면은 XPS depth profile을 통해 확인한 질화 금속막 내부의 불순물(탄소, C)를 확인한 그래프이고, 중간 도면은 4선 저항측정기를 통해 측정한 면저항에 박막 두께를 고려하여 비저항으로 환산한 도면이고, 우측 도면은 약 22:1의 종횡비를 갖는 2미크론 깊이로 트렌치가 형성된 패턴 웨이퍼에 본 발명에 따른 질화 금속막을 증착한 다음 단면 상부(최상부에서 200nm 아래)와 하부(바닥에서 100nm 위)에 증착된 두께(=상부 두께/하부 두께)를 나타낸 그래프이다. 8 is a graph showing the deposition evaluation results of the thin film. The left figure is a graph confirming impurities (carbon, C) inside the metal nitride film confirmed through an XPS depth profile, and the middle figure is a graph measured through a 4-wire resistance meter. The figure on the right shows sheet resistance converted to specific resistance by considering the thickness of the thin film. It is a graph showing the thickness (=top thickness/bottom thickness) deposited on the bottom (200nm below) and bottom (100nm above the bottom).

참고로, 하기 도 8에 표기된 '종래기술'은 본 발명에 따른 보조전구체를 이용하지 않고 제작된 박막을 지칭하며, '발명기술'은 본 발명에 따른 보조전구체를 이용하여 제작된 박막을 지칭한다. For reference, 'prior art' indicated in FIG. 8 below refers to a thin film manufactured without using the auxiliary precursor according to the present invention, and 'invention technology' refers to a thin film manufactured using the auxiliary precursor according to the present invention. .

초당 카운팅 되는 탄소(C)의 농도는, 하기 도 8의 좌측 도면에서 보듯이,종래기술에 따라 보조전구체를 이용하지 않고 형성된 TiN 박막에 잔존하는 C의 농도 대비 본 발명에 따라 보조전구체를 이용하여 형성된 TiN 박막에 잔존하는 C의 농도가 1/3 이하로 대폭 감소한 것을 확인할 수 있었다. As shown in the left drawing of FIG. 8, the concentration of carbon (C) counted per second is compared to the concentration of C remaining in the TiN thin film formed without using an auxiliary precursor according to the prior art by using an auxiliary precursor It was confirmed that the concentration of C remaining in the formed TiN thin film was significantly reduced to less than 1/3.

또한, 4선 저항측정기를 통해 측정한 면저항에 박막 두께를 고려하여 비저항으로 환산한 결과는, 하기 도8의 중간 도면에서 보듯이, 종래기술에 따라 보조전구체를 이용하지 않고 형성된 TiN 박막의비저항 대비 본 발명에 따라 보조전구체를 이용하여 형성된 TiN 박막의 비저항이 절반 이하로 감소한 결과를 확인하였다. In addition, the result of converting the sheet resistance measured through a 4-wire resistance meter into resistivity in consideration of the thickness of the thin film is, as shown in the middle drawing of FIG. 8 below, the resistivity of the TiN thin film formed without using an auxiliary precursor according to the prior art It was confirmed that the specific resistance of the TiN thin film formed using the auxiliary precursor according to the present invention was reduced to less than half.

또한, 단차피복성(step coverage)은, 하기 도 8의 우측 도면에서 보듯이, 약 22:1의 종횡비를 갖는 2미크론 깊이로 트렌치가 형성된 패턴웨이퍼에 종래기술에 따라 보조전구체를 이용하지 않고 형성된 TiN 박막과 본 발명에 따라 보조전구체를 이용하여 형성된 TiN 박막의 각각에서, 단면 상부(최상부에서 200nm 아래)와 하부(바닥에서 100nm 위)에 증착된 두께(=상부 두께/하부 두께)를 비교한 결과, 종래기술에서 평가된 단차피복성 31% 대비 본 발명에 따라 평가된 단차피복성 95%는 이상치 100%에 근사하는 매우 뛰어난 결과임을 확인하였다. 참고로, 단차피복성은 100%에 가까울수록 수직방향 증착에 있어서 이상적인 결과를 의미한다. In addition, step coverage, as shown in the right drawing of FIG. 8 below, is formed without using an auxiliary precursor according to the prior art on a pattern wafer having an aspect ratio of about 22:1 and a 2-micron-deep trench formed thereon. In each of the TiN thin film and the TiN thin film formed using the auxiliary precursor according to the present invention, the thickness (= top thickness / bottom thickness) deposited on the top (200 nm below the top) and bottom (100 nm above the bottom) of the cross section was compared. As a result, it was confirmed that the step coverage of 95% evaluated according to the present invention compared to the step coverage of 31% evaluated in the prior art was an excellent result close to the ideal value of 100%. For reference, the closer the step coverage is to 100%, the more ideal the vertical direction deposition is.

상기 도 8의 좌측 도면에 나타낸 질화 금속막 내부의 불순물 개선도를 스퍼터 시간대별로 측정하고 하기 도 9의 좌측 도면에 나타내었다. Impurity improvement in the metal nitride film shown in the left drawing of FIG. 8 was measured for each sputter time period and is shown in the left drawing of FIG. 9 below.

상기 도 8의 중간 도면에 나타낸 질화 금속막의 비저항 개선도를 증착 온도별로 측정하고 하기 도 9의 우측 도면에 나타내었다. The degree of improvement in resistivity of the metal nitride film shown in the middle diagram of FIG. 8 was measured for each deposition temperature and is shown in the right side diagram of FIG. 9 below.

스퍼터 시간대별로 측정한 본 발명에 따른 질화 금속막 내부의 불순물은 하기 도 9의 좌측 도면에서 보듯이, 보조 전구체를 이용하지 않은 종래기술 대비 72% 개선된 것으로 확인되었다.Impurities inside the metal nitride film according to the present invention, measured for each sputtering time period, were confirmed to be 72% improved compared to the prior art without using an auxiliary precursor, as shown in the left drawing of FIG. 9 below.

증착 온도별로 측정한 본 발명에 따른 질화 금속막의 비저항은 하기 도 9의 우측 도면에서 보듯이, 보조 전구체를 이용하지 않은 종래기술 대비 50% 개선된 것을 알 수 있다. It can be seen that the resistivity of the metal nitride film according to the present invention, measured for each deposition temperature, is improved by 50% compared to the prior art without using an auxiliary precursor, as shown in the right drawing of FIG. 9 below.

Claims (6)

박막용 메탈 프리커서에 대한 보조 전구체로서,
상기 보조 전구체는 아이오딘계 화합물인 것인 보조 전구체.
As an auxiliary precursor for metal precursors for thin films,
The auxiliary precursor is an iodine-based compound.
제1항에 있어서,
상기 메탈 프리커서는 하기 화학식(1) 내지 화학식(6)으로 표시되는 군에서 선택된 1종 이상인 것을 특징으로 하는 보조 전구체:
화학식(1) = MxLyL'z
(상기 화학식 (1)에서, 하기 x는 1 내지 3의 정수이며, 하기 M은 Li, Be, C, P, Na, Mg, Al, Si, K, Ca, Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ga, Ge, As, Se, Rb, Sr, Y, Zr, Nb, Mo, Te, Ru, Rh, Pd, Ag, Cd, In, Sn, Sb, Te, Ce, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Th, Pa, U, Cs, Ba, La, Hf, Ta, W, Re, Os, Ir, Pt, Au, Hg, Tl, Pb, Bi, Pt, At 및 Tn으로 이루어진 군에서 선택될 수 있고, y는 1 내지 6의 정수이며, z는 0 내지 6(바람직하게는 1 내지 6)의 정수이고, 상기 L 및 L'는 각각 독립적으로 H, C, N, O, F, P, S, Cl, Br 또는 I이거나, H, C, N, O, F, P, S, Cl 및 Br로 이루어진 군에서 선택된 1종 또는 2종 이상의 조합으로 이루어진 리간드이다.)
화학식(2)=
Figure pat00006

(상기 화학식 (2)에서, 하기 M1은 Zr, Hf, Si, Ge 또는 Ti이며, 하기 X1, X2, X3는 독립적으로 -NR1R2 또는 -OR3이고, 상기 R1 내지 R3은 독립적으로 탄소수 1 내지 6의 알킬기이며, 상기 n은 1 또는 2이다.)

화학식(3)=
Figure pat00007

(상기 화학식 (3)에서, 하기 M은 Zr, Hf, Si, Ge 또는 Ti이며, R1은 독립적으로 수소, 탄소수 1 내지 4의 알킬기이고, 상기 n은 0 내지 5의 정수이며, X'1, X'2 및 X'3은 독립적으로 -NR1R2 또는 -OR3이고, 상기 R'1 내지 R'3은 독립적으로 탄소수 1 내지 6의 알킬기이다.)
화학식(4)= Mo(O)n(X)m(L)k
(상기 화학식 (4)에서, 하기 Mo는 몰리브덴이고, O는 산소이며, X는 할로겐이고, 상기 L은 리간드이며, 상기 n은 0 내지 2의 정수이고, 상기 m은 2 내지 6의 정수이며, 상기 k는 1 내지 3의 정수이다.)
화학식(5)= MXaY(6-a)Zb
(상기 화학식 (5)에서, 하기 M는 Co, Ni, Ru, Ti, Ta, Nb, W, Cu, Ru 및 Mo 군으로부터 선택된 1종 이상이고; X는 할로겐 원소이며; 상기 Y는 아민 계열 또는 알콕시 계열이고; 상기 a는 1 내지 6의 정수이며; 상기 Z는 탄소수가 1 내지 15인 알킬 시아나이드, 또는 탄소수가 3 내지 15이고 하나 이상의 질소(N), 산소(O), 인(P) 또는 황(S)으로 치환된 선형 또는 고리형 포화 탄화수소로 이루어진 군에서 선택되며; 상기 b는 0 내지 5의 정수이다.)
화학식(6)= ML1L2L3L4(L5)h(L6)i
(상기 화학식 (6)에서, 하기 M는 Co, Ni, Ru, Ti, Ta, Nb, W, Cu, Ru 및 Mo 군으로부터 선택된 1종 이상이고, 하기 L1, L2, L3, L4, L5 및 L6은 독립적으로 H; F; Cl; Br; I; NRaRb; ORc; CO; RdCp; 아미디네이트; 구아디니네이트; 에틸렌디아민; 프로필렌디아민; 및 하나 이상의 카본(C), 질소(N), 산소(O), 인(P) 또는 황(S)으로 치환된 선형 또는 고리형 포화 또는 불포화 탄화수소;로 이루어진 군으로부터 선택된 1종이고, 하기 Cp는 시클로펜타디에닐이며, 하기 Ra, Rb, Rc 및 Rd는 독립적으로 수소 또는 탄소수 1 내지 12의 알킬이며, 하기 h 및 I는 독립적으로 0 또는 1이고, 화합물 전체 산화수는 -2 내지 6의 정수이다.)
According to claim 1,
The auxiliary precursor, characterized in that the metal precursor is at least one selected from the group represented by the following formula (1) to formula (6):
Formula (1) = M x L y L' z
(In Formula (1), the following x is an integer from 1 to 3, and the following M is Li, Be, C, P, Na, Mg, Al, Si, K, Ca, Sc, Ti, V, Cr, Mn , Fe, Co, Ni, Cu, Zn, Ga, Ge, As, Se, Rb, Sr, Y, Zr, Nb, Mo, Te, Ru, Rh, Pd, Ag, Cd, In, Sn, Sb, Te , Ce, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Th, Pa, U, Cs, Ba, La, Hf, Ta, W, Re, Os, Ir, Pt, Au , Hg, Tl, Pb, Bi, Pt, At and Tn, y is an integer from 1 to 6, z is an integer from 0 to 6 (preferably 1 to 6), the above L and L' are each independently H, C, N, O, F, P, S, Cl, Br or I, or from the group consisting of H, C, N, O, F, P, S, Cl and Br It is a ligand consisting of one selected species or a combination of two or more species.)
Formula (2) =
Figure pat00006

(In Formula (2), the following M1 is Zr, Hf, Si, Ge or Ti, the following X 1 , X 2 , X 3 are independently -NR 1 R 2 or -OR 3 , wherein R1 to R3 are It is independently an alkyl group having 1 to 6 carbon atoms, wherein n is 1 or 2.)

Formula (3) =
Figure pat00007

(In the formula (3), M is Zr, Hf, Si, Ge or Ti, R1 is independently hydrogen or an alkyl group having 1 to 4 carbon atoms, n is an integer of 0 to 5, X'1, X'2 and X'3 are independently -NR1R2 or -OR 3 , and R'1 to R'3 are independently an alkyl group having 1 to 6 carbon atoms.)
Formula (4) = Mo(O)n(X)m(L)k
(In the formula (4), Mo is molybdenum, O is oxygen, X is halogen, L is a ligand, n is an integer from 0 to 2, m is an integer from 2 to 6, The k is an integer from 1 to 3.)
Formula (5) = MXaY(6-a)Zb
(In Formula (5), M is at least one selected from the group Co, Ni, Ru, Ti, Ta, Nb, W, Cu, Ru, and Mo; X is a halogen element; Y is an amine-based or an alkoxy group; wherein a is an integer of 1 to 6; wherein Z is an alkyl cyanide having 1 to 15 carbon atoms, or an alkyl cyanide having 3 to 15 carbon atoms and containing one or more of nitrogen (N), oxygen (O), and phosphorus (P) Or it is selected from the group consisting of linear or cyclic saturated hydrocarbons substituted with sulfur (S); wherein b is an integer from 0 to 5.)
Formula (6) = ML1L2L3L4(L5)h(L6)i
(In the formula (6), the following M is at least one selected from the group Co, Ni, Ru, Ti, Ta, Nb, W, Cu, Ru and Mo, and the following L1, L2, L3, L4, L5 and L6 are independently H; F; Cl; Br; I; NRaRb; ORc; CO; RdCp; amidinate; guadininate; ethylenediamine; propylenediamine; and one or more of carbon (C), nitrogen (N), oxygen (O), phosphorus (P) or sulfur (S) substituted linear or cyclic saturated or unsaturated hydrocarbon; is one selected from the group consisting of, Cp is cyclopentadienyl, and Ra, Rb, Rc and Rd is independently hydrogen or alkyl having 1 to 12 carbon atoms, the following h and I are independently 0 or 1, and the total oxidation number of the compound is an integer of -2 to 6.)
제1항의 보조 전구체를 메탈 프리커서 주입 전 단계 또는 후 단계에 적용하여 형성된 박막. A thin film formed by applying the auxiliary precursor of claim 1 before or after injection of the metal precursor. 제3항에 있어서,
상기 메탈 프리커서는 하기 화학식(1) 내지 화학식(6)으로 표시되는 군에서 선택된 1종 이상인 것을 특징으로 하는 박막:
화학식(1) = MxLyL'z
(상기 화학식 (1)에서, 하기 x는 1 내지 3의 정수이며, 하기 M은 Li, Be, C, P, Na, Mg, Al, Si, K, Ca, Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ga, Ge, As, Se, Rb, Sr, Y, Zr, Nb, Mo, Te, Ru, Rh, Pd, Ag, Cd, In, Sn, Sb, Te, Ce, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Th, Pa, U, Cs, Ba, La, Hf, Ta, W, Re, Os, Ir, Pt, Au, Hg, Tl, Pb, Bi, Pt, At 및 Tn으로 이루어진 군에서 선택될 수 있고, y는 1 내지 6의 정수이며, z는 0 내지 6(바람직하게는 1 내지 6)의 정수이고, 상기 L 및 L'는 각각 독립적으로 H, C, N, O, F, P, S, Cl, Br 또는 I이거나, H, C, N, O, F, P, S, Cl 및 Br로 이루어진 군에서 선택된 1종 또는 2종 이상의 조합으로 이루어진 리간드이다.)
화학식(2)=
Figure pat00008

(상기 화학식 (2)에서, 하기 M1은 Zr, Hf, Si, Ge 또는 Ti이며, 하기 X1, X2, X3는 독립적으로 -NR1R2 또는 -OR3이고, 상기 R1 내지 R3은 독립적으로 탄소수 1 내지 6의 알킬기이며, 상기 n은 1 또는 2이다.)

화학식(3)=
Figure pat00009

(상기 화학식 (3)에서, 하기 M은 Zr, Hf, Si, Ge 또는 Ti이며, R1은 독립적으로 수소, 탄소수 1 내지 4의 알킬기이고, 상기 n은 0 내지 5의 정수이며, X'1, X'2 및 X'3은 독립적으로 -NR1R2 또는 -OR3이고, 상기 R'1 내지 R'3은 독립적으로 탄소수 1 내지 6의 알킬기이다.)
화학식(4)= Mo(O)n(X)m(L)k
(상기 화학식 (4)에서, 하기 Mo는 몰리브덴이고, O는 산소이며, X는 할로겐이고, 상기 L은 리간드이며, 상기 n은 0 내지 2의 정수이고, 상기 m은 2 내지 6의 정수이며, 상기 k는 1 내지 3의 정수이다.)
화학식(5)= MXaY(6-a)Zb
(상기 화학식 (5)에서, 하기 M는 Co, Ni, Ru, Ti, Ta, Nb, W, Cu, Ru 및 Mo 군으로부터 선택된 1종 이상이고; X는 할로겐 원소이며; 상기 Y는 아민 계열 또는 알콕시 계열이고; 상기 a는 1 내지 6의 정수이며; 상기 Z는 탄소수가 1 내지 15인 알킬 시아나이드, 또는 탄소수가 3 내지 15이고 하나 이상의 질소(N), 산소(O), 인(P) 또는 황(S)으로 치환된 선형 또는 고리형 포화 탄화수소로 이루어진 군에서 선택되며; 상기 b는 0 내지 5의 정수이다.)
화학식(6)= ML1L2L3L4(L5)h(L6)i
(상기 화학식 (6)에서, 하기 M는 Co, Ni, Ru, Ti, Ta, Nb, W, Cu, Ru 및 Mo 군으로부터 선택된 1종 이상이고, 하기 L1, L2, L3, L4, L5 및 L6은 독립적으로 H; F; Cl; Br; I; NRaRb; ORc; CO; RdCp; 아미디네이트; 구아디니네이트; 에틸렌디아민; 프로필렌디아민; 및 하나 이상의 카본(C), 질소(N), 산소(O), 인(P) 또는 황(S)으로 치환된 선형 또는 고리형 포화 또는 불포화 탄화수소;로 이루어진 군으로부터 선택된 1종이고, 하기 Cp는 시클로펜타디에닐이며, 하기 Ra, Rb, Rc 및 Rd는 독립적으로 수소 또는 탄소수 1 내지 12의 알킬이며, 하기 h 및 I는 독립적으로 0 또는 1이고, 화합물 전체 산화수는 -2 내지 6의 정수이다.)
According to claim 3,
The metal precursor is a thin film, characterized in that at least one selected from the group represented by the following formula (1) to formula (6):
Formula (1) = M x L y L' z
(In Formula (1), the following x is an integer from 1 to 3, and the following M is Li, Be, C, P, Na, Mg, Al, Si, K, Ca, Sc, Ti, V, Cr, Mn , Fe, Co, Ni, Cu, Zn, Ga, Ge, As, Se, Rb, Sr, Y, Zr, Nb, Mo, Te, Ru, Rh, Pd, Ag, Cd, In, Sn, Sb, Te , Ce, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Th, Pa, U, Cs, Ba, La, Hf, Ta, W, Re, Os, Ir, Pt, Au , Hg, Tl, Pb, Bi, Pt, At and Tn, y is an integer from 1 to 6, z is an integer from 0 to 6 (preferably 1 to 6), the above L and L' are each independently H, C, N, O, F, P, S, Cl, Br or I, or from the group consisting of H, C, N, O, F, P, S, Cl and Br It is a ligand consisting of one selected species or a combination of two or more species.)
Formula (2) =
Figure pat00008

(In Formula (2), the following M1 is Zr, Hf, Si, Ge or Ti, the following X 1 , X 2 , X 3 are independently -NR 1 R 2 or -OR 3 , wherein R1 to R3 are It is independently an alkyl group having 1 to 6 carbon atoms, wherein n is 1 or 2.)

Formula (3) =
Figure pat00009

(In the formula (3), M is Zr, Hf, Si, Ge or Ti, R1 is independently hydrogen or an alkyl group having 1 to 4 carbon atoms, n is an integer of 0 to 5, X'1, X'2 and X'3 are independently -NR1R2 or -OR 3 , and R'1 to R'3 are independently an alkyl group having 1 to 6 carbon atoms.)
Formula (4) = Mo(O)n(X)m(L)k
(In the formula (4), Mo is molybdenum, O is oxygen, X is halogen, L is a ligand, n is an integer from 0 to 2, m is an integer from 2 to 6, The k is an integer from 1 to 3.)
Formula (5) = MXaY(6-a)Zb
(In Formula (5), M is at least one selected from the group Co, Ni, Ru, Ti, Ta, Nb, W, Cu, Ru, and Mo; X is a halogen element; Y is an amine-based or an alkoxy group; wherein a is an integer of 1 to 6; wherein Z is an alkyl cyanide having 1 to 15 carbon atoms, or an alkyl cyanide having 3 to 15 carbon atoms and containing one or more of nitrogen (N), oxygen (O), and phosphorus (P) Or it is selected from the group consisting of linear or cyclic saturated hydrocarbons substituted with sulfur (S); wherein b is an integer from 0 to 5.)
Formula (6) = ML1L2L3L4(L5)h(L6)i
(In the formula (6), the following M is at least one selected from the group Co, Ni, Ru, Ti, Ta, Nb, W, Cu, Ru and Mo, and the following L1, L2, L3, L4, L5 and L6 are independently H; F; Cl; Br; I; NRaRb; ORc; CO; RdCp; amidinate; guadininate; ethylenediamine; propylenediamine; and one or more of carbon (C), nitrogen (N), oxygen (O), phosphorus (P) or sulfur (S) substituted linear or cyclic saturated or unsaturated hydrocarbon; is one selected from the group consisting of, Cp is cyclopentadienyl, and Ra, Rb, Rc and Rd is independently hydrogen or alkyl having 1 to 12 carbon atoms, the following h and I are independently 0 or 1, and the total oxidation number of the compound is an integer of -2 to 6.)
제3항에 있어서,
상기 박막은 유전막, 배선 또는 배리어인 것을 특징으로 하는 박막.
According to claim 3,
The thin film, characterized in that the dielectric film, wiring or barrier.
제3항의 박막을 포함하는 반도체 소자. A semiconductor device comprising the thin film of claim 3 .
KR1020220079550A 2021-06-29 2022-06-29 Auxiliary precursor, thin film and semiconductor device KR20230002104A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020210084989 2021-06-29
KR20210084989 2021-06-29

Publications (1)

Publication Number Publication Date
KR20230002104A true KR20230002104A (en) 2023-01-05

Family

ID=84925951

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220079550A KR20230002104A (en) 2021-06-29 2022-06-29 Auxiliary precursor, thin film and semiconductor device

Country Status (1)

Country Link
KR (1) KR20230002104A (en)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060037241A (en) 2003-07-16 2006-05-03 코니카 미놀타 홀딩스 가부시키가이샤 Method for forming thin film and base having thin film formed by such method

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060037241A (en) 2003-07-16 2006-05-03 코니카 미놀타 홀딩스 가부시키가이샤 Method for forming thin film and base having thin film formed by such method

Similar Documents

Publication Publication Date Title
KR100591508B1 (en) Atomic layer deposition of oxide film
KR20200072407A (en) Precursor composition for film deposition, deposition method of film and semiconductor device of the same
KR102527535B1 (en) Growth regulator for forming thin film, method for forming thin film and semiconductor substrate prepared therefrom
KR20230002104A (en) Auxiliary precursor, thin film and semiconductor device
EP4253595A1 (en) Oxide film reaction surface control agent, method for forming oxide film by using same, and semiconductor substrate and semiconductor device manufactured therefrom
KR20230120958A (en) Barrier compound, method for forming thin film using the same, semiconductor substrate and semiconductor device prepared therefrom
KR20230139760A (en) Step coverage improving agent, method for forming thin film using the same, semiconductor substrate and semiconductor device prepared therefrom
KR102643460B1 (en) Growth inhibitor for forming thin film for and deposition method for preparing film using the same
KR20230143545A (en) Thin film modified composition, method for forming thin film using the same, semiconductor substrate and semiconductor device prepared therefrom
TWI820730B (en) Film forming material, film forming composition commprising the same, thin film produced therefrom, semiconductor substrate and semiconductor device
KR20230131086A (en) Thin film improving composition, method for forming thin film using the same, semiconductor substrate and semiconductor device prepared therefrom
KR20230143547A (en) Thin film barrier, method for forming thin film using the same, semiconductor substrate and semiconductor device prepared therefrom
KR20240034680A (en) Barrier compound, method for forming thin film using the same, semiconductor substrate and semiconductor device prepared therefrom
KR20230143549A (en) Activator, method for forming thin film using the same, semiconductor substrate and semiconductor device prepared therefrom
US20240136175A1 (en) Auxiliary precursor, thin-film precursor composition, method of forming thin film, and semiconductor substrate fabricated using method
KR20230143546A (en) Thin film modified composition, method for forming thin film using the same, semiconductor substrate and semiconductor device prepared therefrom
TW202348743A (en) Step coverage improving agent, method for forming thin film using the same, semiconductor substrate and semiconductor device prepared therefrom
CN116897222A (en) Oxide film reaction surface control agent, oxide film forming method using the same, semiconductor substrate manufactured by the method, and semiconductor element
KR20220120505A (en) Co―precursor, precursor composition containing the same, method for forming thin film and semiconductor substrate prepared therefrom
TW202347056A (en) Thin film modified composition, method for forming thin film using the same, semiconductor substrate and semiconductor device prepared therefrom
TW202347055A (en) Thin film modified composition, method for forming thin film using the same, semiconductor substrate and semiconductor device prepared therefrom
KR20220125188A (en) Metal thin-film precursor composition, method for forming thin film using the same, and semiconductor substrate prepared therefrom
KR20240049770A (en) Activator, method for preparing depostiion films, semiconductor and semiconductor device prepared thereof
JP2024508455A (en) Metal thin film precursor composition, thin film forming method using the same, and semiconductor substrate manufactured from the same
KR20220109350A (en) Growth regulator for forming thin film, method for forming thin film and semiconductor substrate prepared therefrom