KR20220167387A - 제품 피쳐에 대한 분해능상 계측을 사용하는 웨이퍼 정렬 방법 - Google Patents

제품 피쳐에 대한 분해능상 계측을 사용하는 웨이퍼 정렬 방법 Download PDF

Info

Publication number
KR20220167387A
KR20220167387A KR1020227039830A KR20227039830A KR20220167387A KR 20220167387 A KR20220167387 A KR 20220167387A KR 1020227039830 A KR1020227039830 A KR 1020227039830A KR 20227039830 A KR20227039830 A KR 20227039830A KR 20220167387 A KR20220167387 A KR 20220167387A
Authority
KR
South Korea
Prior art keywords
tool
substrate
metrology
alignment
measurements
Prior art date
Application number
KR1020227039830A
Other languages
English (en)
Inventor
윔 치보 텔
헤르마누스 아드리아누스 딜렌
마크 유리안 케아
로이 베르크만
웨이티안 쿠
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20220167387A publication Critical patent/KR20220167387A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7023Aligning or positioning in direction perpendicular to substrate surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7049Technique, e.g. interferometric
    • G03F9/7053Non-optical, e.g. mechanical, capacitive, using an electron beam, acoustic or thermal waves
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Multimedia (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

본 발명은 기판 상의 제품 피쳐의 위치를 결정하는 방법으로서, 기판 상의 하나 이상의 제품 피쳐의 복수 개의 위치 측정을 획득하는 단계 - 상기 측정은 측정들 사이에서 상기 기판 또는 상기 기판의 표면에 평행한 평면을 변위시키는 데에 사용되는 위치설정 시스템에 대하여 레퍼런스됨(referenced) -; 및 상기 기판의 왜곡 성분을 상기 위치 측정에 기반하여 결정하는 단계를 포함하는, 방법을 제공한다.

Description

제품 피쳐에 대한 분해능상 계측을 사용하는 웨이퍼 정렬 방법
관련 출원에 대한 상호 참조
본원은 2020 년 5 월 14 일에 출원된 EP 출원 제 20174607.0, 2020 년 11 월 17 일에 출원된 EP 출원 제 20208071.9, 및 2021 년 4 월 28 일에 출원된 EP 출원 제 63/180910에 대한 우선권을 주장하는데, 이들은 그 전체 내용이 원용되어 본원에 통합된다.
본 발명은 프로세스에 대한 정정을 결정하는 방법, 반도체 제조 프로세스, 리소그래피 장치, 리소그래피 셀 및 연관된 컴퓨터 프로그램 제품에 관한 것이다.
리소그래피 장치는 원하는 패턴을 기판에 적용하도록 구성된 기계이다. 리소그래피 장치는 예컨대 집적회로(IC)의 제조 시에 사용될 수 있다. 리소그래피 장치는 예를 들어 패터닝 디바이스(예를 들어 마스크)에서의 패턴("디자인 레이아웃" 또는 "디자인"이라고도 불림)을 기판(예를 들어, 웨이퍼) 위에 제공된 방사선-감응 재료(레지스트)의 층에 투영시킬 수 있다.
기판에 패턴을 투영하기 위하여, 리소그래피 장치는 전자기 방사선을 사용할 수 있다. 이러한 방사선의 파장이 기판 상에 형성될 수 있는 피쳐의 최소 크기를 결정한다. 현재 사용되는 통상적인 파장은 365 nm(i-라인), 248 nm, 193 nm 및 13.5 nm이다. 4 - 20 nm의 범위, 예를 들어 6.7 nm 또는 13.5 nm에 속하는 파장을 가지는 극자외(EUV) 방사선을 사용하는 리소그래피 장치는, 예를 들어 193 nm의 파장을 가지는 전자기 방사선을 사용하는 리소그래피 장치보다 더 작은 피쳐를 기판 위에 형성하기 위해 사용될 수 있다.
리소그래피 장치의 전통적인 분해능 한계보다 작은 치수의 피쳐를 처리하기 위하여 저-k1 리소그래피가 사용될 수 있다. 이러한 프로세스에서, 분해능 공식은 CD = k1×λ/NA로 표현될 수 있는데, λ는 채용된 방사선의 파장이고, NA는 리소그래피 장치 내의 투영 광학기의 개구수이며, CD는 "임계 치수"(일반적으로 인쇄된 최소 피쳐 크기이지만 이러한 경우에는 하프-피치임)이고, k1은 경험적 분해능 인자이다. 일반적으로, k1이 더 작을 수록 특정한 전기적 기능성과 성능을 얻기 위해서 회로 디자이너에 의하여 계획된 형상과 치수를 닮은 패턴을 기판 상에 재현하는 것은 더 어려워진다. 이러한 문제점을 해결하기 위하여, 복잡한 미세-튜닝 단계들이 리소그래피 투영 장치 및/또는 설계 레이아웃에 적용될 수 있다. 예를 들어, 이것은 NA, 맞춤화된 조명 방식, 위상 시프트 패터닝 디바이스의 사용, 설계 레이아웃에서의 광학 근접 정정(optical proximity correction; OPC, 가끔 "광학 및 프로세스 정정"이라고도 불림)의 설계 레이아웃의 다양한 최적화, 또는 일반적으로 "분해능 향상 기법(resolution enhancement techniques; RET)"이라고 규정되는 다른 방법을 포함하지만, 이들로 한정되는 것은 아니다. 또는, 리소그래피 장치의 안정성을 제어하기 위한 엄격 제어 루프가 낮은 k1에서 패턴의 재현을 개선하기 위하여 사용될 수 있다.
그 전체 내용이 본 명세서에서 원용에 의해 통합되는 국제 특허 출원 제 WO 2015049087은 산업 프로세스에 관련된 진단 정보를 획득하는 방법을 개시한다. 위치 편차 또는 각각의 웨이퍼에 걸쳐서 공간적으로 분포된 포인트에서 측정되는 다른 파라미터를 나타내는 대상물 데이터를 획득하기 위하여, 정렬 데이터 또는 다른 측정이 리소그래피 프로세스의 수행 도중에 스테이지에서 이루어진다. 오버레이 및 정렬 잔차는 통상적으로 지문이라고 알려져 있는 패턴을 웨이퍼에 걸쳐서 보여준다.
반도체 제조에서, 임계 치수(CD) 성능 파라미터 지문은 간단한 제어 루프를 사용하여 정정될 수 있다. 통상적으로는, 피드백 메커니즘이 스캐너(리소그래피 장치의 타입)를 액츄에이터로서 사용하여 웨이퍼별 평균 선량을 제어한다. 유사하게, 오버레이 성능 파라미터인 오버레이의 경우, 처리 툴에 의해 유발된 지문들은 스캐너 액츄에이터를 조절함으로써 정정될 수 있다.
성긴 현상후 검사(ADI) 측정치들이 스캐너를 제어하기 위하여 사용되는 광역 모델에 대한 입력으로서 사용된다(통상적으로 런-투-런(run-to-run) 방식임). 덜 빈번하게 측정된 조밀한 ADI 측정치들은 노광별 모델링을 위하여 사용된다. 노광별 모델링은 조밀한 데이터를 사용하여 더 높은 공간 밀도로 모델링함으로써, 큰 잔차를 가지는 필드에 대해서 수행된다. 이렇게 더 조밀한 계측 샘플링을 요구하는 정정은 쓰루풋에 불리한 영향을 주지 않고서는 자주 수행될 수 없다.
통상적으로, 성긴 ADI 데이터에 기반한 모델 파라미터들이 조밀하게 측정된 파라미터 값을 정확하게 표현하지 않는다는 것이 문제이다. 이것은 모델 파라미터 및 지문의 비-캡쳐된 부분 사이의 크로스토크로부터 초래될 수 있다. 더욱이, 이러한 모델은 이러한 성긴 데이터 세트에 대해서는 과도한 차원을 가질 수 있다. 그러면 런-투-런(run-to-run) 제어에서 비-캡쳐된 지문이 필드별 모델에 의해서 완전하게 캡쳐되지 않는다는 문제점이 생긴다. 다른 문제점은, 많은 웨이퍼의 레이아웃들을 중첩하면 조밀한 측정 결과를 실질적으로 얻을 수 있도록 상이한 웨이퍼(및 상이한 로트)들이 상이한 샘플링을 가지는 분포된 샘플링에 대한 불규칙한 성긴-조밀한 거동(spare-to-dense behavior)이다. 모델링된 성긴 데이터 및 조밀하게 측정된 파라미터 값 사이에는 큰 잔차가 존재한다. 그러면 열악한 지문 기술이 초래되어, 노광별로 최적이 아닌 정정이 이루어지게 된다.
정렬 제어의 경우, 쓰루풋에 영향을 주지 않으려면 노광 도중에 적은 개수(약 40 개)의 정렬 마크만이 측정될 수 있다는 것 또한 문제점이다. 고차수 정렬 제어는 더 조밀한 정렬 레이아웃을 요구하고, 쓰루풋에 영향을 준다. 도 5에 도시된 바와 같이, 이러한 문제에 대한 해결책은 오프라인 툴에서 더 조밀한 정렬 마크를 측정하고(본 명세서에 원용되어 통합되는 Takehisa Yahiro 등, "Feed-forward alignment correction for advanced overlay process control using a standalone alignment station "Litho Booster"", Proc. SPIE 10585, Metrology, Inspection, and Process Control for Microlithography XXXII), 이러한 고차수 정정을 노광 도중에 피드 포워드하는 것인데, 저차원 정정들은 노광 도중에 여전히 계산된다.
오버레이 제어를 위하여, 조밀한 오버레이 측정은 여러 로트마다 한 번씩만 실질적으로 수행되어(고차수 파라미터 업데이트라고 알려져 있음) 고차수 정정을 업데이트할 수 있다. 스캐너 제어 레시피를 결정하기 위하여 사용되는 고차수 파라미터는 고차수 파라미터 업데이트 측정들 사이에서 변하지 않는다.
정렬하기 위한 종래 기술은 기판 상의 전용 정렬 또는 오버레이 마크의 위치 측정 시스템, 예컨대 정렬 시스템의 레퍼런스에 대한 위치를 측정하는 것에 기반한다(리소그래피 장치 내에서 또는 독립적인 정렬 스테이션 내에서).
그러나, 계측 마크를 수용하기 위한 생산 레티클 상의 이용가능한 공간이 한정되기 때문에, 정렬 마크 및/또는 오버레이 마크(계측 마크)의 개수는 통상적으로 제한된다. 결과적으로, 기판의 고차수 왜곡이 정렬 마크 위치의, 예를 들어 필드내 거동을 지배하는 경우에는, 계측 마크 상의 위치 측정을 사용하는 (필드별) 제어 동작의 정확도가 제한된다.
또한, 계측 마크의 위치는 상기 필드별 제어 액션의 대상이 아니어야 하는 변동 소스에 노출될 수 있다.
본 발명의 목적은 종래 기술에 따른 방법과 연관된 문제점들을 극복하는 것이다.
본 발명의 제 1 양태에서, 기판 상의 제품 피쳐의 위치를 결정하는 방법으로서, 기판 상의 하나 이상의 제품 피쳐의 복수 개의 위치 측정을 획득하는 단계 - 상기 측정은 측정들 사이에서 상기 기판 또는 상기 기판의 표면에 평행한 평면을 변위시키는 데에 사용되는 위치설정 시스템에 대하여 레퍼런스됨(referenced) -; 및 상기 기판의 왜곡 성분을 상기 위치 측정에 기반하여 결정하는 단계를 포함하는, 방법이 제공된다.
본 발명의 실시형태는 첨부된 개략적인 도면을 참조하여 이제 예시하는 방식으로만 설명될 것이다:
- 도 1은 리소그래피 장치의 개략적인 개요를 도시한다;
- 도 2는 리소그래피 셀의 개략적인 개요를 도시한다;
- 도 3은 반도체 제조를 최적화하는 데에 중요한 세 가지 기술들 사이의 협력을 나타내는, 홀리스틱 리소그래피의 개략적인 표현을 도시한다;
- 도 4는 일 실시형태에 따른 정렬 방법을 설명하는 흐름도이다;
- 도 5는 일 실시형태에 따른 피드-포워드 오버레이 방법을 설명하는 흐름도이다; 그리고
- 도 6은 일 실시형태에 따른 피드백 오버레이 방법을 설명하는 흐름도이다.
본 명세서에서, "방사선" 및 "빔"이라는 용어는 자외 방사선(예를 들어 365, 248, 193, 157 또는 126 nm의 파장을 가지는 방사선) 및 EUV(예를 들어 약 5-100 nm 범위의 파장을 가지는 극자외 방사선)를 포함하는 모든 타입의 전자기 방사선을 망라하도록 사용된다.
"레티클", "마스크" 또는 "패터닝 디바이스"라는 용어는 본 명세서에서 채용될 때, 인입하는 방사선 빔에 기판의 타겟부 내에 생성될 패턴에 대응하여 패터닝된 단면을 부여하기 위하여 사용될 수 있는 일반적 패터닝 디바이스를 지칭하는 것으로 넓게 해석될 수 있다. "광 밸브"라는 용어도 이러한 콘텍스트에서 사용될 수 있다. 전통적인 마스크(투과성 또는 반사형; 이진, 페이즈-시프트, 하이브리드 등) 외에, 다른 이러한 패터닝 디바이스들의 예에는 프로그램가능 미러 어레이 및 프로그램가능(LCD) 어레이가 포함된다.
도 1은 리소그래피 장치(LA)를 개략적으로 묘사한다. 리소그래피 장치(LA)는 방사선 빔(B)(예를 들어, UV 방사선 또는 DUV 방사선 또는 EUV 방사선)을 조절하도록 구성되는 조명 시스템(조명기(IL)라고도 불림), 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고 특정 파라미터에 따라서 패터닝 디바이스(MA)를 정확하게 위치설정하도록 구성되는 제 1 위치설정기(PM)에 연결되는 마스크(예를 들어, 마스크 테이블)(MT), 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 홀딩하도록 구성되고 특정 파라미터에 따라서 기판 지지대를 정확하게 위치설정하도록 구성되는 제 2 위치설정기(PW)에 연결되는 기판 지지대(예를 들어, 웨이퍼 테이블)(WT), 및 패터닝 디바이스(MA)에 의하여 방사선 빔(B)에 부여된 패턴을 기판(W)의 타겟부(C)(예를 들어, 하나 이상의 다이를 포함) 상에 투영하도록 구성되는 투영 시스템(예를 들어, 굴절성 투영 렌즈 시스템)(PS)을 포함한다.
동작 시에, 조명 시스템(IL)은 방사선 빔을 빔 전달 시스템(BD)을 통해 방사선 소스(SO)로부터 수광한다. 조명 시스템(IL)은 방사선을 지향시키고, 성형(shaping)하며, 또는 제어하기 위한 다양한 유형의 광 컴포넌트, 예컨대 굴절식, 반사, 자기적, 전자기, 정전기 및/또는 다른 유형의 광 컴포넌트, 및/또는 이들의 임의의 조합을 포함할 수도 있다. 조명기(IL)는 방사선 빔(B)이 패터닝 디바이스(MA)의 평면 상에 그 단면에서 원하는 공간 및 각도 세기 분포를 가지도록 조정하기 위하여 사용될 수도 있다.
본 명세서에서 사용되는 "투영 시스템(PS)"이라는 용어는, 이용되고 있는 노광 방사선(exposure radiation)에 대해 적합하거나 또는 침지액(immersion liquid)의 사용 또는 진공의 사용과 같은 다른 요인들에 대해 적합한, 굴절식, 반사식, 반사 굴절식(catadioptric), 애너모픽(anamorphic), 자기식, 전자기식, 및/또는 정전식 광학 시스템, 및/또는 이들의 임의의 조합을 포함하는 다양한 타입의 투영 시스템도 포함하는 것으로 넓게 해석되어야 한다. 본 명세서에서 "투영 렌즈"라는 용어의 모든 사용은 더 일반적인 용어인 "투영 시스템(PS)"과 같은 의미인 것으로 간주될 수도 있다.
리소그래피 장치(LA)는, 통상적으로는 "필드" 또는 "노광 필드"라고 불리는 기판 상의 직사각형 구역인 기판(W)의 부분이 기판(W)이 방사선 빔(B)에 대하여 수평 방향으로 이동되는 동안에 투영 시스템(PS)을 통과한 방사선 빔(B)에 의해 노광되는 타입일 수 있다. 기판(W)을 이동시키면서 기판을 노광하는 동안에, 패터닝 디바이스(MA)도 조명 광에 상대적으로 기판 이동 방향에 반대 방향으로 이동된다. 노광되고 있는 기판(W) 상의 필드는, 기판(W)을 방사선 빔(B)에 대하여 이동시켜서 방사선 빔(B)에 의해 필드를 스캐닝함으로써, 기판 이동 방향에 따라서 필드의 일측으로부터 필드의 타측으로 노광된다. 패터닝 디바이스(MA) 내의 패턴도, 노광 도중에 패터닝 디바이스(MA)의 이동에 의하여 조명 광에 의해서 패터닝 디바이스 이동 방향을 따라 패턴의 일측으로부터 타측으로 스캐닝된다. 방사선 빔(B)에 부여된 패턴의 부분은 패턴을 조명 광으로 스캐닝하는 동안에 변한다. 필드는, 방사선 빔(B) 내에 부여된 패턴의 부분이 패턴의 일측 상의 패턴의 부분으로부터 타측 상의 패턴의 부분으로 변하는 동안에 필드의 일측으로부터 타측으로 노광된다. 패터닝 디바이스(MA) 내의 전체 패턴은 스캐닝 필드 및 패턴을 일측으로부터 타측으로 스캐닝함으로써 필드로 전사된다. 기판(W)의 필드 상에 조사된 방사선 빔(B)은 "슬릿 영역" 또는 "노광 슬릿 영역"이라고 불린다. 그러므로, 이러한 타입의 리소그래피 장치(LA)에서는, 슬릿 영역이 기판(W)의 각각의 필드에 걸쳐서 스캔하여 패턴을 전사한다.
필드의 스캐닝 도중에, 기판(W) 및 패터닝 디바이스(MA)는 제 2 위치설정기(PW) 및 제 1 위치설정기(PM)에 의하여 각각 이동된다. 위치설정기들에 의한 기판(W) 및 패터닝 디바이스(MA)의 이러한 이동은 동기화되어 제어됨으로써, 필드 상의 슬릿 영역이 필드 내의 어떤 위치에 있을 때에, 필드 내의 해당 위치로 전사될 패턴의 대응하는 부분이 방사선 빔(B) 내에 부여되게 한다. 예를 들어, 패터닝 디바이스(MA) 내의 패턴은 기판(W) 상의 필드와 같은 크기이고, 패터닝 디바이스(MA) 및 기판(W)은 서로 반대 방향으로 같은 속도로 이동하도록 제어된다. 패터닝 디바이스(MA) 내의 패턴이 기판(W) 내의 필드 크기보다 X배 더 크면, 패터닝 디바이스(MA)의 이동은 기판(W)의 이동보다 X배 더 빠르게 제어된다. 기판(W) 및 패터닝 디바이스(MA)의 모션 제어의 동기가 맞지 않으면, 필드로 전사될 패턴이 동기화된 모션 제어로부터의 편차에 의해서 왜곡된다. 필드로 전사될 패턴은 다른 이유, 예를 들어 이동하는 동안의 기판(W) 및 패터닝 디바이스(MA) 사이의 상대적인 회전에 의해서도 왜곡될 수 있다. 예를 들어, 패터닝 디바이스가 스캐닝 방향을 다소 벗어난 조명 광에 의해서 스캐닝되면, 방사선 빔(B) 내에 부여될 패턴의 부분이 회전된다. 그러면, 필드 내의 대응하는 위치로 전사될 패턴의 부분도 회전된다. 기판(W)이 스캐닝 방향을 다소 벗어난 방사선 빔(B)에 의해서 스캐닝되는 경우에는 반대 내용이 성립한다. 그러므로, 필드의 스캐닝 도중의 패터닝 디바이스(MA) 및 기판(W) 사이의 상대적인 회전도 필드로 전사될 패턴의 왜곡에 영향을 준다. 스캐닝 도중의 기판(W) 및 패터닝 디바이스(MA) 사이의 상대 속도, 및 기판(W) 및 패터닝 디바이스(MA) 사이의 상대 회전과 같은 인자들이 패턴 전사의 정확도에 영향을 준다. 패턴 전사 정확도에 영향을 주는 이러한 스캐닝 인자는 스캔 프로파일이라고 불린다.
리소그래피 장치(LA)는, 투영 시스템(PS)과 기판(W) 사이의 공간을 채우기 위해 기판의 적어도 일부분이 상대적으로 높은 굴절률을 가진 액체, 예컨대 물에 의해 커버될 수 있는 유형일 수 있으며 이것은 침지 리소그래피라고도 불린다. 침지 기법에 대한 더 많은 정보가 본 명세서에서 원용에 의해 통합되는 US6952253에 제공된다.
리소그래피 장치(LA)는 두 개 이상의 기판 지지대(WT)를 가지는 타입일 수도 있다("듀얼 스테이지"라고도 불림). 이러한 "다중 스테이지" 머신에서, 기판 지지대(WT)는 병렬적으로 사용될 수 있고, 및/또는 기판(W)의 후속 노광을 준비하는 단계들이 기판 지지대(WT) 중 하나 상에 위치될 수 있는 반면에, 다른 기판 지지대(WT) 상의 다른 기판(W)은 다른 기판(W) 상에 패턴을 노광시키기 위해서 사용되고 있다.
기판 지지대(WT)에 추가하여, 리소그래피 장치(LA)는 측정 스테이지를 포함할 수 있다. 측정 스테이지는 센서 및/또는 세정 디바이스를 홀딩하도록 구성된다. 센서는 투영 시스템(PS)의 속성 또는 방사선 빔(B)의 속성을 측정하도록 구성될 수 있다. 측정 스테이지는 다수의 센서를 홀딩할 수 있다. 세정 디바이스는 리소그래피 장치의 부분, 예를 들어 투영 시스템(PS)의 부분 또는 침지액을 제공하는 시스템의 부분을 세정하도록 구성될 수 있다. 측정 스테이지는, 기판 지지대(WT)가 투영 시스템(PS)으로부터 멀어질 때 투영 시스템(PS) 아래에서 이동할 수 있다.
동작 시에, 방사선 빔(B)은 패터닝 디바이스, 예를 들어 지지 구조체(MT) 상에 홀딩되는 마스크(MA) 상에 입사하고, 그리고 패터닝 디바이스(MA) 상에 있는 패턴(디자인 레이아웃)에 의하여 패터닝된다. 패터닝 디바이스(MA)를 가로지르면, 방사선 빔(B)은 기판(W)의 타겟부(C) 상에 빔을 포커싱하는 투영 시스템(PS)을 통과한다. 제 2 위치설정기(PW) 및 위치 측정 시스템(IF)의 도움을 받아, 예를 들어 방사선 빔(B)의 경로에 있는 상이한 타겟부들(C)을 포커싱되고 정렬된 위치에 위치설정하기 위하여, 기판 지지대(WT)가 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정 디바이스(PM) 및 가능하게는 다른 위치 센서(도 1에는 명확하게 묘사되지 않음)가, 방사선 빔(B)의 경로에 대하여 패터닝 디바이스(MA)를 정확하게 위치설정하기 위하여 사용될 수 있다. 패터닝 디바이스(MA) 및 기판(W)은 마스크 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 이용하여 정렬될 수 있다. 비록 도시된 바와 같이 기판 정렬 마크들(P1, P2)이 전용 타겟부들 점유하지만, 이들은 타겟부들 사이의 공간에 위치될 수도 있다. 기판 정렬 마크(P1, P2)는 타겟부들(C) 사이에 위치되면 스크라이브 레인 정렬 마크라고 알려져 있다.
도 2에 도시된 것처럼, 리소그래피 장치(LA)는 리소셀 또는 (리소)클러스터라고도 지칭되는 리소그래피 셀(LC)의 일부를 형성할 수 있고, 이는 또한 기판(W) 상에서 노광 전 그리고 노광 후 프로세스를 수행하기 위한 장치를 포함한다. 통상적으로, 이러한 장치는, 예를 들어 레지스트층 내의 솔벤트를 조절하기 위해서 예를 들어 기판(W)의 온도를 조절하기 위하여, 레지스트층을 증착하기 위한 스핀 코터(spin coater; SC), 노광된 레지스트를 현상하기 위한 현상기(DE), 냉각 플레이트(chill plate; CH), 및 베이크 플레이트(bake plate; BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트(I/O1, I/O2)로부터 기판(W)을 픽업하여, 이들을 상이한 공정 장치 간에 이동시키며, 기판(W)을 리소그래피 장치(LA)의 로딩 베이(loading bay; LB)에 전달한다. 통칭하여 트랙으로도 지칭되는, 리소셀 내의 디바이스는 통상적으로 감독 제어 시스템(supervisory control system; SCS)에 의해 제어될 수 있는 트랙 제어 유닛(TCU)의 제어 하에 있게 되며, 감독 제어 시스템은 또한 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치(LA)를 제어할 수 있다.
리소그래피 장치(LA)에 의해 노광되는 기판이 정확하고 일정하게 노광되도록 하기 위해서는, 기판을 검사하여 후속 층들 사이의 오버레이 오차, 라인 두께, 임계 치수(CD) 등과 같은, 패터닝된 구조체의 속성을 측정하는 것이 바람직할 수 있다. 이러한 목적을 위해, 검사 툴(미도시)이 리소셀(LC) 내에 포함될 수 있다. 오차가 검출되면, 특히 검사가 동일한 배치 또는 로트의 다른 기판(W)이 여전히 노광되거나 처리되어야 하기 전에 이루어진다면, 예를 들어 후속 기판의 노광에 또는 기판(W) 상에서 수행될 다른 프로세스 단계에 조절이 이루어질 수 있다.
계측 장치라고도 불릴 수 있는 검사 장치가, 기판(W)의 속성, 및 구체적으로 상이한 기판(W)의 속성이 또는 동일 기판(W)의 상이한 층과 연관된 속성이 층에 따라 어떻게 변화하는지를 결정하기 위해 사용된다. 또는, 검사 장치는 기판(W) 상의 결점을 식별하도록 구성될 수 있고, 예를 들어 리소셀(LC)의 일부일 수 있으며, 또는 리소그래피 장치(LA)에 통합될 수 있고, 또는 심지어 독립형 디바이스일 수도 있다. 검사 장치는 잠상(노광 후의 레지스트 층 내의 이미지), 또는 반-잠상(노광후 베이크 단계(PEB) 후의 레지스트 층 내의 이미지), 또는 현상된 레지스트 이미지(레지스트의 노광되거나 비노광된 부분이 제거되었음), 또는 심지어 에칭된 이미지(에칭과 같은 패턴 전사 단계 이후)의 속성을 측정할 수 있다.
통상적으로, 리소그래피 장치(LA) 내에서의 패터닝 프로세스는, 기판(W) 상의 구조체의 높은 치수 및 배치 정확도를 요구하는, 처리 중 가장 중요한 단계들 중 하나이다. 이러한 높은 정확도를 보장하기 위하여, 개략적으로 도 3에서 도시되는 것과 같은 소위 "홀리스틱" 제어 환경에서 세 가지 시스템이 통합될 수 있다. 이러한 시스템 중 하나는 계측 툴(MT)(제 2 시스템) 및 컴퓨터 시스템(CL)(제 3 시스템)에 (가상적으로) 연결되는 리소그래피 장치(LA)이다. 이러한 "홀리스틱" 환경의 중요한 점은, 전체 프로세스 윈도우를 개선하고 리소그래피 장치(LA)에 의해 수행되는 패터닝이 프로세스 윈도우 내에 유지되도록 보장하기 위한 엄격 제어 루프를 제공하기 위하여, 이러한 세 개의 시스템들 사이의 협력을 최적화하는 것이다. 프로세스 윈도우는 그 안에서 특정한 제조 프로세스가 규정된 결과(예를 들어 기능성 반도체 디바이스)를 제공하는 프로세스 파라미터(예를 들어 선량, 초점, 오버레이)의 범위를 규정한다 - 통상적으로 리소그래피 프로세스 또는 패터닝 프로세스의 프로세스 파라미터는 그 안에서 변할 수 있다.
컴퓨터 시스템(CL)은, 사용할 분해능 향상 기법을 예측하기 위하여 패터닝될 디자인 레이아웃(또는 그 일부)을 사용하고, 어떤 마스크 레이아웃 및 리소그래피 장치 설정이 패터닝 프로세스의 최대 전체 프로세스 윈도우를 획득하는지를 결정하기 위하여(도 3에서 제 1 스케일(SC1)에서의 이중 화살표로 도시됨) 계산적 리소그래피 시뮬레이션 및 연산을 수행할 수 있다. 통상적으로, 분해능 향상 기법은 리소그래피 장치(LA)의 패터닝 가능성과 매칭되도록 구현된다. 컴퓨터 시스템(CL)은, 예를 들어 최적에 미달하는 처리에 기인하여 결함이 존재할 수 있는지 여부를 예측하기 위해서, 프로세스 윈도우 내의 어디에서 리소그래피 장치(LA)가 현재 동작하고 있는지를 검출(예를 들어 계측 툴(MT)로부터의 입력을 사용함)하기 위해서도 사용될 수 있다(도 3에서 제 2 스케일(SC2)에서 "0" 을 가리키는 화살표에 의해 표현됨).
계측 툴(MT)은 정확한 시뮬레이션 및 예측을 가능하게 하는 입력을 컴퓨터 시스템(CL)에 제공할 수 있고, 예를 들어 리소그래피 장치(LA)의 교정 상태에 있을 수 있는 드리프트(도 3에서 제 3 스케일(SC3)에서 여러 화살표로 표시됨)를 식별하기 위한 피드백을 리소그래피 장치(LA)에 제공할 수 있다.
기판 정렬 방법론은 통상적으로 기판 상의 전용 계측 마크를 측정함으로써 수행된다. 정렬 센서에 의해서 검출될 수 있도록, 이러한 마크는 μm 크기의 피치를 가지는 마크 피쳐를 포함한다. 마크들이 디바이스 구조체(제품 피쳐)와 광학적으로 유사한 방식으로 거동하도록, 마크 피쳐는 더 세그멘트화될 수 있다.
그러나, 더 세그멘트화된 마크는 실제 제품 피쳐와 비교할 때 렌즈 수차 및 프로세스 아티팩트에 대해서 다르게 응답한다. 그러므로, 실제 제품 피쳐의 위치가 반도체 제조 프로세스의 프로세스 정정을 위한 중요한 파라미터인 반면에, 측정된 정렬 마크 위치는 제품 피쳐의 실제 위치를 나타내지 않을 것이다.
일 실시형태에서, 정렬 측정은 분해능상 계측을 사용하여, 예를 들어 주사 전자 현미경(SEM) 또는 e-빔 계측을 사용하여 디바이스 구조체 상에서, 예를 들어 하나 이상의 제품 피쳐 상에서 직접적으로 수행된다. E-빔 계측 툴은 개별적인 제품 피쳐를 포함하는 이미지를 캡쳐하고, 제품 피쳐의 개별적인 위치를 머신 비젼 기술, 예를 들어 패턴 인식에 의하여 결정할 수 있다. 다른 예에서, 분해능상 계측 시스템은, 고 NA 검출 시스템 및/또는 작은 파장에서의 제품 피쳐의 조명에 기반한 검출 시스템(XUV, EUV, DUV), 또는 원자력 현미경(AFM) 또는 스캐닝 터널링 현미경(STM)을 사용함으로써 제품 피쳐를 광학 위치 측정하는 것에 기반하고 있다.
분해능상 계측 (정렬) 시스템은 기판 자체 또는 기판 홀더(예를 들어, 웨이퍼 테이블(WT))의 레퍼런스 포인트 또는 레퍼런스 그리드에 대한 제품 피쳐의 위치를 획득한다. 통상적으로, 이것은 기판 위치설정 시스템의 좌표계에 대하여 분해능상 계측 시스템의 가시 범위(Field-of-View; FOV)를 레퍼런스함으로써 달성된다. 기판 위치설정 시스템은 분해능상 계측 시스템을 포함하는 독립형 정렬 시스템 내에서, 또는 분해능상 계측 시스템을 포함하는 리소그래피 장치 내에서 동작할 수 있다. FOV를 설명된 바와 같이 레퍼런스함으로써, 제품 피쳐의 획득된 위치가 기판의 변형(왜곡) 맵을 재구성하는 데에 사용될 수 있다. 결정된 왜곡 맵은 후속하여 처리 단계, 예컨대 리소그래피 노광 단계를 제어하는 데에 사용될 수 있다. 위치 측정이 잠재적으로 (노광) 필드 또는 서브-필드(예를 들어 필드 내의 다이 영역) 내에 포함된 많은 수의 제품 피쳐 상에서 수행될 수 있기 때문에, 왜곡 맵은 정확하게 그리고 매우 작은 공간 스케일로 결정될 수 있다; 예를 들어 고차수 왜곡 성분을 페치하면 고차수 필드내 또는 서브-필드내 제어가 가능해진다. 그러므로, 조밀한 노광후 데이터, 예컨대 산란계에 의해 측정된 오버레이에 의존하는 대신에, 정렬 단계 중에 직접적으로 획득된 데이터(예를 들어 제어의 대상이 되는 동일한 기판에 대응하는 데이터)에 기반한 고차수 제어를 위한 제어 컴포넌트(리소그래피 장치의 렌즈 또는 스테이지 액츄에이터)가 미리 구성될 수도 있다.
지금까지는, 분해능상 계측 시스템의 FOV가 기판 위치설정 시스템에 대하여 레퍼런스된다고 가정되었다. 통상적으로 제품 피쳐를 검사하려면 기판의 위치가 분해능상 계측 시스템의 (고정된) FOV 내로 이동될 기판(검사될 제품 피쳐를 포함함)의 소망되는 부분에 따를 것이 요구되기 때문에, 이것은 바람직하다. 그러므로, 기판의 병진이 측정 시퀀스 중에 기판에 적용될 필요가 있다. 이것은, 병진(기판 위치설정) 시스템의 좌표계에 대한 FOV의 정확한 레퍼런스(referencing)를 통상적으로 요구한다.
또는, 분해능상 계측 시스템의 FOV는 기판 상의 소망되는 샘플링 위치와 나란하게 이동된다; 예를 들어 분해능상 계측 시스템이 e-빔에 기반하는 경우, 검사 빔은 웨이퍼 상의 소망되는 샘플링 위치에 대응하여 지향될 수 있다. 이러한 경우에, (가변) FOV 위치는 기판(평면)의 좌표계에 대하여 레퍼런스될 필요가 있다.
또한, 제품 피쳐에 기반한 위치 측정은 실제 디바이스 구조체 배치 (오차)를 통상적으로 나타내고, 그러므로 측정된 제품 피쳐 위치의 공칭값으로부터의 편차를 적어도 부분적으로 보상하도록 구성된 기판 위치 및/또는 투영 렌즈 정정을 유도하는 데에서 사용되기에 더 적합하다.
계측 정렬 시스템의 FOV를 기판 위치설정 시스템 또는 기판(평면) 좌표계에 대해 레퍼런스하기 위하여, 종래의 타겟과 유사한 구조체, 예를 들어 타겟 마크를 사용하는 별개의 개략적인 기판 정렬 단계가 분해능상 정렬 시스템을 사용하는 제품 피쳐 상에서의 측정에 추가하여 수행될 수 있다. 별개의 개략적 기판 정렬 단계는 종래의 정렬 마크의 측정에 기반할 수 있다.
일 실시형태에서, 디바이스는 오버레이가 중요한 복수 개의 상이한 종류의 제품 피쳐를 포함할 수 있다. 정렬 측정은 각각의 피쳐 타입에 대하여 독립적으로 수행될 수 있다. 그러면 전체 디바이스 성능을 이러한 제품 피쳐의 측정에 기반하여 최적화할 수 있다.
본 발명의 정렬 계측은 인라인으로(예를 들어 리소그래피 장치 내에 배치된 정렬 툴) 또는 오프라인으로(예를 들어 리소그래피 장치 밖에 배치된 정렬 툴) 수행될 수 있다. 인라인 측정의 이점은, 정렬 측정이 리소그래피 장치의 기판 테이블 상에 클램핑된 상태로 수행되어, 정렬 측정 중에 사용되고 있는 것과 동일한 기판 홀더에 의해 홀딩되는 동안에 기판이 리소그래피 장치에 의하여 노광되게 된다는 점이다. 그러므로, 인라인 정렬 계측 중에 측정되는 기판 변형(왜곡)은 리소그래피 노광 중의 기판의 실제 변형에 (거의) 동일할 것이 기대된다. 인라인 분해능상 정렬의 단점은 쓰루풋에 영향이 있을 수 있고 및/또는 레지스트 열화가 생길 수 있다는 것이다.
오프라인 분해능상 정렬 측정의 이점은 리소그래피 노광 프로세스의 쓰루풋을 감소시키지 않고서 더 많은 정렬 마크 및 제품 피쳐 위치가 측정될 수 있어서, 더 높은 차수의 정렬 모델 및 정정이 가능해진다는 것이다. 오프라인 측정의 다른 이점은, 노광 레지스트가 열화되지 않는다는 것이다. 오프라인 측정의 단점은, 오프라인 정렬 측정이, 기판을 후속하여 노광하는 리소그래피 장치의 기판 테이블과 다른 기판 홀더에 의해서 홀딩되는 기판 상에서 수행된다는 것이다. 그러므로, 리소그래피 장치 및 정렬 계측 툴 사이의 클램핑 차이에 기인한 기판 변형 영향을 정정하기 위해서는 추가적인 주의가 필요하다.
오프라인 분해능상 계측 정렬 측정의 경우에 클램핑에 의해 유발된 기판 변형들 사이의 차이를 고려하기 위하여, 정박 측정(anchoring measurement)이 수행될 수 있는 것이 제안된다. 정박 측정은 다음을 포함할 수 있다:
1) 리소그래피 장치 외부에 배치된 분해능상 계측 툴을 사용한, 기판 상의 제품 피쳐 및/또는 정렬 마크에 대한 성긴 오프라인 측정. 성긴 오프라인 측정은 조밀한 오프라인 측정의 서브세트일 수도 있다.
2) 기판 상의 동일한 제품 피쳐 및/또는 정렬 마크에 대한 성긴 인라인 측정이 리소그래피 장치 내의 정렬 시스템을 사용하여 수행된다.
오프라인 측정 및 인라인 측정에 대응하는 클램핑 지문들이 비교되고, 상이한 기판 홀더의 사용에 기인한 기판 변형 내의 차이를 나타내는 델타 지문을 결정하기 위하여 사용된다. 그러면, 델타 지문이 오프라인으로 결정된 기판 왜곡을 정정하기 위하여 사용되어, 정정된 기판 변형이 리소그래피 노광 중에 발생되는 기판 변형을 더 잘 표현하게 할 수 있다. 추가적으로, 제품 피쳐 상에 조밀한 오프라인 측정이 수행된다. 그러면, 조밀한 오프라인 측정이 성긴 오프라인 측정을 사용하여 정정될 수 있다.
리소그래피 노광을 개시하기 이전에, 리소그래피 장치의 기판 위치설정 시스템 및 투영 렌즈가 기판을 노광하면서 기판 왜곡을 최적으로 보상하게끔, 정정된 기판 변형 정보 및 인라인 정렬 측정이 리소그래피 노광 단계를 구성하는 데에 사용될 수 있다.
앞선 설명은 SEM/e-빔 계측 툴과 같은 툴을 정렬 계측을 수행하기 위해 사용하는 것에 관련된다(CD 계측에 추가적으로). 이것은 계속 확장되고 오버레이와 같은 다른 패턴 배치 계측까지 연장될 것이다. 이러한 패턴 배치 계측은 nm 미만 레벨에서 웨이퍼에 걸쳐서 측정될 수 있다.
사용되는 e-빔 툴은 하나 이상의 스테이지 및 상기/각각의 스테이지의 위치를 1nm 훨씬 아래의 정확도(예를 들어, 0.5nm 미만 또는 0.2nm 미만)로 결정할 수 있도록 동작가능한 스테이지 측정 시스템을 포함할 수 있다. e-빔 툴도 충분히 높아서 스택 및/또는 레지스트를 통해서 측정할 수 있는 랜딩 에너지(landing energy)를 가질 수 있다. 이러한 랜딩 에너지는 10kEV보다 크거나 20kEV보다 클 수 있다; 예를 들어, 10kEV 내지 50kEV의 범위에 속한다.
정렬과 관련하여, 계측 이미지(예를 들어, e-빔 또는 SEM 이미지)는 각각의 레티클 피쳐의 레이아웃 정보 또는 기대된 위치를 기술하는 레티클 레퍼런스 파일 또는 .gds 파일에 정렬될 수 있다. 계측 툴의 가시 범위에 의존하여, 1000 개 내지 10000 개의 피쳐를 레티클 레퍼런스 파일에 정렬시키는 것이 가능할 수 있다. 이것은 1nm 레벨 훨씬 아래에서(예를 들어, 0.1nm) 정렬 결과(재현가능성 또는 측정 불확실성)를 제공할 것으로 기대될 수 있다.
웨이퍼 상의 계측 이미지의 위치도 nm 미만의 레벨에서 측정될 수 있다: 이와 같이, 스테이지 위치는 스캐너 스테이지와 거의 같은 레벨의 정확도로 결정가능할 수 있다. 예를 들어, 계측 툴에는, 스테이지 위치 측정의 충분한 재현가능성 및 상대적인 정확도를 제공하기 위한 스테이지 위치 측정을 위한 간섭측정 시스템이 제공될 수 있다.
스테이지 위치가 nm 미만 레벨에서 알려지면, 웨이퍼 상의 정렬 마크 및 (직접적으로) 임의의 디바이스 양자 모두의 위치를 측정하는 것이 가능하다. 그러면, 정렬 마크에 대한 디바이스의 상대적인 위치 또는 오프셋의 측정이 가능해진다. 충분히 높은 랜딩 에너지는 정렬 마크 및 임의의 관심 디바이스의 바닥 격자 양자 모두에서의 측정을 가능하게 한다.
이러한 e-빔 계측 툴은 노광 이전에 웨이퍼를 측정하기 위한 독립형 정렬 계측 스테이션으로서 제공될 수 있다. e-빔 계측이 광계측보다 느리기 때문에, e-빔 툴이 노광되는 중인 모든 웨이퍼의 서브세트 또는 퍼센티지만을 측정하고 광학 툴이 모든 웨이퍼를 측정하기 위해서 사용되도록, 스캐너는 여전히 광학 정렬 툴을 포함할 수 있다.
이러한 방식으로, 실제 디바이스의 정렬된 위치(예를 들어, 다이내 위치)를 소망되는 바와 같이 조밀하게 측정하는 것이 가능하다. 이와 같이, 스크라이브 레인-다이 왜곡(예를 들어, 로컬 에칭 효과, 토폴로지의 영향, 다이내 스트레스)을 캡쳐하는 것이 가능하다.
광학 스캐너 정렬 센서를 사용하여 광역 웨이퍼 정렬을 수행하고(예를 들어 6 개 또는 10 개의 파라미터 모델 또는 더 높은 차수의 모델), 이러한 스캐너 정렬 데이터의 결과를 e-빔 툴을 사용하여 동일한 마크 상에 앞서 측정된 정렬된 위치에 대해서 비교하는 것이 가능하다. 이러한 방식으로, 독립형 e-빔 툴 및 스캐너의 처킹(chucking)(웨이퍼 부하 그리드) 차이를 정정하는 것이 가능하다.
4는 일 실시형태에 따른 정렬 계측 방법을 설명하는 흐름도이다.
단계 400에서, 제 1 툴 또는 e-빔 툴(예를 들어, 독립형 e-빔 정렬 스테이션)이 웨이퍼 상의 정렬 마크의 위치를 포함하는 제 1 툴 타겟 정렬 데이터를 측정하기 위하여 사용될 수 있다. e-빔 툴이 충분한 랜딩 에너지를 가진다고 가정하면, 정렬 마크는 매립형 정렬 마크일 수 있다; 예를 들어, 정렬되는 중인 층은 스택 내의 깊이와 무관하게 측정된다. 추가적으로, 웨이퍼는 레지스트 내에서 코팅될 수 있다.
단계 410에서, e-빔 툴은 단계 400에서 측정된 정렬 마크와 같은 층 내의 디바이스 구조체의 위치를 포함하는 제 1 툴 디바이스 정렬 데이터를 측정하기 위하여 사용될 수 있다. 광학 정렬 계측에 반하여, 디바이스 구조체는 e-빔 툴에 의해서 측정되기 위해서 주기성을 가질 필요가 없다.
단계 420에서, 제 1 툴 타겟 정렬 데이터는 레퍼런스 그리드에 대하여 레퍼런스되고, 단계 430에서, 제 1 툴 디바이스 정렬 데이터가 레퍼런스 그리드에 대하여 레퍼런스된다.
단계 440에서, 레퍼런스된 제 1 툴 타겟 정렬 데이터 및 제 1 툴 디바이스 정렬 데이터 양자 모두는 측정되는 일부 또는 모든 디바이스에 대한 정렬-디바이스 오프셋(alignment-to-device offset)을 구성하기 위하여 사용될 수 있다. 이러한 오프셋은, 제 1 툴 타겟 정렬 데이터 내의 측정된 위치에 비교된 제 1 툴 디바이스 정렬 데이터 내의 디바이스별 측정 위치에서의 차이를 포함할 수 있다. 실제 다이내 왜곡을 평균하여 구하기 위해서, 디바이스 측정들은 다이마다의 각각의 디바이스의 다수의 출현에 걸쳐서 평균화될 수 있다.
단계 450에서, 단계 440에서 결정된 오프셋은, 예를 들어 다이, 필드 및 웨이퍼 중 하나 이상에 걸쳐 각각의 정렬 마크에 대한 정렬 오프셋의 조밀한 그리드 내에 통합될 수 있다.
단계 460에서, 스캐너 정렬 툴 또는 광학 정렬 툴(제 2 계측 툴)은 웨이퍼 상의 정렬 마크를 측정하여 제 2 툴 타겟 정렬 데이터를 획득하기 위하여 사용된다. 제 1 툴 타겟 정렬 데이터 및 제 2 툴 타겟 정렬 데이터는 이러한 두 개의 데이터세트 사이의 차이 또는 델타 그리드를 결정하기 위하여 비교될 수 있다. 이러한 델타 그리드는 대략적으로 스캐너 내에서의 정렬을 위해서 사용되는 것과 다른 스테이지가 독립형 정렬 스테이션 상의 측정을 위해서 사용되기 때문에 발생되는 차이에 기인한다(즉, 처킹 차이 또는 델타 웨이퍼 부하 그리드).
단계 470에서, 조밀한 정렬 정정 그리드는 단계 450에서 획득된 정렬 오프셋(예를 들어, 디바이스별 오프셋)의 조밀한 그리드로부터 결정되고, 단계 460에서 결정된 처킹 차이에 대해서 정정된다.
조밀한 그리드 정정은 피드포워드 정정으로서 스캐너에 적용될 수 있다(예를 들어, 정렬 그리드 상에). 이와 같이, 조밀한 정렬 그리드는, 정렬 데이터가 광계측 툴만을 사용하여(예를 들어, 스캐너 내에서) 획득되는 모든 웨이퍼에 대한 정렬을 정정하기 위하여 사용될 수 있다. 이것은 다수의 웨이퍼일 수 있고, 소수의 웨이퍼만이 e-빔 계측 툴을 사용하여 실제로 측정된다. e-빔 계측 툴은 주기적으로, 예를 들어 로트의 첫 번째 웨이퍼에 대해서만 사용될 수도 있다. 이러한 방식으로, 스캐너 내에서 정렬 마크에 대해서 수행되는 광학 정렬 측정이, e-빔 툴에 의하여 특정 피쳐에 대해서 직접적으로 수행되는 것에 맞춰서(적어도 부분적으로 맞춰짐) 정정될 수 있다.
동일한 기본적인 원리가 오버레이 계측의 정정에 적용될 수 있는데, 이것은 정렬 계측과 동일한, 특히 오버레이 계측이 통상적으로 충분히 크고 광학적으로 측정되기 위하여 충분히 큰 피치의 주기적 패턴을 가질 필요가 있는 타겟에 대해서 측정된다는 문제를 겪고 있다. 이것은 타겟들이 반드시 디바이스 구조체를 진실되게 나타내는 것은 아니라는 것을 의미하며, 결과적으로 계측-디바이스 오프셋이라고 불리는 것이 생긴다(타겟 상의 측정된 오버레이와 제품 구조체의 실제 오버레이 사이의 차이). 타겟-기반 광학 오버레이 계측은 마이크로-회절 기반 오버레이 계측(micro-diffraction based overlay; μDBO)을 포함할 수 있다. μDBO에서, 오버레이는 대응하거나 상보적인 더 높은 회절 차수(예를 들어 +1 및 -1 회절 차수)의 세기 차이로부터 결정된다. 이러한 회절 차수는 이미지 평면 내에 이미징될 수 있고(예를 들어, 0차가 이미지 평면 앞에서 차단되는 암시야 이미지), 세기는 회절 차수의 각각의 이미지 내의 관심 구역(ROI)에 걸쳐서 평균화된다. 광학 오버레이 계측을 제품 구조체 또는 제품 구조체를 모사하는 타겟 상에서 직접적으로 수행하는 것도 알려져 있는데, 이것은 흔히 디바이스내 계측(in-device metrology; IDM)이라고 불린다. IDM은 퓨필 평면 내에서 디바이스 구조체로부터 산란된 각도 분해된 스펙트럼을 검출하는 것에 기반할 수 있다. 그러나, IDM은 주기적 구조체를 요구하고, 따라서 모든 디바이스 구조체가 이러한 방식으로 측정될 수 있는 것은 아니다. 본 명세서에 개시된 개념이 적용가능한 다른 광학 오버레이 계측 기법은 이미지 기반 오버레이(image based overlay; IBO)이다. IBO 계측은, 샘플 상의 두 개 이상의 층들 사이의 상대적인 오프셋을 상이한 관심 층 내의 오버레이 타겟의 피쳐들의 상대적인 이미징된 위치에 기반하여 결정하는 것을 포함할 수 있다. 이러한 방법은 박스-인-박스(box-in-box) 타겟과 같은 전용 타겟을 사용할 수 있고, 오버레이는 하나의 층 내에 이미징된 제 1 박스의 다른 층 내에 이미징된 제 2 박스에 대한 위치로부터 결정되며, 하나의 박스는 다른 박스보다 더 작고 그 안에 위치된다. 본 명세서의 개념이 동일하게 적용가능한 다른 IBO 방법 및 타겟 타입이 존재한다.
도 5는 일 실시형태에 따라서 오버레이 피드포워드 정정을 수행하기 위한 방법을 기술하는 흐름도이다. 단계 500에서, 이전의 층의 오버레이 지문은 웨이퍼별 오버레이 타겟을 측정하기 위해서 광계측 툴(제 2 계측 툴)을 사용하여 측정될 수 있다(예를 들어, 에칭 후에). 이러한 측정은 예를 들어, 제 2 툴 타겟 오버레이 데이터를 획득하기 위한 IBO, DBO, μDBO 또는 IDM 타겟-기반 측정일 수 있다. 이것은 웨이퍼 레벨 피드포워드 정정이 해당 웨이퍼에 대해서 결정될 수 있게 하는 모든 웨이퍼 상의 높은 쓰루풋 측정을 포함할 수 있다. 이러한 단계가 각각의 웨이퍼에 대하여 수행될 수 있기 때문에, 이러한 단계는 성긴 측정(예를 들어, 필드별로 하나 또는 두 개의 타겟)을 포함할 수 있다.
단계 510에서, 제 1 툴 타겟 오버레이 데이터(실효적으로 오버레이 타겟의 각각의 두 개의 구성요소 격자 또는 컴포넌트에 대한 위치 측정의 차이)를 획득하기 위하여, 동일한 오버레이 타겟(예를 들어, 에칭후 검사(AEI) 타겟)에 대하여 e-빔 계측이 수행된다. 이것은 웨이퍼의 서브세트에서(예를 들어, 로트별로 하나씩) 수행될 수 있다. 이전의 실시형태와 유사한 방식으로, 제 2 툴 타겟 오버레이 데이터 및 제 1 툴 타겟 오버레이 데이터가 이러한 두 개의 데이터세트들 사이의 차이 또는 델타 그리드를 결정하기 위하여 비교될 수 있는데, 델타 그리드는 사용되는 툴들 사이의 스테이지 차이(처킹 차이)에 대략적으로 기인한다.
단계 520에서, e-빔 툴은 제 1 툴 디바이스 오버레이 데이터를 획득하기 위하여, 디바이스 구조체 상의 오버레이를 측정하기 위해서 사용될 수 있다(이것은 불-규칙적/주기적일 수 있음). 다시 말하건대, 이것은 실질적으로 이전의 층 또는 그 안의 구조체에 대한 디바이스 구조체의 위치의 측정을 포함할 수 있다. 이러한 단계는 단계(510)와 같은 웨이퍼의 동일한 서브세트 상에 수행될 수 있다.
단계 530에서, 조밀한 다이내 오버레이 그리드가 제 1 툴 타겟 오버레이 데이터 및 제 1 툴 디바이스 오버레이 데이터로부터 결정될 수 있다. 이러한 조밀한 다이-내 오버레이 그리드는 오버레이 타겟 측정에 대한 다이-내 왜곡을 기술할 수 있다(예를 들어, MTD 오프셋을 기술하는 조밀한 그리드).
단계 540에서, 제 2 툴 타겟 오버레이 데이터, 제 1 툴 타겟 오버레이 데이터 및 제 1 툴 디바이스 오버레이 데이터를 사용하여, 웨이퍼-레벨 피드포워드 정정이 스캐너 내의 노광을 위하여 결정될 수 있고, 노광은 제 2 툴 타겟 오버레이 데이터를 획득하기 위하여 측정되는 웨이퍼들 각각에서 수행될 수 있다. 이것은 에지 배치 오차(EPE) 또는 오버레이를 조밀한 다이-내 오버레이 그리드에 기반하여 최적화하는 것을 포함할 수 있다. 정정은 처킹 차이에 대해서 정정된, 제 2 툴 타겟 오버레이 데이터(예를 들어, 조밀한 다이-내 오버레이 그리드)에 대한 제 1 툴 디바이스 오버레이 데이터 사이의 측정 오프셋(MTD 오프셋)에 기반할 수 있다. 예를 들어, 최적화는, 제 1 타겟 계측 데이터를 입력으로서 사용하는, 다양한 디바이스의 EPE 중요도(criticality)(프로세스 윈도우)에 기반한, 결정된 다수의 MTD 오프셋(예를 들어, 디바이스 타입별 및/또는 웨이퍼 영역별 오프셋)에 걸친 가중된 최적화일 수 있다. 이러한 멀티-피쳐 오버레이 최적화는 선량 제어를 위하여 현재 사용되는 것과 같은 CD 다이 최적화에 비견될 수 있다.
피드포워드 노광 정정이 도 4의 실시형태에 따른 정렬 데이터 및 도 5의 실시형태에 따른 오버레이 데이터 양자 모두에 기반하여 획득될 수 있도록, 도 4 및 도 5의 실시형태가 결합될 수 있다는 것이 인정될 수 있다. 예를 들어, 노광을 위한 조밀한 제어 그리드 정정을 결정하기 위하여 정렬 데이터 및 오버레이 데이터 양자 모두가 사용될 수 있다.
다른 실시형태에서, e-빔 측정이 지원되는 오버레이 피드백 제어도 역시 개시된다. 도 6은 이러한 실시형태를 설명하는 흐름도이다.
단계 600에서, 제 1 오버레이 지문이 웨이퍼별 측정 오버레이 타겟을 측정하기 위한 광계측 툴을 사용하여 에칭후에 측정될 수 있다. 이러한 측정은 예를 들어, 제 2 툴 에칭후 오버레이 데이터 또는 제 2 툴 AEI 오버레이 지문(예를 들어, IDM 오버레이 지문)을 획득하기 위한 IDM 타겟-기반 측정일 수 있다. 이러한 단계는 모든 웨이퍼에 대하여 수행될 수 있다.
단계 610에서, 제 2 오버레이 지문은 웨이퍼별 오버레이 타겟을 측정하기 위한 광계측 툴을 사용하여 현상후에 측정될 수 있다. 이러한 측정은 예를 들어, 제 2 툴 현상후 오버레이 데이터 또는 제 2 툴 ADI 오버레이 지문을 획득하기 위한 IBO, DBO 또는 μDBO 타겟-기반 측정일 수 있다. (예를 들어, IBO 또는 DBO 오버레이 지문). 이러한 단계는 모든 웨이퍼에 대하여 수행될 수 있다.
단계 620에서, ADI e-빔 계측이 예를 들어, 단계 610에서 측정된 웨이퍼들의 서브세트에서 수행되어, 제 1 툴 현상후 오버레이 데이터를 획득할 수 있다. 이러한 제 1 툴 ADI 오버레이 데이터는 IBO 또는 DBO 타겟이 측정된 ADI로부터의, IDM 타겟이 측정된 ADI로부터의 그리고 디바이스 구조체 ADI(예를 들어, 적어도 중요도가 높은 디바이스)에 대한 계측 데이터를 포함할 수 있다.
단계 630에서, AEI e-빔 계측이 예를 들어, 단계 600에서 측정된 웨이퍼들의 서브세트에서 수행되어, 제 1 툴 에칭후 오버레이 데이터를 획득할 수 있다. 이러한 제 1 툴 AEI 오버레이 데이터는 IDM 타겟이 측정된 AEI로부터의 그리고 디바이스 구조체 AEI(예를 들어, 적어도 중요도가 높은 디바이스)에 대한 계측 데이터를 포함할 수 있다. 단계(630)는 단계(620)를 대체하거나 이에 추가될 수 있다.
단계 640에서, 제 1 및 제 2 툴 ADI 오버레이 데이터 및/또는 제 1 및 제 2 툴 AEI 오버레이 데이터는 다음을 위하여 사용된다(적합한 경우):
- DBO 측정 그리드를 획득하기 위한, 타겟 측정 및 단계 620에서 획득된 디바이스 측정의 비교로부터의 디바이스별 ADI MTD 오프셋(들)(예를 들어, 디바이스-DBO)의 결정;
- IDM 측정 그리드를 획득하기 위한, 타겟 측정 및 단계 630에서 획득된 디바이스 측정의 비교로부터의 디바이스별 AEI MTD 오프셋(들)(예를 들어-IDM)의 결정;
- 디바이스별로 조밀한 그리드(또한 다이 내 그리드)를 획득하기 위한, 디바이스 별 프로세스 오프셋(예를 들어, 단계 620에서 수행된 ADI 및 단계 630에서 수행된 AEI의 디바이스 측정들 사이의 차이)의 결정.
단계 650에서, 이전의 실시형태에서 결정된 모든 데이터세트를 사용하면, 피드백 정정이 결정된다. 이것은 선량에 대하여 사용된 CD 다이 최적화와 비견될 수 있는 멀티-피쳐 오버레이 최적화에 기반할 수 있고, 오버레이 마진 / 디바이스(EPE 중요도(criticality))에 기반한 가중치를 포함한다. 이러한 단계는 디바이스별 프로세스 오프셋 및 디바이스별 MTD를 사용하여 실제의 디바이스상 오버레이를 제어할 수 있게 하는 것을 더 포함할 수 있다. 전술된 바와 같이, 제 2 툴 오버레이 데이터는 제 1 툴 현상후 오버레이 데이터 및 제 1 툴 에칭후 오버레이 데이터 중 하나 또는 양자 모두와 함께 사용되어 사용되는 툴들 사이의 스테이지 차이 또는 처킹 차이에 대한 정정을 결정할 수 있다.
도 6의 실시형태가 도 4 및 도 5의 실시형태 중 하나 또는 양자 모두와 결합될 수 있다는 것이 인정될 수 있다.
e-빔 툴에 의해 캡쳐된 이미지도 물론 CD / 국소 CDU 측정을 위하여 여전히 사용될 수 있다. 그러면, 디바이스 CD에 대해서 기술하는 CD 측정 데이터(예를 들어, 다수의 디바이스에 대한 데이터)가 제공되고, 이것이 후속 로트의 동일 층 노광을 위한 피드백 스캐너 (선량) 정정을 위하여 사용될 수 있거나, 측정되는 웨이퍼들의 다음 층 노광을 위한 피드포워드 정정(예를 들어, 선량/오버레이에 대한)을 결정하기 위한 레지스트레이션 오차 데이터와 조합되어 사용될 수 있다.
궁극적으로, e-빔 툴은 정렬 측정을 디바이스 구조체에 직접적으로 수행하기 위해서 나란히 사용될 수 있다(예를 들어, 도 1에서 AS로 명명된 것과 같은 광학 정렬 센서 대신에 또는 나란히). 이러한 방식으로, 더 많은(또는 임의의) 정렬 마크를 수용할 필요가 없이, 임의의 구조체 상에서 정렬이 더 조밀하게 이루어질 수 있다. 정렬 측정이 디바이스 구조체 상에서 직접적으로 수행되기 때문에, 디바이스 오프셋에 대한 계측의 문제점(타겟이 디바이스 구조체와 다르게 거동하는 문제점)을 피하게 된다.
오프라인 분해능상 정렬 측정은 더 많은 정렬 마크 및 제품 피쳐 위치가 측정될 수 있게 하고, 현재의 인라인 측정 전략으로 가능해지는 것보다 개선된(예를 들어, 더 높은 차수의) 정렬 모델을 사용할 가능성이 생긴다. 더 바람직한 모델링 전략을 수행하기 위해서 요구되는 마크들의 양을 측정하기 위한 인라인 측정 전략을 위해서 이용가능한 계측 시간은 통상적으로 충분하지 않다. 예를 들어, 후속하는 정렬 그래뉼래러티가 현재 통상적으로 사용된다:
- 필드간: 광역 웨이퍼 배치 및 변형. 이것은 현재 선형 모델(예를 들어, 6 파라미터 모델), 또는 "더 높은 차수"의 모델(통상적으로 5 차까지)을 사용하여 이루어진다;
- 필드내: 평균 필드 지문을 정렬하기 위함;
- 구역 정렬(zone alignment): 더 많은 국소 변형을 캡쳐하기 위하여 사용될 수 있는 보간/외삽 방법.
현존하는 정렬 그래뉼래러티의 단점은, 예를 들어 스캐너 노광에 의해서 초래되는 것과 같은 매우 높은 차수의 지문이 적절하게 보상될 수 없다는 것이다. 결과적으로 이러한 지문은 피드백 제어 루프에 기반한 오버레이 제어와 같은 다른 수단에 의한 정정을 요구한다. 이러한 피드백 제어 루프는 그 성질상, 언제나 "캐치업(catching up)"하고 있는데, 그 이유는 지문들이 시간이 지남에 따라서 변하고 따라서 정정의 지연을 겪는 경향이 있기 때문이다. 또한, 이들은 웨이퍼-웨이퍼 변동 및 로트-로트 노이즈를 겪는 경향이 있다.
그러므로, 본 명세서에 개시된 오프라인 측정 실시형태를 위하여, 현재 사용되는 것(예를 들어, 인라인 정렬 계측을 위한 것)보다 훨씬 더 조밀한 정렬 레이아웃(이것은 제품 구조체 및/또는 전용 타겟을 포함할 수 있음)을 측정하고, 따라서 높은 차수의 정렬 모델링을 허용하는 것이 제안된다.
이러한 제안은 후속하는 그래뉼래러티 및 정렬 모델링 전략 중 임의의 것 또는 이들의 조합(적용가능한 경우)에 정렬을 수행하는 것을 포함할 수 있다:
- 필드별 (노광) 모델링: 각각의 필드 내에 충분한 타겟들이 존재하면, 필드별 모델링이 수행될 수 있다;
- 모든 필드는 개별적으로 모델링될 수 있다; 또는 필드별 모델링은 필드의 서브세트에 대해서만 수행될 수 있다. 예를 들어, 제 1 기판 구역 내의(예를 들어, 내부 기판 구역 내의) 필드들의 제 1 서브세트는 평균화 필드 지문 전략과 같은 제 1 전략을 사용하여 모델링될 수 있고, 제 2 기판 구역 내의(예를 들어, 더 큰 변동이 예측될 수 있는 외부 기판 구역 내의) 필드들의 제 2 서브세트는 상기 필드별 모델 전략과 같은 제 2 전략을 사용하여 모델링될 수 있다. 물론, 필드별 모델링이 어디에서 그리고 얼마나 빈번하게 수행되는지에 대한 결정은 오버레이 거동 / 요건에 의존할 수 있다.
- 평균 서브-필드 모델링: 이것은, 예를 들어 서브-필드에 걸쳐서 변동이 거의 관측되지 않는 경우에 수행될 수 있다.
- 일부 또는 모든 서브-필드가 별개로 모델링되는 서브-필드별 모델링.
- 이것은 계측 노력의 관점에서 매우 고비용일 수 있고, 따라서 서브-필드에 걸쳐서 큰 변동이 있는 경우에만 수행될 수 있고, 가능하게는 웨이퍼 상의 필드들의 작은 서브세트에 대해서만(예를 들어, 극단 에지에서) 가능할 수 있다;
- 다이별: 본질적으로 서브-필드별 모델링의 특수 케이스이지만, 여러 다이를 포함하는 서브-필드보다 훨씬 더 높은 그래뉼래러티를 가진다.
- 기판에 걸친 방사선 빔(B)의 연장과 연관된 면적(예를 들어, 소위 슬릿 영역)에 걸친 왜곡 지문, 예를 들어 슬릿 지문의 모델링:
- 슬릿 지문은 흔히, 리소그래피 장치의 왜곡 지문에 대한 투영 광학기(예를 들어 렌즈)의 기여에 의해 큰 범위로 특성화된다. 렌즈 기여도(렌즈 지문)는 고차수 성분을 통상적으로 보유하고, 따라서 이것이 결정될 필요가 있는 경우에는 조밀한 왜곡 (정렬) 측정을 요구한다. 전술된 바와 같은 제품상 정렬의 방법은 슬릿 지문이 결정될 수 있게 하는데, 그 이유는 이것이 슬릿 영역에 대응하는 레티클 상의 작은 영역에 많은 정렬 마크를 제공할 필요가 없이 제품 피쳐의 존재에만 의존하기 때문이다. 슬릿 지문은 레티클 오차를 더 포함할 수 있다.
- 슬릿 지문은 노광 슬릿 영역에 걸친 기판의 왜곡 성분, 예를 들어 노광 슬릿 영역의 중심으로부터 비-스캐닝 방향으로의 거리에 대해 표시된 왜곡을 나타낸다. 슬릿의 중심으로부터 비-스캐닝 방향으로의 거리에 대한 왜곡은 슬릿의 중심으로부터 비-스캐닝 방향으로 동일한 거리의 제품 피쳐의 측정들을 평균화함으로써 계산될 수 있다.
- 그러면, 고차수 슬릿 지문이 기판 상의 제품 피쳐의 측정을 사용하여 식별될 수 있다. 그러면, 예를 들어 기판의 이전의 층을 노광하기 위하여 사용된 리소그래피 장치의 렌즈 지문을 식별할 수 있게 된다. 그러면, 식별된 렌즈 지문이 리소그래피 장치를 사용하여 노광될 후속 층 및/또는 후속 기판 내의 렌즈 지문을 보상하기 위해서 투영 렌즈를 제어하기 위하여 사용될 수 있다.
- 스캐닝 방향에 나란한 필드 내의 왜곡 지문, 예를 들어 스캔 프로파일 지문의 모델링:
- 스캔 프로파일 지문은 노광을 위해서 사용되는 리소그래피 장치의 스캔 프로파일에 기인한, 스캐닝 방향과 나란한 필드 내의 전사된 패턴의 왜곡이다. 스캔 프로파일은 흔히, 스캐닝 도중의 패터닝 디바이스의 위치설정 디바이스 및 기판의 위치설정 디바이스 사이의 상대적인 속도 변동의 기여에 의해 큰 범위로 특성화된다. 또한, 스캔 프로파일은, 스캐닝 도중의 패터닝 디바이스의 위치설정 디바이스 및 기판의 위치설정 디바이스 사이의 상대적인 회전 변동의 기여에 의해 특성화된다. 스캔 프로파일 지문은 고차수 성분을 역시 포함하고, 따라서 결정될 필요가 있는 경우에는 조밀한 왜곡 (정렬) 측정을 요구한다. 전술된 바와 같은 제품상 정렬의 방법은 스캔 프로파일 지문이 결정될 수 있게 하는데, 그 이유는 이것이 레티클 상의 패턴 내에 많은 정렬 마크를 제공할 필요가 없이 제품 피쳐의 존재에만 의존하기 때문이다.
- 스캔 프로파일은 필드 상의 스캐닝 방향으로의 기판의 왜곡 성분, 예를 들어 필드 좌표 내의 스캐닝 방향 위치에 대해서 그려진 왜곡을 나타낸다. 스캔 프로파일 왜곡은 동일한 필드 좌표 위치의 측정들을 스캐닝 방향으로 평균화함으로써 계산될 수 있다.
- 제품상 정렬의 전술된 방법은, 고차수 왜곡을 결정하기 위해 요구되는 조밀한 측정이 많은 정렬 마크를 도입하지 않고 제품 피쳐를 사용하여 수행될 수 있다는, 슬릿 지문과 같은 이유 때문에, 스캔 프로파일 지문을 결정하기 위해서 유리하다.
- 스캔 프로파일 지문은 평균 스캔-업 필드 또는 스캔-다운 필드와 같은 평균 필드에서 수행될 수 있다. 스캔 프로파일은 단일 필드에 대해서 수행할 수도 있다(노광 필드마다).
- 그러면, 고차수 스캔 프로파일 지문이 기판 상에서의 제품 피쳐의 측정을 사용하여 식별될 수 있다. 그러면, 기판 스테이지의 위치설정 디바이스 및 패터닝 디바이스의 위치설정 디바이스 사이의 상대적인 속도 변동 및/또는 상대적인 회전 변동과 같은 스캔 프로파일에 기인한 기판 상의 전사된 패턴의 왜곡을 식별할 수 있다. 그러면, 식별된 스캔 프로파일 지문은, 리소그래피 장치를 사용하여 노광될 후속 층 및/또는 후속 기판 내의 스캔 프로파일 지문을 보상하기 위하여 기판의 위치설정 디바이스 및 패터닝 디바이스를 기판의 위치설정 디바이스 및 패터닝 디바이스를 교정 및/또는 제어하기 위해서 사용될 수 있다.
이러한 전략 및 그래뉼래러티는 소망될 경우(그리고 적용가능한 경우) 이미 존재하는 정렬 전략/그래뉼래러티 와도 조합될 수 있다. 앞선 내용들 모두에서, 서브-필드라는 용어는 다이들, 단일 다이 또는 심지어 부분적인 다이 또는 부분적인 다이를 포함하는 서브-필드의 임의의 서브세트를 가리킬 수 있다.
전술된 전략에 추가하거나 그 대신에, 모델링은 제품 피쳐별로 수행할 수도 있다. 예를 들어, 에지 배치 최적화(예를 들어, 개별적인 피쳐 배치의 최적화)를 위하여, 상이한 (예를 들어, 임계) 제품 피쳐의 위치를 아는 것이 중요하다. 임계 피쳐는 배치할 때 특히 주의가 요구되는 것일 수 있다(예를 들어 이들은 좁은 프로세스 윈도우를 가짐). 피쳐별 측정 및 모델링은 에지 배치가 최적화되게 한다. 예를 들어, 상이한 피쳐에 대해서는 그들의 중요도에 의존하여(예를 들어 레이아웃 내의 피쳐의 위치 및/또는 피쳐의 타입에 의존하여) 상이한 가중치가 사용될 수 있다.
잠재적으로, 필드별 정렬 지문이 특정 그룹 또는 세트 내에서(예를 들어, 한 로트에 걸쳐, 하나의 척에 걸쳐 또는 웨이퍼의 다른 그룹에 걸쳐) 안정되면, 높은 그래뉼래러티 정렬(예를 들어, 서브-필드 계측)은 그룹별로 하나의 웨이퍼(예를 들어, 제 1 웨이퍼) 또는 다른 서브세트에 대해서만 수행될 수 있다. 그러면, 이러한 정렬의 결과가 그룹 내의 다른 웨이퍼에 대해서 사용될 수 있다(적어도 부분적으로). 이와 같이, 이러한 후속하는 웨이퍼는 성긴 측정만을 요구하고, 이것은 이제 단일 웨이퍼에서 수행된 조밀한 모델링으로 보완될 수 있다. 이러한 실시형태에서, 그룹의 조밀하게 측정된 웨이퍼만이 오프라인이 되고, 나머지 성기게 측정된 웨이퍼들은 인라인으로 측정될 수 있다. 이러한 후자의 웨이퍼는 현재의 전략 보다 더 성기게 측정될 수도 있고(또는 적어도 더 높은 차수의 정렬 전략을 제공함), 전체 쓰루풋을 개선한다.
오프라인으로 측정할 때의 다른 옵션은 웨이퍼들에 걸쳐서 분산형 샘플링을 수행하는 것이다. 이것은 웨이퍼별로 더 낮은 차수의 모델링을 수행하는 반면에 로트 / 척 / 웨이퍼들의 그룹별로 고차수 모델링을 허용할 것이다. 이것은 인라인으로는 가능하지 않고, 그 이유는 모델링이 수행될 수 있기 이전에 모든 측정 데이터가 요구되기 때문이다. 분산형 샘플링은 웨이퍼 내의 필드들의 서브세트에 걸친 분산을 더 포함할 수 있다(대안적으로 또는 추가적으로); 예를 들어, 필드별 모델링은 필드들의 서브세트에만 수행될 수 있다. 이러한 후자의 형태의 분산형 샘플링은인-라인 정렬 측정과도 가능해진다.
디바이스 상에서의 오프라인 정렬 측정이 스캐너 이용가능성에 대한 이점을 제공하지만, 이것이 본 발명의 필수 사항은 아니다. 오프라인 또는 인라인 측정, 마크 상 또는 디바이스 상 측정 중 어느것도 가능할 것이다.
추가적인 실시형태들은 다음 절들을 사용하여 기술될 수 있다.
1. 기판의 왜곡 성분을 결정하는 방법으로서,
기판 상의 하나 이상의 제품 피쳐의 복수 개의 위치 측정을 획득하는 단계 - 상기 측정은 상기 기판 또는 상기 기판의 표면에 평행한 평면을 변위시키는 데에 사용되는 위치설정 시스템에 대하여 레퍼런스됨(referenced) -; 및
상기 기판의 왜곡 성분을 상기 복수 개의 위치 측정에 기반하여 결정하는 단계를 포함하는, 왜곡 성분 결정 방법.
2. 제 1 절에 있어서,
상기 왜곡 성분은 상기 기판의 면내 왜곡 및/또는 상기 기판 상의 제품 피쳐의 위치와 연관된, 왜곡 성분 결정 방법.
3. 제 2 절에 있어서,
상기 방법은,
상기 기판 상의 하나 이상의 정렬 마크의 측정을 획득하는 단계; 및
상기 제품 피쳐의 위치를, 상기 제품 피쳐의 측정 및/또는 상기 제품 피쳐의 이미지, 및 상기 하나 이상의 정렬 마크의 측정에 기반하여 결정하는 단계를 더 포함하는, 왜곡 성분 결정 방법.
4. 제 1 절 내지 제 3 절 중 어느 한 절에 있어서,
상기 제품 피쳐의 측정 및/또는 상기 제품 피쳐의 이미지 중 적어도 일부는 리소그래피 장치 내에 배치된 정렬 툴을 사용하여 인라인으로 수행되는, 왜곡 성분 결정 방법.
5. 제 1 절 내지 제 4 절 중 어느 한 절에 있어서,
상기 제품 피쳐의 측정 및/또는 상기 제품 피쳐의 이미지 중 적어도 일부는 리소그래피 장치 외부에 배치되거나 리소그래피 장치에 연결된 정렬 툴을 사용하여 오프라인으로 수행되는, 왜곡 성분 결정 방법.
6. 제 3 절 내지 제 5 절 중 어느 한 절에 있어서,
상기 제품 피쳐의 측정 및/또는 상기 제품 피쳐의 이미지 중 적어도 일부는 오프라인으로 수행되고, 상기 하나 이상의 정렬 마크의 측정 중 적어도 일부는 인라인으로 수행되는, 왜곡 성분 결정 방법.
7. 제 6 절에 있어서,
오프라인 측정 및 인라인 측정은 오프라인 측정 및 인라인 측정을 위해 사용되는 정렬 툴의 변형 그리드를 커플링함으로써 조합되는, 왜곡 성분 결정 방법.
8. 제 1 절 또는 제 2 절에 있어서,
상기 복수 개의 위치 측정은, 제 1 계측 툴을 사용하여 측정된 제 1 툴 계측 데이터를 포함하거나, 상기 제 1 계측 툴을 사용하여 측정된 제 1 툴 계측 데이터 및 제 2 계측 툴을 사용하여 측정된 제 2 툴 계측 데이터를 포함하는, 왜곡 성분 결정 방법.
9. 제 8 절에 있어서,
상기 제 1 계측 툴은 주사 전자 현미경 툴이고, 및/또는 상기 제 2 계측 툴은 광계측 툴인, 왜곡 성분 결정 방법.
10. 제 9 절에 있어서,
상기 주사 전자 현미경은 상기 기판 상의 하나 이상의 제품 피쳐 및/또는 타겟의 복수 개의 위치 측정 중 적어도 하나를 상기 기판의 상단층 아래에서 측정하는, 왜곡 성분 결정 방법.
11. 제 10 절에 있어서,
복수 개의 위치 측정 중 적어도 하나는 동일한 층 상의 하나 이상의 제품 피쳐 및 타겟에 대한 것인, 왜곡 성분 결정 방법.
12. 제 9 절 내지 제 11 절 중 어느 한 절에 있어서,
주사 전자 현미경의 전자 빔은 10keV보다 큰 랜딩 에너지(landing energy)를 가지는, 왜곡 성분 결정 방법.
13. 제 9 절 내지 제 12 절 중 어느 한 절에 있어서,
상기 주사 전자 현미경의 전자 빔은 50keV 미만의 랜딩 에너지를 가지는, 왜곡 성분 결정 방법.
14. 제 8 절 내지 제 13 절 중 어느 한 절에 있어서,
상기 제 1 툴 계측 데이터는 타겟 상에서 측정된 제 1 툴 타겟 계측 데이터 및 디바이스 구조체 상에서 측정된 제 1 툴 디바이스 계측 데이터를 포함하는, 왜곡 성분 결정 방법.
15. 제 14 절에 있어서,
상기 방법은,
상기 제 1 툴 타겟 계측 데이터 및 상기 제 1 툴 디바이스 계측 데이터로부터, 상기 제 1 툴 디바이스 계측 데이터에 관련된 하나 이상의 디바이스 구조체에 대한 하나 이상의 계측-디바이스 오프셋을 결정하는 단계를 포함하고,
상기 하나 이상의 계측-디바이스 오프셋은, 상기 제 1 툴 타겟 계측 데이터 내의 대응하는 측정치에 대한, 상기 제 1 툴 디바이스 계측 데이터 내의 디바이스별 측정치에서의 비교를 포함하는, 왜곡 성분 결정 방법.
16. 제 15 절에 있어서,
상기 방법은,
상기 하나 이상의 계측-디바이스 오프셋을 각각의 타겟에 대한 계측 오프셋들의 조밀한 그리드 내에 조합하는 단계를 포함하는, 왜곡 성분 결정 방법.
17. 제 15 절 또는 제 16 절에 있어서,
상기 방법은,
계측 오프셋 및/또는 상기 하나 이상의 계측-디바이스 오프셋의 조밀한 그리드를 피드포워드 정정으로서 노광 장치의 레퍼런스 그리드에 적용하는 단계를 포함하는, 왜곡 성분 결정 방법.
18. 제 15 절 또는 제 16 절에 있어서,
상기 방법은,
계측 오프셋 및/또는 상기 하나 이상의 계측-디바이스 오프셋의 조밀한 그리드를 피드백 정정으로서 노광 장치의 레퍼런스 그리드에 적용하는 단계를 포함하는, 왜곡 성분 결정 방법.
19. 제 17 절 또는 제 18 절에 있어서,
상기 방법은,
스테이지 정정 및/또는 노광 정정을 상기 제 1 툴 타겟 계측 데이터와 상기 제 2 툴 타겟 계측 데이터의 비교로부터 결정하는 단계; 및
스테이지 정정 및/또는 노광 정정을 상기 피드포워드 정정 또는 피드백 정정으로서 적용하는 단계를 포함하는, 왜곡 성분 결정 방법.
20. 제 14 절 내지 제 19 절 중 어느 한 절에 있어서,
상기 제 1 툴 타겟 계측 데이터는 정렬 마크 상에서 측정된 제 1 툴 타겟 정렬 데이터를 포함하고,
상기 제 1 툴 디바이스 계측 데이터는 디바이스 구조체 상에서 측정된 제 1 툴 디바이스 정렬 데이터를 포함하는, 왜곡 성분 결정 방법.
21. 제 20 절에 있어서,
상기 제 2 툴 계측 데이터는 상기 정렬 마크 상에서 측정된 제 2 툴 타겟 데이터를 포함하는, 왜곡 성분 결정 방법.
22. 제 20 절 또는 제 21 절에 있어서,
상기 제 2 계측 툴은 광학 정렬 센서를 포함하는, 왜곡 성분 결정 방법.
23. 제 14 절 내지 제 19 절 중 어느 한 절에 있어서,
상기 제 1 툴 타겟 계측 데이터는 오버레이 타겟 상에서 측정된 제 1 툴 타겟 오버레이 데이터를 포함하고,
상기 제 1 툴 디바이스 계측 데이터는 디바이스 구조체 상에서 측정된 제 1 툴 디바이스 오버레이 데이터를 포함하는, 왜곡 성분 결정 방법.
24. 제 23 절에 있어서,
상기 제 1 툴 타겟 오버레이 데이터는, 에칭 단계가 상기 타겟 상에 수행된 이후에 획득된 제 1 툴 에칭후 타겟 오버레이 데이터 및 에칭 단계가 상기 타겟 상에 수행되기 이전에 획득된 제 1 툴 현상후 타겟 오버레이 데이터 중 하나 또는 양자 모두를 포함하고,
상기 제 1 툴 디바이스 계측 데이터는, 에칭 단계가 상기 디바이스 구조체 상에 수행된 이후에 획득된 제 1 툴 에칭후 디바이스 오버레이 데이터 및 에칭 단계가 상기 디바이스 구조체 상에 수행되기 이전에 획득된 제 1 툴 현상후 디바이스 오버레이 데이터 중 하나 또는 양자 모두를 포함하는, 왜곡 성분 결정 방법.
25. 제 24 절에 있어서,
상기 방법은,
상기 제 1 툴 에칭후 디바이스 오버레이 데이터와 상기 제 1 툴 현상후 디바이스 오버레이 데이터 사이의 오프셋을 결정하는 추가 단계를 포함하는, 왜곡 성분 결정 방법.
26. 제 23 절 내지 제 25 절 중 어느 한 절에 있어서,
상기 제 2 툴 계측 데이터는 상기 오버레이 타겟 상에서 측정된 제 2 툴 타겟 데이터를 포함하는, 왜곡 성분 결정 방법.
27. 제 23 절 내지 제 26 절 중 어느 한 절에 있어서,
상기 제 2 계측 툴은 광학 산란계 계측 툴을 포함하는, 왜곡 성분 결정 방법.
28. 제 1 절 내지 제 27 절 중 어느 한 절에 있어서,
상기 방법은,
상기 기판 상의 적어도 하나의 노광 필드 또는 서브-필드에 대한 상기 기판의 왜곡 성분을 제 1 필드 또는 서브-필드 모델에 따라서 모델링하는 단계를 더 포함하는, 왜곡 성분 결정 방법.
29. 제 28 절에 있어서,
상기 제 1 필드 또는 서브-필드 모델에 따라서 모델링하는 단계는, 상기 기판 상의 필드 또는 서브-필드들의 제 1 서브세트 중 제 1 평균 필드 또는 서브-필드 상에 수행되는, 왜곡 성분 결정 방법.
30. 제 29 절에 있어서,
상기 필드 또는 서브-필드들의 제 1 서브세트는 상기 기판의 제 1 기판 구역 내에 있고,
상기 기판의 제 2 기판 구역 내의 제 2 필드 또는 서브-필드는 제 2 필드 또는 서브-필드 모델에 따라서 모델링되는, 왜곡 성분 결정 방법.
31. 제 30 절에 있어서,
상기 제 1 구역은 상기 기판의 내부 구역이고,
상기 제 2 구역은 상기 기판의 외부 구역인, 왜곡 성분 결정 방법.
32. 제 28 절 내지 제 31 절 중 어느 한 절에 있어서,
상기 제 1 필드 모델 또는 서브-필드 모델은 상기 기판 상의 하나 이상의 다이 또는 서브-다이를 모델링하는 다이 모델 또는 서브-다이 모델을 포함하는, 왜곡 성분 결정 방법.
33. 제 28 절 내지 제 32 절 중 어느 한 절에 있어서,
상기 제 1 필드 또는 서브-필드의 모델링은 기판들의 그룹의 제 1 서브세트 상에 수행되고,
상기 제 1 필드 또는 서브-필드의 모델링의 결과는 상기 기판들의 그룹의 제 2 서브세트에 대해서 사용되는, 왜곡 성분 결정 방법.
34. 제 28 절 내지 제 33 절 중 어느 한 절에 있어서,
상기 방법은,
상이한 제품 피쳐들의 하나 이상의 위치들 중의 위치들을 모델링하는 단계를 더 포함하는, 왜곡 성분 결정 방법.
35. 제 34 절에 있어서,
상이한 제품 피쳐는 상이한 타입의 제품 피쳐 및/또는 상기 기판의 필드 내의 상이한 위치에 위치된 제품 피쳐를 포함하는, 왜곡 성분 결정 방법.
36. 제 1 절 내지 제 35 절 중 어느 한 절에 있어서,
상기 방법은,
상기 기판 상의 적어도 하나의 노광 필드 또는 서브-필드에 대한 상기 기판의 왜곡 성분 및/또는 슬릿 지문 모델에 따라서 상기 기판의 이전의 층을 제조하기 위하여 사용된 리소그래피 장치의 노광 슬릿의 모델링을 더 포함하는, 왜곡 성분 결정 방법.
37. 제 36 절에 있어서,
상기 슬릿 지문 모델은 렌즈 지문 모델을 포함하는, 왜곡 성분 결정 방법.
38. 제 36 절 또는 제 37 절에 있어서,
상기 슬릿 지문 모델에 따라서 모델링하는 것은, 상기 기판의 필드 또는 서브-필드들의 제 2 서브세트의 제 2 평균 필드 상에 수행되는, 왜곡 성분 결정 방법.
39. 제 1 절 내지 제 38 절 중 어느 한 절에 있어서,
상기 방법은,
상기 기판 상의 적어도 하나의 노광 필드 또는 서브-필드에 대한 상기 기판의 왜곡 성분을 스캔 프로파일 지문 모델에 따라서 모델링하는 단계를 더 포함하는, 왜곡 성분 결정 방법.
40. 제 39 절에 있어서,
상기 스캔 프로파일 지문 모델에 따라서 모델링하는 것은, 상기 기판의 필드 또는 서브-필드들의 제 3 서브세트의 제 3 평균 필드 상에 수행되는, 왜곡 성분 결정 방법.
41. 제 40 절에 있어서,
상기 필드 또는 서브-필드들의 제 3 서브세트는 스캔-업 필드 또는 스캔-다운 필드를 포함하는, 왜곡 성분 결정 방법.
42. 제 39 절에 있어서,
상기 스캔 프로파일 지문 모델에 따라서 모델링하는 것은 노광 필드마다 수행되는, 왜곡 성분 결정 방법.
43. 제 28 절 내지 제 42 절 중 어느 한 절에 있어서,
상기 제품 피쳐의 측정 및/또는 상기 제품 피쳐의 이미지 중 적어도 일부는 리소그래피 장치 외부에 배치되거나 리소그래피 장치에 연결된 정렬 툴을 사용하여 오프라인으로 수행되는, 왜곡 성분 결정 방법.
44. 제 1 절 내지 제 43 절 중 어느 한 절에 있어서,
복수 개의 위치 측정들 중 적어도 일부는 복수 개의 기판에 걸쳐서 분포되는, 왜곡 성분 결정 방법.
45. 제 2 절 내지 제 44 절 중 어느 한 절에 있어서,
상기 방법은,
제품 피쳐의 에지 배치 제어를 상기 제품 피쳐의 위치에 기반하여 결정하는 단계를 더 포함하는, 왜곡 성분 결정 방법.
46. 제 45 절에 있어서,
상기 방법은,
제품 피쳐에 대한 가중치를 상기 제품 피쳐의 중요도(criticality)에 기반하여 설정하는 단계를 더 포함하는, 왜곡 성분 결정 방법.
47. 리소그래피 장치를 사용하여 기판을 노광하는 방법으로서,
제 2 절 내지 제 44 절 중 어느 한 절에 따라서 기판 상의 제품 피쳐의 위치를 결정하는 단계; 및
상기 제품 피쳐의 결정된 위치에 기반하여 기판을 적어도 부분적으로 노광하는 단계를 포함하는, 기판 노광 방법.
48. 제 2 절 내지 제 47 절 중 어느 한 절에 있어서,
상기 제품 피쳐의 측정 또는 상기 제품 피쳐의 이미지를 획득하는 단계가 전자 빔 장치를 사용하여 수행되는, 기판 노광 방법.
49. 전자 빔 장치를 포함하는 노광 장치로서,
상기 노광 장치는 제 1 절 내지 제 48 절 중 어느 한 절에 따른 방법을 수행하도록 구성되는, 노광 장치.
50. 기판을 노광하기 위한 노광 장치 및 전자 빔 장치를 포함하는 노광 시스템으로서,
상기 전자 빔 장치는 제 1 절 내지 제 48 절 중 어느 한 절에 따른 방법을 수행하도록 구성되는, 노광 시스템.
본 명세서에서 IC를 제조하는 분야에 리소그래피 장치를 이용하는 것에 대해 특히 언급될 수 있지만, 본원에서 기술된 리소그래피 장치는 다른 응용예를 가질 수 있음이 이해돼야 한다. 가능한 다른 적용예는 집적 광학 시스템, 자기 도메인 메모리(magnetic domain memory) 용 가이드 및 검출 패턴(guidance 및 detection pattern), 평판 디스플레이, LCD(Liquid Crystal Display), 박막 자기 헤드 등의 제조를 포함한다.
비록 본 명세서에서 검사 또는 계측 장치의 맥락에서 본 발명의 실시형태가 특정하게 참조되었지만, 본 발명의 실시형태는 다른 장치에서도 사용될 수 있다. 리소그래피 본 발명의 실시형태는 마스크 검사 장치, 리소그래피 장치, 또는 웨이퍼(또는 다른 기판) 또는 마스크(또는 다른 패터닝 디바이스)와 같은 대상물을 측정하거나 처리하는 임의의 장치의 일부가 될 수 있다. 또한, 계측 장치 또는 계측 시스템이라는 용어가 검사 장치 또는 검사 시스템을 망라하거나 이들로 대체될 수 있다는 것에 주의하여야 한다. 계측 또는 검사 장치는 본 명세서에서 개시될 때 기판 위 또는 기판 안의 결함 및/또는 기판 상의 구조체의 결함을 검출하기 위하여 사용될 수 있다. 이러한 실시형태에서, 기판 상의 구조체의 특성은, 예를 들어 구조체 내의 결함, 구조체의 특정 부분의 부재, 또는 기판 상의 원치 않는 구조체의 존재와 관련될 수 있다.
비록 "계측 장치 / 툴 / 시스템" 또는 "검사 장치 / 툴 / 시스템"을 특정하게 참조하지만, 이러한 용어는 동일하거나 유사한 타입의 툴, 장치 또는 시스템을 가리킬 수도 있다. 예를 들어, 본 발명의 일 실시형태를 포함하는 검사 또는 계측 장치는 기판 위의 또는 웨이퍼 위의 구조체와 같은 물리적 시스템의 특성을 결정하기 위하여 사용될 수 있다. 예를 들어, 본 발명의 일 실시형태를 포함하는 검사 장치 또는 계측 장치는 기판의 결함 또는 기판 위의 또는 웨이퍼 위의 구조체의 결함을 검출하기 위하여 사용될 수 있다. 이러한 실시형태에서, 물리적 구조체의 특성은 구조체 내의 결함, 구조체의 특정 부분의 부재, 또는 기판 위의 또는 웨이퍼 위의 원치 않는 구조체의 존재에 관련될 수 있다.
비록 특정한 참조가 위에서 광 리소그래피의 콘텍스트에서의 본 발명의 실시형태의 사용에 대하여 이루어졌지만, 콘텍스트가 허용하는 경우 본 발명은 광학 리소그래피로 한정되지 않고, 다른 애플리케이션, 예를 들어 임프린트(imprint) 리소그래피에서 사용될 수도 있다는 것이 인정될 것이다.
비록 전술된 타겟 또는 타겟 구조체(더 일반적으로는 기판 상의 구조체)가 측정의 목적을 위하여 특정하게 설계되고 형성된 계측 타겟 구조체들인 반면에, 다른 실시형태들에서는, 관심 속성은 기판에 형성된 디바이스의 기능성 부분인 하나 이상의 구조체들에서 측정될 수도 있다. 많은 디바이스들은 정규의 격자-유사 구조를 가진다. 본 명세서에서 사용되는 바와 같은 구조체, 타겟 격자, 및 타겟 구조체라는 용어는 해당 구조체가 수행되는 중인 측정에 대하여 특정하게 제공되어야 한다는 것을 요구하지 않는다. 다감도 타겟 실시형태에 대하여, 상이한 제품 피쳐는 변동하는 감도(변동하는 피치 등)를 가지는 많은 구역을 포함할 수 있다. 더 나아가, 계측 타겟의 피치 P는 산란계의 광학계의 해상도 한계에 가깝지만, 타겟부(C) 내에서 리소그래피 프로세스에 의해 제조되는 통상적 제품 피쳐의 치수보다는 훨씬 클 수 있다. 실무상, 타겟 구조체 내의 오버레이 격자의 라인 및/또는 공간은 제품 피쳐와 유사한 치수인 더 작은 구조체를 포함하도록 제조될 수 있다.
비록 본 발명의 특정한 실시형태가 위에서 설명되었지만, 본 발명은 설명된 것과 다르게 실시될 수 있다는 것이 이해될 것이다. 위의 설명은 한정적인 것이 아니라 예시적인 의도로 제공된다. 따라서, 다음 진술되는 청구항의 범위로부터 벗어나지 않으면서, 설명된 바와 같은 본 발명에 변경이 이루어질 수 있다는 것이 당업자에게는 명백할 것이다.

Claims (15)

  1. 기판의 왜곡 성분을 결정하는 방법으로서,
    기판 상의 하나 이상의 제품 피쳐의 복수 개의 위치 측정을 획득하는 단계 - 상기 측정은 상기 기판 또는 상기 기판의 표면에 평행한 평면을 변위시키는 데에 사용되는 위치설정 시스템에 대하여 레퍼런스됨(referenced) -; 및
    상기 기판의 왜곡 성분을 상기 복수 개의 위치 측정에 기반하여 결정하는 단계를 포함하는, 왜곡 성분 결정 방법.
  2. 제 1 항에 있어서,
    상기 왜곡 성분은 상기 기판의 면내 왜곡 및/또는 상기 기판 상의 제품 피쳐의 위치와 연관된, 왜곡 성분 결정 방법.
  3. 제 2 항에 있어서,
    상기 방법은,
    상기 기판 상의 하나 이상의 정렬 마크의 측정을 획득하는 단계; 및
    상기 제품 피쳐의 위치를, 상기 제품 피쳐의 측정 및/또는 상기 제품 피쳐의 이미지, 및 상기 하나 이상의 정렬 마크의 측정에 기반하여 결정하는 단계를 더 포함하는, 왜곡 성분 결정 방법.
  4. 제 3 항에 있어서,
    상기 제품 피쳐의 측정 및/또는 상기 제품 피쳐의 이미지 중 적어도 일부는 오프라인으로 수행되고, 상기 하나 이상의 정렬 마크의 측정 중 적어도 일부는 인라인으로 수행되는, 왜곡 성분 결정 방법.
  5. 제 4 항에 있어서,
    오프라인 측정 및 인라인 측정은 오프라인 측정 및 인라인 측정을 위해 사용되는 정렬 툴의 변형 그리드를 커플링함으로써 조합되는, 왜곡 성분 결정 방법.
  6. 제 1 항에 있어서,
    상기 복수 개의 위치 측정은 제 1 계측 툴을 사용하여 측정된 제 1 툴 계측 데이터 및 제 2 계측 툴을 사용하여 측정된 제 2 툴 계측 데이터를 포함하는, 왜곡 성분 결정 방법.
  7. 제 6 항에 있어서,
    상기 제 1 계측 툴은 주사 전자 현미경 툴이고, 및/또는 상기 제 2 계측 툴은 광계측 툴인, 왜곡 성분 결정 방법.
  8. 제 7 항에 있어서,
    상기 주사 전자 현미경은 상기 기판의 상단층 아래에서 상기 기판 상의 하나 이상의 제품 피쳐 및/또는 타겟의 복수 개의 위치 측정 중 적어도 하나를 측정하는, 왜곡 성분 결정 방법.
  9. 제 6 항에 있어서,
    상기 제 1 툴 계측 데이터는, 타겟 상에서 측정된 제 1 툴 타겟 계측 데이터 및 디바이스 구조체 상에서 측정된 제 1 툴 디바이스 계측 데이터를 포함하는, 왜곡 성분 결정 방법.
  10. 제 9 항에 있어서,
    상기 방법은,
    상기 제 1 툴 타겟 계측 데이터 및 상기 제 1 툴 디바이스 계측 데이터로부터, 상기 제 1 툴 디바이스 계측 데이터에 관련된 하나 이상의 디바이스 구조체에 대한 하나 이상의 계측-디바이스 오프셋(metrology-to-device offset)을 결정하는 단계를 포함하고,
    상기 하나 이상의 계측-디바이스 오프셋은, 상기 제 1 툴 타겟 계측 데이터 내의 대응하는 측정치에 대한, 상기 제 1 툴 디바이스 계측 데이터 내의 디바이스별 측정치에서의 비교를 포함하는, 왜곡 성분 결정 방법.
  11. 제 10 항에 있어서,
    상기 방법은,
    상기 하나 이상의 계측-디바이스 오프셋을 각각의 타겟에 대한 계측 오프셋들의 조밀한 그리드 내에 조합하는 단계를 포함하는, 왜곡 성분 결정 방법.
  12. 제 10 항에 있어서,
    상기 방법은,
    피드포워드 정정 또는 피드백 정정으로서 상기 하나 이상의 계측-디바이스 오프셋을 노광 장치의 레퍼런스 그리드에 적용하는 단계를 포함하는, 왜곡 성분 결정 방법.
  13. 제 9 항에 있어서,
    상기 제 1 툴 타겟 계측 데이터는 정렬 마크 상에서 측정된 제 1 툴 타겟 정렬 데이터를 포함하고,
    상기 제 1 툴 디바이스 계측 데이터는 디바이스 구조체 상에서 측정된 제 1 툴 디바이스 정렬 데이터를 포함하는, 왜곡 성분 결정 방법.
  14. 제 9 항에 있어서,
    상기 제 1 툴 타겟 계측 데이터는 오버레이 타겟 상에서 측정된 제 1 툴 타겟 오버레이 데이터를 포함하고,
    상기 제 1 툴 디바이스 계측 데이터는 디바이스 구조체 상에서 측정된 제 1 툴 디바이스 오버레이 데이터를 포함하는, 왜곡 성분 결정 방법.
  15. 제 1 항에 있어서,
    상기 방법은,
    상기 기판 상의 적어도 하나의 노광 필드 또는 서브-필드에 대한 상기 기판의 왜곡 성분을 제 1 필드, 서브-필드 모델 또는 스캔 프로파일 지문 모델에 따라서 모델링하고, 및/또는 상기 기판의 이전의 층을 제조하기 위하여 사용된 리소그래피 장치의 노광 슬릿을 슬릿 지문 모델에 따라서 모델링하는 단계를 더 포함하는, 왜곡 성분 결정 방법.
KR1020227039830A 2020-05-14 2021-05-11 제품 피쳐에 대한 분해능상 계측을 사용하는 웨이퍼 정렬 방법 KR20220167387A (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
EP20174607.0 2020-05-14
EP20174607 2020-05-14
EP20208071.9 2020-11-17
EP20208071 2020-11-17
US202163180910P 2021-04-28 2021-04-28
US63/180,910 2021-04-28
PCT/EP2021/062417 WO2021228811A1 (en) 2020-05-14 2021-05-11 Method of wafer alignment using at resolution metrology on product features

Publications (1)

Publication Number Publication Date
KR20220167387A true KR20220167387A (ko) 2022-12-20

Family

ID=75904943

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227039830A KR20220167387A (ko) 2020-05-14 2021-05-11 제품 피쳐에 대한 분해능상 계측을 사용하는 웨이퍼 정렬 방법

Country Status (6)

Country Link
US (1) US20230168594A1 (ko)
JP (1) JP2023525964A (ko)
KR (1) KR20220167387A (ko)
CN (1) CN115516383A (ko)
TW (1) TWI825417B (ko)
WO (1) WO2021228811A1 (ko)

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG121818A1 (en) 2002-11-12 2006-05-26 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
EP1482373A1 (en) * 2003-05-30 2004-12-01 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
US7116398B2 (en) * 2003-11-07 2006-10-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8194242B2 (en) * 2005-07-29 2012-06-05 Asml Netherlands B.V. Substrate distortion measurement
NL2013417A (en) 2013-10-02 2015-04-07 Asml Netherlands Bv Methods & apparatus for obtaining diagnostic information relating to an industrial process.
US20180364590A1 (en) * 2015-12-17 2018-12-20 Asml Netherlands B.V. Polarization tuning in scatterometry
WO2018010928A1 (en) * 2016-07-11 2018-01-18 Asml Netherlands B.V. Method and apparatus for determining a fingerprint of a performance parameter

Also Published As

Publication number Publication date
CN115516383A (zh) 2022-12-23
WO2021228811A1 (en) 2021-11-18
TWI825417B (zh) 2023-12-11
TW202209018A (zh) 2022-03-01
JP2023525964A (ja) 2023-06-20
US20230168594A1 (en) 2023-06-01

Similar Documents

Publication Publication Date Title
US11493851B2 (en) Lithographic method and lithographic apparatus
EP3807720B1 (en) Method for configuring a semiconductor manufacturing process, a lithographic apparatus and an associated computer program product
CN114207527B (zh) 用于控制半导体制造过程的方法
KR20190095419A (ko) 피쳐의 위치를 결정하는 방법
EP3650939A1 (en) Predicting a value of a semiconductor manufacturing process parameter
JP7198912B2 (ja) 基板全体の面内ディストーション(ipd)を決定する方法、及びコンピュータプログラム
CN114270271B (zh) 用于控制光刻装置的方法
US20220050391A1 (en) Methods and apparatus for estimating substrate shape
TWI825417B (zh) 判定一基板之一失真分量之方法
US20220365450A1 (en) Non-correctable error in metrology
US11372343B2 (en) Alignment method and associated metrology device
EP3786711A1 (en) Non-correctable error in metrology
EP3848757A1 (en) Method for controlling a lithographic apparatus
CN116113887A (zh) 半导体制造厂中的污染的标识方法和装置
NL2024657A (en) Method for controlling a lithographic apparatus
WO2023160972A1 (en) Height measurement sensor
WO2020048693A1 (en) Method and apparatus for configuring spatial dimensions of a beam during a scan
NL2022659A (en) Alignment method and associated metrology device