KR20220158787A - 가변 루프 제어 피쳐 - Google Patents

가변 루프 제어 피쳐 Download PDF

Info

Publication number
KR20220158787A
KR20220158787A KR1020227037094A KR20227037094A KR20220158787A KR 20220158787 A KR20220158787 A KR 20220158787A KR 1020227037094 A KR1020227037094 A KR 1020227037094A KR 20227037094 A KR20227037094 A KR 20227037094A KR 20220158787 A KR20220158787 A KR 20220158787A
Authority
KR
South Korea
Prior art keywords
layers
multipliers
iterative
iterative adjustments
adjustments
Prior art date
Application number
KR1020227037094A
Other languages
English (en)
Inventor
벤카타나라야나 샹카라무르티
안톤 바리쉬니코프
브렛 베렌스
미테쉬 상비
슈앙 리우
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20220158787A publication Critical patent/KR20220158787A/ko

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/182Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by the machine tool function, e.g. thread cutting, cam making, tool direction control
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B33ADDITIVE MANUFACTURING TECHNOLOGY
    • B33YADDITIVE MANUFACTURING, i.e. MANUFACTURING OF THREE-DIMENSIONAL [3-D] OBJECTS BY ADDITIVE DEPOSITION, ADDITIVE AGGLOMERATION OR ADDITIVE LAYERING, e.g. BY 3-D PRINTING, STEREOLITHOGRAPHY OR SELECTIVE LASER SINTERING
    • B33Y30/00Apparatus for additive manufacturing; Details thereof or accessories therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B33ADDITIVE MANUFACTURING TECHNOLOGY
    • B33YADDITIVE MANUFACTURING, i.e. MANUFACTURING OF THREE-DIMENSIONAL [3-D] OBJECTS BY ADDITIVE DEPOSITION, ADDITIVE AGGLOMERATION OR ADDITIVE LAYERING, e.g. BY 3-D PRINTING, STEREOLITHOGRAPHY OR SELECTIVE LASER SINTERING
    • B33Y50/00Data acquisition or data processing for additive manufacturing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B33ADDITIVE MANUFACTURING TECHNOLOGY
    • B33YADDITIVE MANUFACTURING, i.e. MANUFACTURING OF THREE-DIMENSIONAL [3-D] OBJECTS BY ADDITIVE DEPOSITION, ADDITIVE AGGLOMERATION OR ADDITIVE LAYERING, e.g. BY 3-D PRINTING, STEREOLITHOGRAPHY OR SELECTIVE LASER SINTERING
    • B33Y50/00Data acquisition or data processing for additive manufacturing
    • B33Y50/02Data acquisition or data processing for additive manufacturing for controlling or regulating additive manufacturing processes
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/4097Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by using design data to control NC machines, e.g. CAD/CAM
    • G05B19/4099Surface or curve machining, making 3D objects, e.g. desktop manufacturing
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/49Nc machine tool, till multiple
    • G05B2219/49013Deposit layers, cured by scanning laser, stereo lithography SLA, prototyping
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/49Nc machine tool, till multiple
    • G05B2219/490233-D printing, layer of powder, add drops of binder in layer, new powder

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Human Computer Interaction (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

방법은 기판 프로세싱 시스템의 프로세싱 챔버에서 기판 상에 복수의 층들을 증착하기 위한 레시피를 식별하는 것을 포함한다. 레시피는 프로세스들의 세트의 반복들을 포함한다. 각각의 반복은 적어도 하나의 층을 증착하기 위한 것이다. 방법은 층들의 균일성을 야기하기 위해 반복 조정치들을 결정하는 것을 더 포함한다. 각각의 반복 조정치는 개개의 반복에 대응한다. 방법은 층들의 하나 이상의 층들의 두께의 조정을 야기하기 위한 승수들을 결정하는 것을 더 포함한다. 승수들의 각각의 승수는 대응하는 반복에 대응한다. 방법은 반복 조정치들 및 승수들을 저장된 반복 조정치들 및 저장된 승수들로서 저장하는 것을 더 포함한다. 층들은 레시피 및 저장된 반복 조정치들 및 저장된 승수들에 기초하여 기판들 상에 증착된다.

Description

가변 루프 제어 피쳐
[0001] 본 개시는 가변 루프 제어 피쳐(variable loop control feature)에 관한 것으로, 더욱 상세하게는, 기판 프로세싱을 위한 가변 루프 제어 피쳐에 관한 것이다.
[0002] 제품들은 제조 장비를 사용하여 하나 이상의 제조 프로세스들을 수행함으로써 생성된다. 예를 들면, 기판 프로세싱 장비는 기판 제조 프로세스들을 통해 기판들을 생성하기 위해 사용된다. 기판 제조 프로세스들은 기판 상에 층들을 증착하는 것을 포함한다.
[0003] 다음은 본 개시의 몇몇 양태들의 기본적인 이해를 제공하기 위한 본 개시의 단순화된 개요이다. 이 개요는 본 개시에 대한 광범위한 개관이 아니다. 본 개시의 핵심 또는 중요한 엘리먼트들을 식별하는 것도 또한 본 개시의 특정한 구현예들의 임의의 범위 또는 청구항들의 임의의 범위를 묘사하는 것도 의도되지 않는다. 이 개요의 유일한 목적은 이후 제시되는 더욱 상세한 설명에 대한 서론으로서 본 개시의 일부 개념들을 단순화된 형태로서 제시하는 것이다.
[0004] 본 개시의 양태에서, 방법은 기판 프로세싱 시스템의 프로세싱 챔버에서 기판 상에 복수의 층들을 증착하기 위한 레시피(recipe)를 식별하는 것을 포함한다. 레시피는 하나 이상의 프로세스들의 세트의 복수의 반복들을 포함한다. 복수의 반복들의 각각의 반복은 복수의 층들 중 적어도 하나의 층을 증착하기 위한 것이다. 방법은 복수의 층들의 균일성을 야기하기 위한 복수의 반복 조정치(adjustment)들을 결정하는 것을 더 포함한다. 복수의 반복 조정치들의 각각의 반복 조정치는 복수의 반복들의 개개의 반복에 대응한다. 방법은 복수의 층들 중 하나 이상의 층들의 두께의 조정을 야기하기 위한 하나 이상의 승수(multiplier)들을 결정하는 것을 더 포함한다. 하나 이상의 승수들의 각각의 승수는 복수의 반복들 중 대응하는 반복에 대응한다. 방법은 복수의 반복 조정치들 및 하나 이상의 승수들을 저장된 복수의 반복 조정치들 및 하나 이상의 저장된 승수들로서 저장하는 것을 더 포함한다. 복수의 층들은 레시피 및 저장된 복수의 반복 조정치들 및 하나 이상의 저장된 승수들에 기초하여 하나 이상의 기판들 상에 증착된다.
[0005] 본 개시의 다른 양태에서는, 실행시, 프로세싱 디바이스로 하여금, 기판 프로세싱 시스템의 프로세싱 챔버에서 기판 상에 복수의 층들을 증착하기 위한 레시피를 식별하는 것을 포함하는 동작들을 수행하게 하는 명령들을 저장하는 비일시적 머신 판독 가능 저장 매체가 제공된다. 레시피는 하나 이상의 프로세스들의 세트의 복수의 반복들을 포함한다. 복수의 반복들의 각각의 반복은 복수의 층들 중 적어도 하나의 층을 증착하기 위한 것이다. 동작들은 복수의 층들의 균일성을 야기하기 위한 복수의 반복 조정치들을 결정하는 것을 더 포함한다. 복수의 반복 조정치들의 각각의 반복 조정치는 복수의 반복들의 개개의 반복에 대응한다. 동작들은 복수의 층들 중 하나 이상의 층들의 두께의 조정을 야기하기 위한 하나 이상의 승수들을 결정하는 것을 더 포함한다. 하나 이상의 승수들의 각각의 승수는 복수의 반복들 중 대응하는 반복에 대응한다. 동작들은 복수의 반복 조정치들 및 하나 이상의 승수들을 저장된 복수의 반복 조정치들 및 하나 이상의 저장된 승수들로서 저장하는 것을 더 포함한다. 복수의 층들은 레시피 및 저장된 복수의 반복 조정치들 및 하나 이상의 저장된 승수들에 기초하여 하나 이상의 기판들 상에 증착된다.
[0006] 본 개시의 다른 양태에서는, 메모리 및 메모리에 커플링되는 프로세싱 디바이스를 포함하는 시스템이 제공된다. 프로세싱 디바이스는 프로세싱 챔버에서 기판 상에 복수의 층들을 증착하기 위한 레시피를 식별할 것이다. 레시피는 하나 이상의 프로세스들의 세트의 복수의 반복들을 포함한다. 복수의 반복들의 각각의 반복은 복수의 층들 중 적어도 하나의 층을 증착하기 위한 것이다. 프로세싱 디바이스는 추가로, 복수의 층들의 균일성을 야기하기 위한 복수의 반복 조정치들을 결정할 것이다. 복수의 반복 조정치들의 각각의 반복 조정치는 복수의 반복들의 개개의 반복에 대응한다. 프로세싱 디바이스는 추가로, 복수의 층들 중 하나 이상의 층들의 두께의 조정을 야기하기 위한 하나 이상의 승수들을 결정할 것이다. 하나 이상의 승수들의 각각의 승수는 복수의 반복들 중 대응하는 반복에 대응한다. 프로세싱 디바이스는 추가로, 복수의 반복 조정치들 및 하나 이상의 승수들을 저장된 복수의 반복 조정치들 및 하나 이상의 저장된 승수들로서 저장할 것이다. 복수의 층들은 레시피 및 저장된 복수의 반복 조정치들 및 하나 이상의 저장된 승수들에 기초하여 하나 이상의 기판들 상에 증착된다.
[0007] 본 개시는 첨부의 도면들의 도면들에서 제한으로서가 아니라 예로서 예시된다.
[0008] 도 1a는, 특정 실시예들에 따른, 예시적인 시스템 아키텍쳐를 예시하는 블록도이다.
[0009] 도 1b는, 특정 실시예들에 따른, 반복 조정치들 및 승수들과의 사용을 위한 레시피이다.
[0010] 도 1c는, 특정 실시예들에 따른, 반복 조정치들 및 승수들을 포함하는 오프셋 테이블이다.
[0011] 도 1d는, 특정 실시예들에 따른, 반복 조정치들 및 승수들과의 사용을 위한 공차 테이블(tolerance table)이다.
[0012] 도 2a 내지 도 2c는, 특정 실시예들에 따른, 기판들을 생성하기 위해 반복 조정치들 및 승수들을 사용하는 것과 관련되는 방법들의 흐름도들이다.
[0013] 도 3은, 특정 실시예들에 따른, 컴퓨터 시스템을 예시하는 블록도이다.
[0014] 가변 루프 제어 피쳐에 관한 기술들이 본원에서 설명된다.
[0015] 제품들은 하나 이상의 제조 프로세스들을 수행함으로써 생성된다. 예를 들면, 기판들(예를 들면, 웨이퍼들, 반도체들 등)은 하나 이상의 기판 제조 프로세스들을 수행함으로써 생성된다. 몇몇 예들에서, 기판 프로세싱 시스템의 프로세싱 챔버들은 기판 상에 층들을 증착하기 위해 사용된다. 레시피는 기판을 생성하기 위한 프로세스들을 포함한다. 몇몇 예들에서, 레시피는 프로세스들의 동일한 세트의 루프들(예를 들면, 반복들)을 포함한다. 예를 들면, 기판 상에 층을 증착하기 위해 프로세스들의 세트가 사용될 수 있고, 기판 상에 다수의 층들을 증착하기 위해 프로세스들의 동일한 세트가 루프들(예를 들면, 반복됨, 다수의 반복들)에서 실행될 수 있다. 층들이 기판 상에 증착됨에 따라, 층들의 특성(property)들이 변경된다. 예를 들면, 층들이 기판 상에 증착됨에 따라, 기판의 최신 층들은 챔버 상단에 더 가깝고, 챔버에서의 임피던스 특성들이 변경되며, 히터가 최신 층들과 접촉하는 방식이 상이하며, 최신 층들의 결과적인 두께는 그 다른 층들과는 상이하다.
[0016] 종래에, 동일한 두께의 층들을 유지하기 위해, 레시피의 상이한 루프들은 상이한 레시피들로 분할되고 각각의 루프의 파라미터들은 균일한 두께를 유지하기 위해 다른 루프들과는 상이하도록 조정된다. 예를 들면, 기판의 50 개의 층들 각각이 레시피의 별개의 루프에 의해 생성되고 각각의 층이 상이한 두께를 갖는 경우, 레시피는 50 개의 상이한 레시피들로 분할되고 50 개 레시피들 각각의 파라미터들은 균일한 두께를 유지하기 위해 독립적으로 조정된다. 동일한 레시피를 다수 회 반복하는 대신, 다수의 별개의 레시피들이 실행된다. (예를 들면, 동일한 레시피를 반복하는 대신) 다수의 별개의 레시피들의 실행은, 하나의 루프식 레시피(looped recipe)를 실행하는 것과 비교하여, 에너지 소비, 프로세서 오버헤드, 및 사용되는 대역폭을 증가시킨다. 다수의 별개의 레시피들의 실행은, 하나의 루프식 레시피를 실행하는 것과 비교하여, 에러, 기판들에 대한 손상, 및 기판 프로세싱 시스템에 대한 손상을 증가시킨다. 다수의 별개의 레시피들을 생성, 문제 해결, 유지 보수, 테스팅, 및 업데이트하는 것은, 하나의 루프식 레시피를 사용하는 것과 비교하여, 더 많은 컴퓨팅 시간, 직원 시간(personnel time), 및 리소스들을 사용한다.
[0017] 본원에서 개시되는 디바이스들, 시스템들 및 방법들은 레시피(예를 들면, 루프식 레시피) 및 반복 조정치들 및 승수들을 사용하여 기판들을 생성하기 위한 가변 루프 제어 피쳐를 제공한다. 프로세싱 디바이스는 기판 상에 층들을 증착하기 위한 레시피(예를 들면, 루프식 레시피)를 식별한다. 레시피는 제조 파라미터들을 포함하는 프로세스들의 세트의 반복들(예를 들면, 루프들)을 갖는다. 프로세스들의 세트의 각각의 반복은 기판 상에 하나 이상의 층들을 증착하기 위한 것이다.
[0018] 프로세싱 디바이스는 기판의 층들의 균일성을 야기하기 위한 (예를 들면, 프로세스들의 세트의 반복에 대응하는) 반복 조정치들을 결정한다. 각각의 반복 조정치는, 가법 연산(additive operation)들(예를 들면, 값의 가산, 값의 감산)을 수행함으로써, 프로세스들의 세트의 개개의 반복의 하나 이상의 제조 파라미터들을 업데이트한다. 레시피에 의한 반복 조정치들의 사용은 균일한 층들(예를 들면, 동일한 두께의 층들, 동일한 면간 간격(interplanar spacing)(거리)의 층들, 층들이 균일함 등)을 갖는 기판을 생성할 것이다.
[0019] 프로세싱 디바이스는 기판의 층들의 두께의 조정을 야기하기 위한 승수들을 결정한다. 몇몇 실시예들에서, 각각의 승수는 승법 연산(multiplicative operation)(예를 들면, 제조 파라미터의 값을 0.75로 승산함, 제조 파라미터의 값을 1.2로 승산함 등)에 의해 프로세스들의 세트의 대응하는 반복의 제조 파라미터들 중 적어도 하나를 업데이트한다.
[0020] 몇몇 실시예들에서, 반복 조정치들 및 승수들을 포함하는 오프셋 테이블이 생성된다(예를 들면, 프로세싱 디바이스가 오프셋 테이블의 생성을 야기함, 프로세싱 디바이스가 오프셋 테이블을 생성함 등). 레시피 및 (예를 들면, 오프셋 테이블의) 반복 조정치들 및 승수들은 기판들을 생성하기 위해 사용된다.
[0021] 본 개시의 양태들은 기술적 이점들을 초래한다. 몇몇 예들에서, 프로세스들(예를 들면, 여섯 개의 프로세스들)의 세트의 레시피는 기판 상에 산화물 및 질화물을 증착하기 위한 것이고 프로세스들의 세트는 (예를 들면, 기판 상에서 100 개의 층들을 생성하기 위해 50 회) 반복된다. 몇몇 예들에서, 프로세스들의 세트는 기판 프로세싱 챔버들의 각각의 챔버(예를 들면, 일곱 개의 챔버들)에서 반복된다. 일곱 개의 챔버들에서 50 회 반복되는 여섯 개의 프로세스들의 경우, 이것은 2,100 개의 프로세스들과 동일하다. 종래에, 2,100 개의 프로세스들은, 생성되는, 테스트되는, 유지 보수되는 등의 제조 파라미터들을 각각 구비하는 별개의 레시피들(예를 들면, 최대 2,100 개의 레시피들)로 분할된다. 본 개시는, 다수의 별개의 레시피들(예를 들면, 최대 2,100 개의 레시피들) 대신, 하나의 레시피, 반복 조정치들, 및 승수들을 포함한다. 반복 조정치들 및 승수들(예를 들면, 오프셋 테이블)과의 하나의 레시피의 사용은, 다수의 상이한 레시피들의 생성, 테스팅, 유지 보수, 및 사용과 비교하여, 에너지 소비, 사용되는 대역폭, 프로세서 오버헤드 등에서 상당한 감소를 초래한다. 본 개시는 명시된 두께들(예를 들면, 균일한 두께들, 명시된 다양한 두께들 등)의 층들을 생성하기 위해 반복 조정치들 및 승수들을 결정한다(예를 들면, 오프셋 테이블의 생성을 야기한다). 본 개시는 기판들에 대한 손상을 감소시키고, 명시된 두께들을 갖는 기판 층들의 순응도를 증가시키고, 기판 프로세싱 시스템의 손상을 감소시킨다. 본 개시는, 다수의 별개의 레시피들을 사용하는 것과 비교하여, 직원의 시간, 컴퓨팅 시간, 및 리소스들을 감소시킨다.
[0022] 도 1a는, 특정 실시예들에 따른, 시스템(100)(예를 들면, 예시적인 시스템 아키텍쳐)을 예시하는 블록도이다. 시스템(100)은 클라이언트 디바이스(120), 제조 장비(124), 센서들(126), 계측 장비(128), 서버(110), 및 데이터 저장소(140)를 포함한다.
[0023] 클라이언트 디바이스(120), 제조 장비(124), 센서들(126), 계측 장비(128), 및 서버(110)는 반복 조정치들(162) 및 승수들(164)을 결정하기(예를 들면, 오프셋 테이블(160)의 생성을 야기하기) 위해 네트워크(130)를 통해 서로 커플링된다. 몇몇 실시예들에서, 네트워크(130)는, 클라이언트 디바이스(120)에게, 서버(110), 데이터 저장소(140), 및 다른 공개적으로 이용 가능한 컴퓨팅 디바이스들에 대한 액세스를 제공하는 공공 네트워크이다. 몇몇 실시예들에서, 네트워크(130)는, 클라이언트 디바이스(120)에게, 제조 장비(124), 센서들(126), 계측 장비(128), 데이터 저장소(140), 및 다른 사적으로 이용 가능한 컴퓨팅 디바이스들에 대한 액세스를 제공하는 사설 네트워크이다. 네트워크(130)는 하나 이상의 WAN(Wide Area Network)들, LAN(Local Area Network)들, 유선 네트워크들(예를 들면, 이더넷(Ethernet) 네트워크), 무선 네트워크들(예를 들면, 802.11 네트워크 또는 와이파이(Wi-Fi) 네트워크), 셀룰러 네트워크들(예를 들면, LTE(Long Term Evolution) 네트워크), 라우터들, 허브들, 스위치들, 서버 컴퓨터들, 클라우드 컴퓨팅 네트워크들, 및/또는 이들의 조합을 포함한다.
[0024] 서버(110)는 랙마운트 서버, 라우터 컴퓨터, 서버 컴퓨터, 퍼스널 컴퓨터, 메인프레임 컴퓨터, 랩탑 컴퓨터, 태블릿 컴퓨터, 데스크탑 컴퓨터, GPU(Graphics Processing Unit), 가속기, ASIC(Application-Specific Integrated Circuit)(예를 들면, TPU(Tensor Processing Unit)) 등과 같은 하나 이상의 컴퓨팅 디바이스들을 포함한다. 몇몇 실시예들에서, 서버(110)는, (예를 들면, 데이터 저장소(140), 클라이언트 디바이스(120), 계측 장비(128), 센서들(126) 등으로부터) 입력(예를 들면, 레시피(150), 공차 테이블(170), 계측 데이터(172), 입력 데이터(176) 등)을 수신하고 입력을 프로세싱하여 출력을 생성하고 그리고 출력(예를 들면, 오프셋 테이블(160), 반복 조정치들(162), 승수들(164), 감도 인자(sensitivity factor)들(174) 등)을 (예를 들면, 데이터 저장소(140), 클라이언트 디바이스(120), 제조 장비(124) 등으로) 제공하는, 가변 루프 제어 컴포넌트(112)를 포함한다. 몇몇 실시예들에서, 가변 루프 제어 컴포넌트(112)는 도 2a 내지 도 2c의 방법들(200A 내지 200C)의 하나 이상의 동작들을 수행하기 위해 사용된다. 몇몇 실시예들에서, 서버(110)는 반도체 프로세싱 시스템의 컨트롤러이고 제조 장비(124)를 제어하기 위해 사용된다.
[0025] 클라이언트 디바이스(120)는 PC(Personal Computer)들, 랩탑들, 이동 전화들, 스마트폰들, 태블릿 컴퓨터들, 넷북 컴퓨터들, 네트워크 연결 텔레비전들("스마트 TV"), 네트워크 연결 미디어 플레이어들(예를 들면, 블루 레이 플레이어), 셋탑박스, OTT(Over-the-Top) 스트리밍 디바이스들, 오퍼레이터 박스들 등과 같은 컴퓨팅 디바이스를 포함한다. 몇몇 실시예들에서, 클라이언트 디바이스(120)는 입력을 수신하고 출력을 디스플레이하기 위해 GUI(Graphical User Interface)를 디스플레이한다. 몇몇 실시예들에서, 클라이언트 디바이스(120)는 입력(예를 들면, 유저 입력, 레시피(150), 공차 테이블(170), 계측 데이터(172), 입력 데이터(176) 등)을 수신하고, 입력을 (예를 들면, 가변 루프 제어 컴포넌트(112)로, 데이터 저장소(140)로) 송신하고, 출력(예를 들면, 오프셋 테이블(160), 반복 조정치들(162), 승수들(164), 감도 인자들(174) 등)을 수신하고, 그리고 출력을 디스플레이한다.
[0026] 몇몇 실시예들에서, 제조 장비(124)는 기판 프로세싱 시스템의 일부이다. 제조 장비(124)는 엔클로저 시스템(enclosure system)(예를 들면, 기판 캐리어, FOUP(front opening unified pod), 오토티치(autoteach) FOUP, 프로세스 키트 엔클로저 시스템, 기판 엔클로저 시스템 등), SSP(side storage pod), 정렬기 디바이스(aligner device), 팩토리 인터페이스(factory interface)(예를 들면, EFEM(equipment front end module)), 로드 락(load lock), 이송 챔버, 하나 이상의 프로세싱 챔버들, 로봇 암(예를 들면, 이송 챔버에 배치됨, 전면 인터페이스에 배치됨 등) 등 중 하나 이상을 포함한다. 엔클로저 시스템, SSP, 및 로드 락은 팩토리 인터페이스에 장착되고, 팩토리 인터페이스에 배치되는 로봇 암은 엔클로저 시스템, SSP, 로드 락, 및 팩토리 인터페이스 사이에서 내용물(content)(예를 들면, 기판들, 프로세스 키트 링(process kit ring)들, 캐리어들, 검증 웨이퍼(validation wafer) 등)을 이송할 것이다. 정렬기 디바이스는 내용물을 정렬하기 위해 팩토리 인터페이스에 배치된다. 로드 락 및 프로세싱 챔버들은 이송 챔버에 장착되고, 이송 챔버에 배치되는 로봇 암은 로드 락, 프로세싱 챔버들, 및 이송 챔버 사이에서 내용물(예를 들면, 기판들, 프로세스 키트 링들, 캐리어들, 검증 웨이퍼 등)을 이송할 것이다.
[0027] 제조 장비(124)는 레시피(150), 반복 조정치들(162), 및 승수들(164)(예를 들면, 오프셋 테이블(160))에 기초하여 기판들을 생성하기 위한 하나 이상의 프로세싱 챔버들을 포함한다. 레시피(150)는 기판 상에 층들을 증착하기 위해 다수의 반복들(156)에 걸쳐 반복되는 (예를 들면, 제조 파라미터들(154)을 포함하는) 프로세스들의 세트(152)를 포함한다. 반복 조정치들(162)(예를 들면, 기판이 균일한 층들을 갖게 하기 위한 가법 연산들) 및 승수들(164)(예를 들면, 입력 데이터(176)에 기초하여 기판의 층들의 두께를 선택적으로 변경하기 위한 승법 연산들)이 (예를 들면, 오프셋 테이블(160)에) 저장된다.
[0028] 센서들(126)은 제조 장비(124)와 관련되는(예를 들면, 제조 장비(124)에 의해 기판들을 생성하는 것과 관련되는) 센서 데이터(178)(예를 들면, 센서 값들, 트레이스 데이터)를 제공한다. 몇몇 실시예들에서, 센서 데이터(178)는 제조 장비(124)의 실제 제조 파라미터들(154)을 결정하기 위해 사용된다. 몇몇 실시예들에서, 센서 데이터(178)는 장비 건전성(equipment health) 및/또는 제품 건전성(예를 들면, 기판 품질)을 위해 사용된다. 제조 장비(124)는 일정 시간 기간에 걸쳐 레시피(150)(예를 들면, 런(run)들을 수행하는 것, 루프들을 수행하는 것, 반복들(156)을 수행하는 것, 프로세스들의 세트(152)를 반복하는 것 등), 반복 조정치들(162), 및 승수들(164)(예를 들면, 오프셋 테이블(160))에 따라 기판들을 생성한다. 센서 데이터(178)(예를 들면, 트레이스 데이터)는 (예를 들면, 레시피(150) 또는 런의 적어도 일부에 대응하는) 일정 시간 기간에 걸쳐 상이한 센서들(126)로부터 수신된다.
[0029] 몇몇 실시예들에서, 센서 데이터(178)는 온도(예를 들면, 히터 온도), 간격(SP), 압력, HFRF(High Frequency Radio Frequency), LFRF(Low Frequency Radio Frequency), RF(Radio Frequency) 전력, ESC(Electrostatic Chuck)의 전압, 전류, 흐름(flow), 전력, 전압 등 중 하나 이상의 값들을 포함한다. 몇몇 실시예들에서, 센서 데이터(178)는 하드웨어 파라미터들(예를 들면, 제조 장비(124)의 설정들 또는 컴포넌트들(예를 들면, 사이즈, 타입 등)) 또는 제조 장비의 프로세스 파라미터들과 같은 제조 파라미터들(154)과 관련되거나 또는 그들을 나타낸다. 센서 데이터(178)는 제조 장비(124)가 제조 프로세스들을 수행하고 있는 동안 제공된다(예를 들면, 제품들을 프로세싱할 때의 장비 판독치들). 몇몇 실시예들에서, 센서 데이터(178)는 각각의 기판 및/또는 층에 대해 상이하다.
[0030] 계측 장비(128)는 제조 장비(124)의 제품들에 대응하는 계측 데이터(172)를 결정하기 위해 사용된다. 몇몇 실시예들에서, 제조 장비(124)가 기판 상에 하나 이상의 층들을 증착한 이후, 계측 장비(128)는 층들의 두께들, 층들의 균일성, 층의 층간 간격 등 중 하나 이상을 결정하기 위해 사용된다. 몇몇 실시예들에서, 계측 장비(128)는 이미징 디바이스를 포함한다.
[0031] 데이터 저장소(140)는 메모리(예를 들면, 랜덤 액세스 메모리), 드라이브(예를 들면, 하드 드라이브, 플래시 드라이브), 데이터베이스 시스템, 또는 데이터를 저장할 수 있는 다른 타입의 컴포넌트 또는 디바이스이다. 데이터 저장소(140)는 다수의 컴퓨팅 디바이스들(예를 들면, 다수의 서버 컴퓨터들)에 걸쳐 있는 다수의 저장 컴포넌트들(예를 들면, 다수의 드라이브들 또는 다수의 데이터베이스들)를 포함한다. 데이터 저장소(140)는 레시피(150), 오프셋 테이블(160), 반복 조정치들(162), 승수들(164), 공차 테이블(170), 계측 데이터(172), 감도 인자들(174), 입력 데이터(176), 및/또는 센서 데이터(178) 중 하나 이상을 저장한다.
[0032] 레시피(150)는 제조 파라미터들(154)을 포함하는 프로세스들의 세트(152)를 포함한다. 레시피(150)는 반복들(156)(예를 들면, 루프들, 프로세스들의 세트(152)의 되풀이(repetition)들)을 더 포함한다. 제조 파라미터들(154)은 하드웨어 파라미터들(예를 들면, 특정 컴포넌트들을 사용하는 것, 컴포넌트들에 의해 실행되는 펌웨어, 컴포넌트들에 의해 실행되는 소프트웨어 등) 및/또는 프로세스 파라미터들(예를 들면, 온도, 압력, 흐름, 레이트, 전류, 전압, 가스 흐름, 리프트 속도 등)을 포함한다. 레시피(150)는 도 1b와 관련하여 추가로 설명된다.
[0033] 반복 조정치들(162)(예를 들면, 층들이 균일하게 되게 하기 위한 제조 파라미터들(154)과 관련되는 가법 연산들) 및 승수들(164)(예를 들면, 층들이 특정한 두께들을 갖게 하기 위한 제조 파라미터들(154)과 관련되는 승법 연산들)이 (예를 들면, 오프셋 테이블(160)에서) 저장된다. 오프셋 테이블(160), 반복 조정치들(162), 및 승수들(164)은 도 1c와 관련하여 추가로 설명된다.
[0034] 공차 테이블(170)은 각각의 제조 파라미터(154)에 대한 디폴트 값, 최소 값, 및/또는 최대 값 중 하나 이상을 포함한다. 공차 테이블(170)은 도 1d와 관련하여 추가로 설명된다.
[0035] 계측 데이터(172)는 이미지 데이터, 두께 값들, 층간 간격 값들, 균일성 값들, 성능 데이터, 기판의 층들이 임계 값들을 충족하는지의 여부, 기판의 층들에서의 변화의 표시, 기판들의 특성 데이터, 수율, 기판 공간 필름 특성들, 치수들(예를 들면, 두께, 높이 등), 유전 상수, 도펀트 농도, 밀도, 결함들(예를 들면, 기판 및/또는 층이 임계 특성을 충족하는지의 여부, 기판 및/또는 층이 임계 특성을 충족하지 않는 이유) 등을 포함한다. 몇몇 실시예들에서, 계측 데이터(172)는 완성된(finished) 또는 반-완성된(semi-finished) 기판들에 대한 것이다. 몇몇 실시예들에서, 계측 데이터(172)는 각각의 기판 및/또는 층에 대해 상이하다.
[0036] 감도 인자들(174)은 기판의 층의 두께에서의 일정량의 변화를 야기하기 위한 제조 파라미터들(154)의 조정의 지시량(indication amount)들을 포함한다.
[0037] 입력 데이터(176)는 클라이언트 디바이스(120)로부터 수신되는 유저 입력(예를 들면, 레시피(150), 공차 테이블, 층들의 특정한 두께들 등)을 포함한다. 몇몇 예들에서, 입력 데이터(176)는 하나 이상의 저부(bottom) 층들에 대한 더 큰 두께 및 하나 이상의 상부 층들에 대한 더 작은 두께를 나타낸다.
[0038] 제한이 아니라 예시의 목적을 위해, 본 개시의 양태들은 기판들 상에서의 층들의 증착을 야기하기 위한 반복 조정치들(162) 및 승수들(164)을 결정하는 것을 설명한다. 몇몇 구현예들에서, 반복 조정치들(162) 및 승수들(164)을 결정하기(예를 들면, 오프셋 테이블(160)의 생성을 야기하기) 위해, 휴리스틱(heuristic) 모델 또는 규칙 기반의 모델이 사용된다. 레시피(150)에 대한 반복 조정치들(162) 및 승수들(164)을 결정하기(예를 들면, 오프셋 테이블(160)의 생성을 야기하기) 위해, 가변 루프 제어 컴포넌트(112)는 계측 데이터(172)를 모니터링한다. 몇몇 실시예들에서, 반복 조정치들(162) 및 승수들(164)을 결정하기(예를 들면, 오프셋 테이블(160)을 결정하기) 위해, 트레이닝된 머신 러닝 모델이 사용된다. 과거의 레시피들 및 과거 계측 데이터는 데이터 입력으로서 사용되고, (예를 들면, 균일한 층들의, 특정한 두께들의 층들의) 과거의 반복 조정치들 및 과거의 승수들(예를 들면, 과거의 오프셋 테이블들)은 머신 러닝 모델을 트레이닝시키기 위한 목표 출력으로서 사용된다. 레시피(150) 및 계측 데이터(172)는 트레이닝된 머신 러닝 모델에 대한 입력으로서 사용되고, 반복 조정치들(162) 및 승수들(164)(예를 들면, 오프셋 테이블(160))는 트레이닝된 머신 러닝 모델의 출력으로부터 결정된다.
[0039] 몇몇 실시예들에서, 클라이언트 디바이스(120) 및 서버(110)의 기능들은 더 적은 수의 머신들에 의해 제공된다. 몇몇 실시예들에서, 클라이언트 디바이스(120) 및 서버(110)는 단일의 머신으로 통합된다.
[0040] 몇몇 실시예들에서, 클라이언트 디바이스(120)에 의해 수행되는 것으로 설명되는 하나 이상의 기능들은, 적절한 경우, 서버(110) 상에서 또한 수행될 수 있다. 또한, 특정한 컴포넌트에 귀속되는 기능성(functionality)은 함께 동작하는 상이한 또는 다수의 컴포넌트들에 의해 수행될 수 있다. 예를 들면, 몇몇 실시예들에서, 서버(110)는 반복 조정치들(162) 및 승수들(164)을 결정하고(예를 들면, 오프셋 테이블(160)의 생성을 야기하고), 몇몇 실시예들에서, 클라이언트 디바이스는 반복 조정치들(162) 및 승수들(164)을 결정한다(예를 들면, 오프셋 테이블(160)의 생성을 야기한다).
[0041] 또한, 특정한 컴포넌트의 기능들은 함께 동작하는 상이한 또는 다수의 컴포넌트들에 의해 수행될 수 있다. 몇몇 실시예들에서, 서버(110)는 적절한 애플리케이션 프로그래밍 인터페이스(application programming interface; API)들을 통해 다른 시스템들 또는 디바이스들에 제공되는 서비스로서 액세스된다.
[0042] 실시예들에서, "유저(user)"는 단일의 개인으로서 표현된다. 그러나, 본 개시의 다른 실시예들은 "유저"가 복수의 유저들 및/또는 자동화된 소스에 의해 제어되는 엔티티인 것을 포괄한다. 예를 들면, 관리자들 그룹으로서 연합되는 개개의 유저들의 세트는 "유저"로서 간주된다.
[0043] 본 개시의 일부분들이 기판 프로세싱 시스템의 기판 챔버에서 기판 프로세싱을 통해 기판 상에 특정한 두께들의 층들을 증착하는 것을 언급하지만, 몇몇 실시예들에서, 본 개시는 다른 반복 프로세스들에 일반적으로 적용된다.
[0044] 도 1b는, 특정 실시예들에 따른, 반복 조정치들 및 승수들(예를 들면, 도 1a 및 도 1c의 오프셋 테이블(160), 반복 조정치들(162), 및/또는 승수들(164))과의 사용을 위한 레시피(150)이다. 몇몇 실시예들에서, 레시피(150)는 다수의 반복들(156)(예를 들면, 루프들) 동안 반복되는 프로세스들의 세트(152)를 포함한다. 몇몇 예들에서, 프로세스들의 세트(152)는 프로세스들 A-F를 포함하는 프로세스들의 세트(152)를 포함하는데, 여기서 프로세스들 A-C는 기판 상에 제1 타입(예를 들면, 질화물)의 층을 증착하기 위해 사용되고 프로세스들 D-F는 기판 상에 제2 타입(예를 들면, 산화물)의 층을 증착하기 위해 사용된다. 프로세스들의 세트(152)의 각각의 프로세스는 제조 파라미터들(154)을 포함한다. 예를 들면, 프로세스 A는 제조 파라미터들(154A-B)을 포함한다.
[0045] 반복들(156)은 특정한 프로세스들 및 되풀이들의 횟수를 나타낸다. 몇몇 예들에서, 반복들은 50의 루프 카운트(예를 들면, 반복들의 횟수)에 대한 프로세스 A로부터 프로세스 F로의 루프를 나타낸다(예를 들면, 프로세스들 A-F 각각을 수행함, 프로세스들의 세트(152)를 수행함).
[0046] 도 1c는, 특정 실시예들에 따른, 오프셋 테이블(160)이다. 오프셋 테이블(160)은 제조 파라미터들(154)(예를 들면, 프로세스에 대응함, 프로세스들의 세트(152)에 대응함), 반복 조정치들(162), 및 승수들(164)을 나타낸다.
[0047] 반복 조정치들(162)은 반복들(156) 각각에 대한 제조 파라미터(154)(예를 들면, 프로세스들의 세트(152)의 프로세스에 대응함)에 대한 가법 연산(예를 들면, 가산, 감산)을 나타낸다. 몇몇 예들에서, 프로세스들의 세트(152)의 프로세스 A의 경우, 제조 파라미터(154A)는 제1 반복에서 0만큼 증가되고, 제2 반복에서 0만큼 증가되고, 제3 반복에서 10만큼 증가되고, 제4 반복에서 10만큼 증가되고, 그리고 제5 반복에서 10만큼 증가된다. 몇몇 예들에서, 프로세스들의 세트(152)의 프로세스 B의 경우, 제조 파라미터(154B)는 제1 반복에서 0만큼 증가되고, 제2 반복에서 5만큼 증가되고, 제3 반복에서 10만큼 증가되고, 제4 반복에서 15만큼 증가되고, 그리고 제5 반복에서 20만큼 증가된다. 몇몇 예들에서, 프로세스들의 세트(152)의 프로세스 C의 경우, 제조 파라미터(154C)는 제1 반복에서 5만큼 감소되고(예를 들면, -5만큼 증가되고), 제2 반복에서 5만큼 감소되고, 제3 반복에서 0만큼 증가되고, 제4 반복에서 0만큼 증가되고, 그리고 제5 반복에서 5만큼 증가된다. 반복 조정치들(162)은 기판의 층들이 균일해지게(예를 들면, 동일한 두께, 동일한 층간 간격 등) 하기 위해 사용된다.
[0048] 승수들(164)은 반복들(156) 각각에 대한 (예를 들면, 프로세스들의 세트의 프로세스에 대응하는) 제조 파라미터(154)에 대한 승법 연산을 나타낸다. 몇몇 실시예들에서, 승수들(164)은, 반복 조정치들(162)이 제조 파라미터들(154)에 적용된 이후, 제조 파라미터들(154)에 적용된다. 몇몇 예들에서, 프로세스들의 세트(152)의 프로세스 A의 경우, 제조 파라미터(154A)는 제1 반복에서 2로 승산되고, 제2 반복에서 1로 승산되고, 제3 반복에서 1로 승산되고, 그리고 제4 반복에서 0.4로 승산된다. 몇몇 예들에서, 프로세스들의 세트(152)의 프로세스 B의 경우, 제조 파라미터(154B)는 반복들(156) 각각에서 1로 승산된다(예를 들면, 제조 파라미터(154B)는 제1, 제2, 제3, 및 제4 반복들에 의해 생성되는 층들의 두께를 변경하기 위해 변경되지 않는다). 몇몇 예들에서, 프로세스들의 세트(152)의 프로세스 C의 경우, 제조 파라미터(154C)는 제1 반복에서 1.5로 승산되고, 제2 반복에서 1로 승산되고, 제3 반복에서 1로 승산되고, 그리고 제4 반복에서 1로 승산된다. 승수들(164)은 기판의 특정한 층들이 특정한 두께들을 갖게 하기 위해 사용된다. 특정한 두께는 클라이언트 디바이스(120)를 통해 수신되는 입력 데이터(176)의 일부일 수도 있다.
[0049] 도 1d는, 특정 실시예들에 따른, 반복 조정치들 및 승수들(예를 들면, 도 1a 및 도 1c의 오프셋 테이블(160), 반복 조정치들(162), 및/또는 승수들(164))과의 사용을 위한 공차 테이블(170)이다. 몇몇 실시예들에서, 공차 테이블(170)은 제조 파라미터(154)의 디폴트 값(예를 들면, "디폴트"), 최소 값(예를 들면, "최소") 및/또는 최대 값(예를 들면, "최대") 중 하나 이상을 나타낸다. 몇몇 예들에서, 제조 파라미터(154A)는 10의 디폴트 값, 0의 최소 값, 및 100의 최대 값을 갖는다. 몇몇 예들에서, 제조 파라미터(154B)는 50의 디폴트 값, 0의 최소 값, 및 100의 최대 값을 갖는다. 몇몇 예들에서, 제조 파라미터(154C)는 20의 디폴트 값, 0의 최소 값, 및 50의 최대 값을 갖는다.
[0050] 몇몇 실시예들에서, 가변 루프 제어 컴포넌트(114)는 제조 파라미터(154)에 반복 조정치(162)를 가산하여 합을 생성하고 그 합을 승수(164)로 승산하여 곱(product)을 생성한다. 곱은 공차 테이블(170)에 비교된다. 곱이 최소 값 미만이 아니고 그리고 최대 값을 초과하지 않는 것에 응답하여, 그 곱이 사용된다. 곱이 최소 값 미만인 것에 응답하여, 최소 값이 사용된다. 곱이 최대 값을 초과하는 것에 응답하여, 최대 값이 사용된다. 몇몇 실시예들에서, 곱이 최소 값 미만이거나 또는 최대 값을 초과하는 것에 응답하여, 정정 액션이 수행된다(예를 들면, 경고가 클라이언트 디바이스(120)로 전송됨, 레시피(150)의 실행이 중지됨, 제조 장비(124)가 중단됨 등).
[0051] 도 2a 내지 도 2c는, 특정 실시예들에 따른, 기판들을 생성하기 위해 반복 조정치들 및 승수들(예를 들면, 도 1a 및/또는 1c의 오프셋 테이블(160), 반복 조정치들(162), 및/또는 승수들(164))을 사용하는 것과 관련되는 방법들(200A-C)의 흐름도들이다. 방법들(200A-C)은, 하드웨어(예를 들면, 회로부(circuitry), 전용 로직, 프로그래머블 로직, 마이크로코드, 프로세싱 디바이스 등), 소프트웨어(예컨대 프로세싱 디바이스, 범용 컴퓨터 시스템, 또는 전용 머신 상에서 실행되는 명령들), 펌웨어, 마이크로코드, 또는 이들의 조합을 포함하는 프로세싱 로직에 의해 수행된다. 몇몇 실시예들에서, 방법들(200A-C)은, 부분적으로, 서버(110)(예를 들면, 가변 루프 제어 컴포넌트(112))에 의해 수행된다. 몇몇 실시예들에서, 비일시적 저장 매체는, (예를 들면, 서버(110) 등의) 프로세싱 디바이스에 의한 실행시, 프로세싱 디바이스로 하여금, 방법들(200A-C) 중 하나 이상을 수행하게 하는 명령들을 저장한다.
[0052] 설명의 간략화를 위해, 방법들(200A-C)은 일련의 동작들로서 묘사되고 설명된다. 그러나, 본 개시에 따른 동작들은 다양한 순서들로 및/또는 동시에 그리고 본원에서 제시되고 설명되지 않는 다른 동작들과 함께 발생할 수 있다. 더구나, 몇몇 실시예들에서, 개시된 청구대상에 따른 방법들(200A-C)을 구현하기 위해 예시된 동작들 모두가 수행되는 것은 아니다. 또한, 당업자들은, 방법들(200A-C)이, 대안적으로, 이벤트들 또는 상태 다이어그램을 통해 일련의 상호 관련된 상태들로서 표현될 수 있다는 것을 이해하고 인식할 것이다.
[0053] 도 2a를 참조하면, 방법(200A)의 블록(210)에서, 프로세싱 로직은 (예를 들면, 기판 프로세싱 시스템의 프로세싱 챔버에서) 기판 상에 층들을 증착하기 위한 프로세스의 세트의 반복들(예를 들면, 루프들)을 포함하는 레시피를 식별한다. 각각의 반복은 기판 상에 층들 중 적어도 하나의 층을 증착하기 위한 것이다. 프로세스들의 세트는 기판 상에 적어도 하나의 층을 증착하기 위한 제조 파라미터들(예를 들면, 가스 유량(gas flow rate), HFRF 전력, LFRF 전력, 리프트 간격(거리), 챔버 압력, 저부 튜너 파라미터들, 내부 구역 히터 온도 등)을 포함한다.
[0054] 몇몇 실시예들에서, 프로세싱 로직은 클라이언트 디바이스로부터 입력 데이터로서 레시피를 수신한다. 몇몇 실시예들에서, 프로세싱 로직은 데이터 저장소로부터 레시피를 수신한다.
[0055] 몇몇 실시예들에서, 레시피는 루프 카운트에 대해 제1 동작으로부터 제2 동작으로 루프하기 위한 반복들(예를 들면, 루프 정보)을 갖는다. 몇몇 실시예들에서, 레시피는 루프 카운트에 대해 특정한 동작으로 루프하기 위한 반복들(예를 들면, 루프 정보)을 갖는다.
[0056] 블록(212)에서, 프로세싱 로직은 기판의 층들의 균일성(예를 들면, 각각의 층은 동일한 두께를 가짐, 각각의 층은 균일함, 인접한 층들의 각각의 세트는 동일한 면간 간격을 가짐 등)을 야기하기 위한 반복 조정치들을 결정한다. 각각의 반복 조정치는 프로세스들의 세트의 개개의 반복에 대응한다. 각각의 반복 조정치는, 하나 이상의 가법 연산들(예를 들면, 값의 가산, 음수 값의 가산, 제로의 가산 등)을 수행함으로써, 개개의 반복의 제조 파라미터들 중 하나 이상을 업데이트한다.
[0057] 몇몇 실시예들에서, 블록(212)은 도 2b의 방법(200B)의 하나 이상의 동작들을 포함한다.
[0058] 블록(214)에서, 프로세싱 로직은 기판의 층의 두께의 조정을 야기하기 위한 승수들을 결정한다. 각각의 승수는 대응하는 반복에 대응한다. 각각의 승수는, 하나 이상의 대응하는 승법 연산들(예를 들면, 1로 승산함, 1보다 더 작은 양수로 승산함, 1보다 더 큰 수로 승산함 등)을 수행함으로써, 대응하는 반복의 적어도 하나의 제조 파라미터를 업데이트한다.
[0059] 몇몇 실시예들에서, 블록(214)의 승수들은 도 2c의 방법(200C)의 하나 이상의 동작들에 의해 결정되는 감도 인자들에 기초하여 생성된다.
[0060] 몇몇 실시예들에서, 기판의 층의 두께의 조정치는 클라이언트 디바이스로부터 및/또는 데이터 저장소로부터 입력 데이터로서 수신된다. 몇몇 예들에서, 입력 데이터(예를 들면, 유저 입력)는 하나 이상의 특정한 하위 층들이 더 두꺼울 것이고 하나 이상의 특정한 상위 층들이 더 얇을 것이다는 것을 나타낸다.
[0061] 몇몇 실시예들에서, 승수들은 감도 인자들 및 층의 두께의 조정치에 기초하여 결정된다. 몇몇 실시예들에서, 상이한 제조 파라미터들은 입력 데이터에 기초하여 조정된다. 몇몇 예들에서, 스루풋이 우선 사항이다는 것을 입력 데이터가 나타내는 것에 응답하여, 시간 대신, 전력이 증가된다. 몇몇 예들에서, 에너지 감소가 우선 사항이다는 것을 입력 데이터가 나타내는 것에 응답하여, 전력 대신, 시간이 증가된다.
[0062] 몇몇 실시예들에서, 블록(216)에서, 프로세싱 로직은 반복 조정치들 및 승수들을 포함하는 오프셋 테이블의 생성을 야기한다. 몇몇 실시예들에서, 오프셋 테이블은 계측의 피드백에 기초하여 생성된다. 몇몇 실시예들에서, 블록(216)에서, 프로세싱 로직은 오프셋 테이블을 생성한다. 몇몇 예들에서, 오프셋 테이블의 생성은 (예를 들면, 소프트웨어에 의해) 자동적으로 수행된다. 몇몇 실시예들에서, 블록(216)에서, 오프셋 테이블의 생성을 야기하기 위해, 프로세싱 로직은 (예를 들면, GUI를 통해, 데이터베이스를 통하는 식으로) 반복 조정치들 및 승수들을 한 명 이상의 유저들에게 제공하고 오프셋 테이블의 생성은 수동으로 수행된다. 몇몇 실시예들에서, 오프셋 테이블, 반복 조정치들, 및/또는 승수들은 가변 루프 조정 피쳐로 지칭된다. 몇몇 실시예들에서, 오프셋 테이블은 각각의 반복에 대한 프로세스들의 세트의 각각의 제조 파라미터에 대한 반복 조정치들 및 승수들을 포함한다. 몇몇 실시예들에서, 오프셋 테이블은 반복의 적어도 일부에 대한 프로세스들의 세트의 제조 파라미터들의 서브세트에 대한 반복 조정치들 및 승수들을 포함한다. 몇몇 실시예들에서, 오프셋 테이블은 반복들의 서브세트에 대한 프로세스들의 세트의 제조 파라미터들 중 적어도 일부에 대한 반복 조정치들 및 승수들을 포함한다.
[0063] 몇몇 실시예들에서, 제조 파라미터들은 반복 조정치들 및/또는 승수들(예를 들면, 오프셋 테이블)을 사용하여 주어진 레시피에서 챔버마다 조정 및/또는 튜닝된다. 제조 파라미터들은 가스 유량들(예를 들면, 최대 열 개의 가스들, 챔버로의 흐름, 방향 전환하는 흐름), 슬롯 독립 HFRF 전력, 슬롯 독립 LFRF 전력, 슬롯 독립 리프트 간격(예를 들면, 프로세싱을 위한 이동의 리프트 포지션), 슬롯 독립 챔버 압력, 슬롯 독립 저부 튜너 제1 압력, 슬롯 독립 저부 튜너 제2 압력, 슬롯 독립 저부 튜너 예상 제2 압력, 슬롯 독립 내부 구역 히터 온도 등 중 하나 이상을 포함한다. 몇몇 실시예들에서, 상이한 제조 파라미터들에 대한 오프셋 값들은 동일한 오프셋 테이블에 저장된다.
[0064] 블록(218)에서, 프로세싱 로직은 오프셋 테이블, 반복 조정치들, 및/또는 승수들 중 하나 이상을 (예를 들면, 데이터 저장소에, 데이터베이스에, 오프셋 테이블 등에) 저장한다.
[0065] 블록(219)에서, 프로세싱 로직은, 기판들이 반복 조정치들, 승수들 및/또는 오프셋 테이블 중 하나 이상 및 레시피에 기초하여 생성되게 한다. 몇몇 예들에서, 프로세싱 로직은, 층들이, 레시피 및 오프셋 테이블, 반복 조정치들, 및/또는 승수들 중 하나 이상에 기초하여 하나 이상의 기판들 상에서 증착되게 한다(예를 들면, 저장된 오프셋 테이블, 저장된 반복 조정치들 및/또는 저장된 승수들이 검색 및 사용되게 하여, 층들이 하나 이상의 기판들 상에 증착되게 함). 몇몇 실시예들에서, 가변 루프 조정 피쳐가 인에이블되는 것에 응답하여, 오프셋 테이블, 반복 조정치들, 및/또는 승수들은 루프의 제조 파라미터들을 조정하기 위해 레시피와 연계하여 사용된다. 몇몇 실시예들에서, 프로세싱 로직은 제조 파라미터의 값이 반복 조정치의 값에 추가되게 하여 합(예를 들면, 균일한 층을 생성할 업데이트된 제조 파라미터)을 생성하고 그 합은 승수로 승산되어 곱(예를 들면, 명시된 두께의 층을 생성하기 위한 업데이트된 제조 파라미터)을 생성한다. 수학식은 다음과 같다: 최종 값 = (원시 설정치 + 오프셋) * 승수. 최종 값은 업데이트된 제조 파라미터이다. 원시 설정치는 레시피에서 명시되는 원래의 제조 파라미터이다. 오프셋은 반복 조정치이다.
[0066] 몇몇 실시예들에서, 오프셋 테이블, 반복 조정치들, 및/또는 승수들은 런타임 동안 레시피(예를 들면, 루프식 레시피)의 제조 파라미터들(예를 들면, 가스 흐름, RF 전력, 리프트 간격, 압력, 히터 온도 등)을 튜닝하기 위해 사용된다. 기판 상에 층들을 증착하기 위한 루프들에서 레시피가 실행됨에 따라, 기판의 두께는 계속 증가하고 제조 파라미터들의 값들의 오프셋은 동일한 증착 층들 및/또는 층들의 명시된 두께를 초래한다.
[0067] 몇몇 실시예들에서, 오프셋 테이블, 반복 조정치들, 및/또는 승수들은 하나 이상의 가스 스틱들(예를 들면, 차단 밸브, 압력 제어 조절기, 및/또는 게이지를 포함하는 가스 공급 제어 디바이스) 및/또는 하나 이상의 제조 파라미터들, 예컨대 가스 유량들, 전력, 간격, 압력, 온도 등을 조절하기 위해 사용되는 레시피 템플릿이다. 몇몇 실시예들에서, 레시피는 기판들의 프로세싱을 행하기 위해 사용되는 현존하는 레시피 템플릿이다. 주어진 레시피 동작에서 오프셋 테이블, 반복 조정치들 및/또는 승수들의 사용을 가능하게 할 하나의 새로운 파라미터가 레시피에 추가된다. 일단 레시피들 둘 모두가 생성되면(예를 들면, 오프셋 테이블 및 오프셋 테이블을 사용하는 것을 가능하게 하기 위한 새로운 파라미터를 갖는 레시피), 각각의 레시피 동작의 실행을 위한 프로세싱 로직은 오프셋 테이블이 레시피 동작과 관련되는지의 여부를 결정한다. 오프셋 테이블이 레시피 동작과 관련되는 경우, 프로세싱 로직은 오프셋 테이블을 열고, 값들(예를 들면, 반복 조정치들, 승수들)을 판독하고, 값들을 배열들 및 목록들에 저장한다. 프로세싱 로직은 레시피 동작으로부터 디폴트 설정치(예를 들면, 디폴트 제조 파라미터들)를 취하고, 배열들로부터 오프셋들(예를 들면, 반복 조정치들)을 가산하고(예를 들면, 결과적인 합을 대응하는 승수들로 승산하고) 결과적인 값들을 (예를 들면, 다시 벡터들로) 저장한다. 몇몇 실시예들에서, 상이한 레시피들이 상이한 수들의 루프들을 사용하였기 때문에 벡터들은 메모리 공간을 절약하기 위해 사용된다. 몇몇 실시예들에서, 레시피 파일에 실제 설정치들을 저장하기 보다는, 기준 속성 이름이 저장되고 기준 속성 이름의 값이 런타임 동안 체크된다. 런타임시, 기준 속성의 값은 레시피 동작 번호, 루프 번호, 가스 스틱 번호(예를 들면, 가스 공급 제어 디바이스 번호) 등과 같은 정보를 보고 벡터로부터 업데이트된다.
[0068] 몇몇 실시예들에서, 기판들은 레시피의 반복들(예를 들면, 루프들)을 분할하지 않으면서(예를 들면, 레시피를 별개의 레시피들로 분할하지 않으면서, 레시피를 다수의 반복들을 갖는 프로세스들의 세트로서 레시피를 실행함으로써) 레시피 및 오프셋 테이블, 반복 조정치들, 및/또는 승수들에 기초하여 생성된다.
[0069] 몇몇 실시예들에서, 곱은 공차 테이블에 의해 명시되는 최소 값 및/또는 최대 값에 비교된다. 몇몇 예들에서, 공차 테이블은, 리프트 간격에 대한 계산된 설정치가 100 mil보다 더 커야 하고, 최대 안전 간격보다 더 작아야 하며, RF가 온 상태인 것에 응답하여, RF와의 최소 안전 간격보다 더 커야 한다는 것을 나타낸다. 곱이 최대 값보다 더 큰 것에 응답하여, 최대 값이 사용된다. 곱이 최소 값보다 더 작은 것에 응답하여, 최소 값이 사용된다. 몇몇 실시예들에서, 곱이 최대 값보다 더 크거나 또는 최소 값보다 더 작은 것에 응답하여, 정정 액션이 수행된다(예를 들면, 경보가 클라이언트 디바이스로 전송됨). 몇몇 실시예들에서, 곱이 최대 값보다 더 크지 않고 최소 값보다 더 작지 않은 것에 응답하여, 곱이 사용된다. 몇몇 실시예들에서, 제조 파라미터들(예를 들면, 가스 흐름, HFRF 전력, LFRF 전력 등)에 대한 계산된 설정치는 양수일 것이다.
[0070] 몇몇 실시예들에서, 주어진 챔버에 대해, 하나보다 더 많은 오프셋 테이블, 반복 조정치들의 세트, 및/또는 승수들의 세트(예를 들면, 레시피 동작마다 하나)가 있을 수 있지만, 그러나 선택되는 가스들은 임계 값(예를 들면, 여섯 개, 열 개)보다 더 많지 않을 수 있다. 몇몇 실시예들에서, 동일한 오프셋 테이블, 반복 조정치들, 및/또는 승수들에서 중복 가스 스틱들(예를 들면, 중복 가스 공급 제어 디바이스들)을 선택하는 것은 허용되지 않는다. 몇몇 실시예들에서, 오프셋 테이블, 반복 조정치들, 및/또는 승수들과 함께 네스트화된(nested) 루프들을 사용하는 것은 허용되지 않는다. 몇몇 실시예들에서, 오프셋 테이블, 반복 조정치들, 및/또는 승수들을 갖는 레시피 동작에서, 사전/사후 LFRF 모드, 사전/사후 HFRF, 및 사전/사후와 동일한 리프트 포지션은 사용될 수 없다. 몇몇 실시예들에서, 오프셋 테이블, 반복 조정치들, 및/또는 승수들에 의해 조정되는 레시피 동작에 선행하는 레시피 동작에서 사후 동일 모드(same-as-after mode)가 선택될 수 없다. 몇몇 실시예들에서, 사전 동일 모드(same-as-before mode)는 오프셋 테이블, 반복 조정치들, 및/또는 승수들에 의해 조정되는 레시피 동작에 후속하는 레시피 동작에서 선택될 수 없다. 몇몇 실시예들에서, LFRF 루프 조정치는 루프의 제1 단계에서 사용될 수 없다.
[0071] 도 2b를 참조하면, 방법(200B)의 블록(220)에서, 프로세싱 로직은 제1 기판 상에 제1 타입의 제1 층들을 증착한다. 몇몇 예들에서, 프로세싱 로직은 (제조 파라미터들을 포함하는) 제1 프로세스들을 실행하여 산화물의 다섯 개의 층들이 제1 기판 상에 증착되게 한다.
[0072] 블록(222)에서, 프로세싱 로직은 제1 층들의 제1 계측 데이터(예를 들면, 층마다의 변화들)를 결정한다. 몇몇 예들에서, 프로세싱 로직은 산화물의 다섯 개의 층들의 각각의 층의 두께를 결정한다.
[0073] 블록(224)에서, 프로세싱 로직은, 제1 계측 데이터에 기초하여, 제1 반복 조정치들을 생성한다. 몇몇 실시예들에서, 제1 반복 조정치들은 산화물의 다섯 개의 층들이 제1 기판 상에 증착되게 하기 위해 사용되는 제1 프로세스들의 제조 파라미터들에 대한 업데이트들이다.
[0074] 몇몇 실시예들에서, 블록들(220-224)은 상이한 타입들의 층들에 대해 반복된다. 예를 들면, 블록들(226-230)은 제1 타입과는 상이한 제2 타입의 층들에 대한 것이다.
[0075] 블록(226)에서, 프로세싱 로직은 제2 기판 상에 제2 타입의 제2 층들을 증착한다. 몇몇 예들에서, 프로세싱 로직은 (제조 파라미터들을 포함하는) 제2 프로세스들을 실행하여 (산화물의 다섯 개의 층들이 증착된 제1 기판과는 상이한) 질화물의 다섯 개의 층들이 제2 기판 상에 증착되게 한다.
[0076] 블록(228)에서, 프로세싱 로직은 제2 층들의 제2 계측 데이터를 결정한다. 몇몇 예들에서, 프로세싱 로직은 질화물의 다섯 개의 층들의 각각의 층의 두께를 결정한다.
[0077] 블록(230)에서, 프로세싱 로직은, 제2 계측 데이터에 기초하여, 제2 반복 조정치들을 생성한다. 몇몇 실시예들에서, 제1 반복 조정치들은, 질화물의 다섯 개의 층들이 제2 기판 상에 증착되게 하기 위해 사용되는 제2 프로세스들의 제조 파라미터들에 대한 업데이트들이다.
[0078] 블록(232)에서, 프로세싱 로직은 제3 기판 상에 제1 타입 및 제2 타입의 교대하는 층들(예를 들면, 제2 타입의 층이 후속되는 제1 타입의 층 등)을 증착한다. 몇몇 실시예들에서, 프로세싱 로직은 (제조 파라미터들을 포함하는) 제1 및 제2 프로세스들을 실행하여, 교대하는 다섯 개의 산화물 층들 및 다섯 개의 질화물 층들이 제3 기판 상에 증착되게 한다(예를 들면, 기판 상에 증착되는 제1 산화물 층, 제1 산화물 층 상에 증착되는 제1 질화물 층, 제1 질화물 층 상에 증착되는 제2 산화물 층 등). 몇몇 실시예들에서, 프로세싱 로직은 제1 반복 조정치들 및 제2 반복 조정치들에 기초하여 제1 및 제2 프로세스들을 실행한다. 몇몇 실시예들에서, 프로세싱 로직은 반복 조정치들을 결정하고 그리고/또는 (예를 들면, 블록들(224 및 230)로부터의) 제1 및 제2 반복 조정치들에 기초하여 오프셋 테이블의 생성을 야기하고 프로세싱 로직은 오프셋 테이블 및/또는 반복 조정치들에 기초하여 제1 및 제2 프로세스들을 실행한다.
[0079] 블록(234)에서, 프로세싱 로직은 교대하는 층들의 제3 계측 데이터를 결정한다. 몇몇 실시예들에서, 프로세싱 로직은 산화물의 다섯 개의 층들 및 질화물의 다섯 개의 층들의 각각의 층의 두께를 결정한다.
[0080] 블록(236)에서, 프로세싱 로직은, 제3 계측 데이터에 기초하여, 제3 반복 조정치들을 생성한다. 몇몇 실시예들에서, 블록들(224 및 230)의 제1 및 제2 반복 조정치들은 하나 이상의 제조 파라미터들을 조정하고 블록(236)의 제3 반복 조정치들은 시간의 제조 파라미터들(예를 들면, 시간 값)을 조정한다.
[0081] 몇몇 실시예들에서, 도 2a의 블록(212)의 반복 조정치들 및/또는 도 2a의 블록(216)의 오프셋 테이블은 블록(224)의 제1 반복 조정치들, 블록(230)의 제2 반복 조정치들, 및/또는 블록(236)의 제3 반복 조정치들 중 하나 이상에 기초하여 생성된다. 몇몇 실시예들에서, 블록들(224, 230, 및/또는 236)에 의해 결정되는 반복 조정치들은 외삽되어 도 2a의 블록(212)의 반복 조정치들 및/또는 도 2a의 블록(216)의 오프셋 테이블의 생성을 야기한다(예를 들면, 다섯 개의 층들에 대한 반복 조정치들 및/또는 열 개의 층들에 대한 반복 조정치들이 50 개의 층들에 외삽됨). 몇몇 실시예들에서, 방법(200B)의 하나 이상의 반복 조정치들에 기초하여 생성되는 반복 조정치들 및/또는 오프셋 테이블은 방법(200B)에서 사용되는 것들과는 상이한 타입들의 층들, 기판들, 및/또는 프로세싱 챔버들에 적용된다.
[0082] 몇몇 실시예들에서, 프로세싱 로직은 업데이트된 반복 조정치들을 생성하기 위해(예를 들면, 반복 조정치들을 검증 및/또는 테스트하기 위해) 층들의 증착 동안 반복 조정치들을 적용하는 것을 통해 블록들(220-236) 중 하나 이상을 반복한다. 몇몇 실시예들에서, 프로세싱 로직은 업데이트된 반복 조정치들을 생성하기 위해 블록들(220-236)에서 증착되는 것들보다 더 많은 양의 층들을 증착하는 동안(예를 들면, 외삽된 반복 조정치들은, 5 개의 층들 대신, 10 개의 층들을 증착하기 위해 사용됨) 반복 조정치들을 적용하는 것을 통해 블록들(220-236) 중 하나 이상을 반복한다.
[0083] 몇몇 실시예들에서, 프로세싱 로직은 업데이트된 승수들을 생성하기 위해(예를 들면, 승수들을 검증 및/또는 테스트하기 위해) 층들을 증착하는 동안 반복 조정치들 및 승수들을 적용하는 것을 통해 블록들(220-236) 중 하나 이상을 반복한다. 몇몇 실시예들에서, 프로세싱 로직은, 업데이트된 반복 조정치들 및/또는 승수들을 생성하기 위해(예를 들면, 상이한 프로세싱 챔버에 대한 반복 조정치들 및/또는 승수들을 검증 및/또는 테스트하기 위해), 반복 조정치들 및/또는 승수들을 결정하기 위해 사용되는 것과는 상이한 프로세싱 챔버에서 층들의 증착 동안 반복 조정치들 및/또는 승수들을 적용하는 것을 통해 블록들(220-236) 중 하나 이상을 반복한다.
[0084] 도 2c를 참조하면, 방법(200C)의 블록(240)에서, 프로세싱 로직은, 제조 파라미터들을 사용하여, 제1 기판 상에 제1 층을 증착한다. 몇몇 예들에서, 제조 파라미터들은 레시피의 디폴트 제조 파라미터들이다.
[0085] 블록(242)에서, 프로세싱 로직은 제1 층의 제1 계측 데이터(예를 들면, 두께, 면간 거리, 균일성 등)를 결정한다.
[0086] 블록(244)에서, 프로세싱 로직은, 업데이트된 제조 파라미터들을 사용하여, 제2 기판 상에 제2 층을 증착한다. 몇몇 예들에서, 업데이트된 제조 파라미터들은, 레시피의 디폴트 제조 파라미터들과 비교하여 조정되는 전력(예를 들면, RF 전력), 플라즈마의 임피던스(예를 들면, 저부 튜너), 및/또는 시간 중 하나 이상을 포함한다.
[0087] 블록(246)에서, 프로세싱 로직은 제2 층의 제2 계측 데이터(예를 들면, 두께, 면간 거리, 균일성 등)를 결정한다.
[0088] 블록(248)에서, 프로세싱 로직은, 제1 및 제2 계측 데이터에 기초하여, 제조 파라미터들과 관련되는 하나 이상의 감도 인자들을 결정한다. 감도 인자들은 제조 파라미터를 업데이트하는 것(예를 들면, 제조 파라미터의 값을 승수로 승산하는 것)과 두께에서의 결과적인 변화(예를 들면, 층의 두께의 승수) 사이의 관계를 나타낸다. 몇몇 예들에서, 감도 인자는 하나의 제조 파라미터의 승수가 층의 두께의 승수와 관련된다는 것(예를 들면, 플라즈마의 임피던스를 두 배로 하는 것은 층의 두께를 세 배로 하는 것을 야기함)을 나타낸다. 몇몇 예들에서, 감도 인자들은 함께 사용되는 상이한 제조 파라미터들의 상이한 승수들이 층의 두께의 승수와 관련된다는 것(예를 들면, 플라즈마의 임피던스를 두 배로 하는 것 및 시간을 절반으로 하는 것은 층의 두께를 두 배로 하는 것을 야기함)을 나타낸다.
[0089] 하나 이상의 블록들(242-248)은 감도 인자들을 결정하기 위해 상이한 제조 파라미터들을 사용하여 반복된다. 몇몇 실시예들에서, 단일의 제조 파라미터에 대한 업데이트들의 효과들을 결정하기 위해, 한 번에 단지 하나의 제조 파라미터가 변경된다. 몇몇 실시예들에서, 다수의 제조 파라미터들에 대한 업데이트들의 효과들을 결정하기 위해, 한 번에 다수의 제조 파라미터들이 변경된다. 몇몇 실시예들에서, 블록들(242-248)은 상이한 수들의 층들, 상이한 타입들의 층들, 층들의 교대하는 스택들, 상이한 프로세싱 챔버들 등을 사용하여 반복된다.
[0090] 몇몇 실시예들에서, 방법(200C)의 실험들(예를 들면, 감도 실험들)은 방법(200B)의 실험들(예를 들면, 균일성 실험들)과는 상이하다.
[0091] 몇몇 실시예들에서, 도 1의 블록(214)의 승수들은 블록(248)의 감도 인자들에 기초하여 결정된다.
[0092] 도 3은, 특정 실시예들에 따른, 컴퓨터 시스템(300)을 예시하는 블록도이다. 몇몇 실시예들에서, 컴퓨터 시스템(300)은 (예를 들면, 근거리 네트워크(LAN), 인트라넷, 엑스트라넷, 또는 인터넷과 같은 네트워크를 통해) 다른 컴퓨터 시스템들에 연결된다. 컴퓨터 시스템(300)은 클라이언트-서버 환경에서 서버 또는 클라이언트 컴퓨터의 자격으로서, 또는 피어 투 피어 또는 분산형 네트워크 환경에서 피어 컴퓨터로서 동작한다. 몇몇 실시예들에서, 컴퓨터 시스템(300)은 퍼스널 컴퓨터(PC), 태블릿 PC, STB(Set-Top Box), PDA(Personal Digital Assistant), 셀룰러 전화, 웹 어플라이언스, 서버, 네트워크 라우터, 스위치 또는 브리지, 또는 자신이 행할 액션들을 특정하는 명령들의 세트를 (순차적으로 또는 다른 방식으로) 실행할 수 있는 임의의 디바이스에 의해 제공된다. 추가로, 용어 "컴퓨터"는, 본원에서 설명되는 방법들 중 임의의 하나 이상을 수행하기 위해 명령들의 세트(또는 다수의 세트들)을 개별적으로 또는 공동으로 실행하는 컴퓨터들의 임의의 집합을 포함할 것이다.
[0093] 몇몇 실시예들에서, 컴퓨터 시스템(300)은 프로세싱 디바이스(302), 휘발성 메모리(304)(예를 들면, RAM(Random Access Memory)), 비휘발성 메모리(306)(예를 들면, ROM(Read-Only Memory) 또는 EEPROM(Electrically-Erasable Programmable ROM)), 및/또는 데이터 스토리지 디바이스(316)를 포함하는데, 이들은 버스(308)를 통해 서로 통신한다.
[0094] 몇몇 실시예들에서, 프로세싱 디바이스(302)는, (예를 들면, CISC(Complex Instruction Set Computing) 마이크로프로세서, RISC(Reduced Instruction Set Computing) 마이크로프로세서, VLIW(Very Long Instruction Word) 마이크로프로세서, 다른 타입들의 명령 세트들을 구현하는 마이크로프로세서, 또는 명령 세트들의 타입들의 조합을 구현하는 마이크로프로세서와 같은) 범용 프로세서 또는 (예를 들면, ASIC(Application Specific Integrated Circuit), FPGA(Field Programmable Gate Array), DSP(Digital Signal Processor), 또는 네트워크 프로세서와 같은) 전문화된 프로세서(specialized processor)와 같은 하나 이상의 프로세서들에 의해 제공된다.
[0095] 몇몇 실시예들에서, 컴퓨터 시스템(300)은 (예를 들면, 네트워크(374)에 커플링되는) 네트워크 인터페이스 디바이스(322)를 더 포함한다. 몇몇 실시예들에서, 컴퓨터 시스템(300)은 비디오 디스플레이 유닛(310)(예를 들면, LCD), 영숫자 입력 디바이스(312)(예를 들면, 키보드), 커서 제어 디바이스(314)(예를 들면, 마우스), 및/또는 신호 생성 디바이스(320)를 또한 포함한다.
[0096] 몇몇 구현예들에서, 데이터 스토리지 디바이스(316)는, 도 1의 컴포넌트들(예를 들면, 가변 루프 제어 컴포넌트(112) 등)을 인코딩하는 그리고 본원에서 설명되는 방법들을 구현하기 위한 명령들을 비롯하여, 본원에서 설명되는 방법들 또는 기능들 중 임의의 하나 이상을 인코딩하는 명령들(326)를 저장하는 비일시적 컴퓨터 판독 가능 저장 매체(324)를 포함한다.
[0097] 몇몇 실시예들에서, 명령들(326)은 또한, 컴퓨터 시스템(300)에 의한 그 명령들의 실행 동안 휘발성 메모리(304) 내에 그리고/또는 프로세싱 디바이스(302) 내에 완전히 또는 부분적으로 상주하며, 그러므로, 몇몇 실시예들에서, 휘발성 메모리(304) 및 프로세싱 디바이스(302)는 머신 판독 가능 저장 매체들을 또한 구성한다.
[0098] 컴퓨터 판독 가능 저장 매체(324)가 예시적인 예들에서 단일의 매체로서 도시되지만, 용어 "컴퓨터 판독 가능 저장 매체"는, 실행 가능 명령들의 하나 이상의 세트들을 저장하는 단일의 매체 또는 다수의 매체들(예를 들면, 중앙 집중형 또는 분산형 데이터베이스, 및/또는 관련된 캐시들 및 서버들)을 포함할 것이다. 용어 "컴퓨터 판독 가능 저장 매체"는, 컴퓨터로 하여금, 본원에서 설명되는 방법들 중 임의의 하나 이상을 수행하게 하는, 컴퓨터에 의한 실행을 위한 명령들의 세트를 저장 또는 인코딩할 수 있는 임의의 유형의 매체를 또한 포함할 것이다. 용어 "컴퓨터 판독 가능 저장 매체"는, 솔리드 스테이트 메모리들, 광학 매체들, 및 자기 매체들을 포함할 것이지만, 그러나 이들로 제한되지는 않을 것이다.
[0099] 몇몇 실시예들에서, 본원에서 설명되는 방법들, 컴포넌트들 및 피쳐들은 별개의 하드웨어 컴포넌트들에 의해 구현되거나 또는 ASIC들, FPGA들, DSP들 또는 유사한 디바이스들과 같은 다른 하드웨어 컴포넌트들의 기능성에서 통합된다. 몇몇 실시예들에서, 방법들, 컴포넌트들, 및 피쳐들은 하드웨어 디바이스들 내의 펌웨어 모듈들 또는 기능성 회로부에 의해 구현된다. 추가로, 방법들, 컴포넌트들, 및 피쳐들은 하드웨어 디바이스들과 컴퓨터 프로그램 컴포넌트들의 임의의 조합에서, 또는 컴퓨터 프로그램들에서 구현된다.
[00100] 달리 구체적으로 언급되지 않는 한, "식별하는", "결정하는", "생성하는", "야기하는", "증착하는" 등과 같은 용어는, 컴퓨터 시스템 레지스터들 및 메모리들 내에서 물리적(전자적) 수량으로서 표현되는 데이터를, 컴퓨터 시스템 메모리들 또는 레지스터들 또는 다른 그러한 정보 저장, 송신 또는 디스플레이 디바이스들 내에서 물리적 수량으로서 유사하게 표현되는 다른 데이터로 조작 및 변환하는 컴퓨터 시스템들에 의해 수행되는 또는 구현되는 액션들 및 프로세스들을 지칭한다. 또한, 용어 "제1", "제2", "제3", "제4" 등은, 본원에서 사용될 때, 상이한 엘리먼트들 간을 구별하기 위한 라벨들로서 의도되며, 그들 수치적 지정에 따른 서수적 의미를 갖지 않는다.
[00101] 본원에서 설명되는 예들은 본원에서 설명되는 방법들을 수행하기 위한 장치에 또한 관련된다. 몇몇 실시예들에서, 이 장치는 본원에서 설명되는 방법들을 수행하기 위해 특별히 구성되거나, 또는 이 장치는 컴퓨터 시스템에 저장되는 컴퓨터 프로그램에 의해 선택적으로 프로그래밍되는 범용 컴퓨터 시스템을 포함한다. 그러한 컴퓨터 프로그램은 컴퓨터 판독 가능한 유형의 저장 매체에 저장된다.
[00102] 본원에서 설명되는 방법들 및 예시적인 예들은, 본질적으로, 임의의 특정한 컴퓨터 또는 다른 장치에 관련되지 않는다. 다양한 범용 시스템들이 본원에서 설명되는 교시들에 따라 사용되거나, 또는, 몇몇 실시예들에서, 본원에서 설명되는 방법들 및/또는 그들의 개개의 기능들, 루틴들, 서브루틴들, 또는 동작들 각각을 수행하기 위해, 더욱 전문화된 장치를 구성하는 것이 편리한 것으로 입증되었다. 다양한 이들 시스템들에 대한 구조의 예들은 상기의 설명에서 기술되어 있다.
[00103] 상기의 설명은, 제한적인 것이 아니라, 예시적인 것으로 의도된다. 본 개시가 특정한 예시적인 예들 및 구현예들을 참조하여 설명되었지만, 본 개시는 설명되는 예들 및 구현예들로 제한되지는 않는다는 것이 인식될 것이다. 본 개시의 범위는, 청구항들이 권리를 갖게 되는 균등물(equivalent)들의 전체 범위와 함께, 다음의 청구항들을 참조하여 결정되어야 한다.

Claims (20)

  1. 방법으로서,
    기판 프로세싱 시스템의 프로세싱 챔버에서 기판 상에 복수의 층들을 증착하기 위한 레시피(recipe)를 식별하는 단계 ― 상기 레시피는 하나 이상의 프로세스들의 세트의 복수의 반복들을 포함하고, 상기 복수의 반복들의 각각의 반복은 상기 복수의 층들 중 적어도 하나의 층을 증착하기 위한 것임 ― ;
    상기 복수의 층들의 균일성을 야기하기 위한 복수의 반복 조정치들을 결정하는 단계 ― 상기 복수의 반복 조정치들의 각각의 반복 조정치는 상기 복수의 반복들의 개개의 반복에 대응함 ― ;
    상기 복수의 층들 중 하나 이상의 층들의 두께의 조정을 야기하기 위한 하나 이상의 승수(multiplier)들을 결정하는 단계 ― 상기 하나 이상의 승수들의 각각의 승수는 상기 복수의 반복들 중 대응하는 반복에 대응함 ― ; 및
    상기 복수의 반복 조정치들 및 상기 하나 이상의 승수들을 저장된 복수의 반복 조정치들 및 하나 이상의 저장된 승수들로서 저장하는 단계
    를 포함하고, 상기 복수의 층들은 상기 레시피 및 상기 저장된 복수의 반복 조정치들 및 상기 하나 이상의 저장된 승수들에 기초하여 하나 이상의 기판들 상에 증착되는, 방법.
  2. 제1항에 있어서,
    상기 하나 이상의 프로세스들의 세트는 상기 기판 상에 상기 복수의 층들 중 적어도 하나의 층을 증착하기 위한 복수의 제조 파라미터들을 포함하고,
    상기 복수의 반복 조정치들의 각각의 반복 조정치는, 하나 이상의 대응하는 가법 연산(additive operation)들을 수행함으로써, 상기 하나 이상의 프로세스들의 세트의 상기 개개의 반복의 상기 복수의 제조 파라미터들 중 하나 이상을 업데이트하고, 그리고
    상기 하나 이상의 승수들의 각각의 승수는, 하나 이상의 대응하는 승법 연산(multiplicative operation)들을 수행함으로써, 상기 하나 이상의 프로세스들의 세트의 상기 대응하는 반복의 상기 복수의 제조 파라미터들 중 적어도 하나를 업데이트하는, 방법.
  3. 제2항에 있어서,
    상기 복수의 제조 파라미터들은,
    가스 유량(gas flow rate);
    HFRF(High Frequency Radio Frequency) 전력;
    LFRF(Low Frequency Radio Frequency) 전력;
    리프트 간격;
    챔버 압력;
    저부 튜너 파라미터들; 또는
    내부 구역 히터 온도
    중 하나 이상을 포함하는, 방법.
  4. 제1항에 있어서,
    상기 복수의 층들의 균일성은,
    상기 복수의 층들의 각각의 층이 동일한 두께를 가짐;
    상기 복수의 층들의 각각의 층이 균일함; 또는
    상기 복수의 층들 중 인접한 층들의 각각의 세트가 동일한 면간 간격(interplanar spacing)을 가짐
    중 하나 이상을 포함하는, 방법.
  5. 제1항에 있어서,
    상기 복수의 반복 조정치들을 결정하는 단계는,
    제1 기판 상에 제1 타입의 제1 복수의 층들을 증착하는 단계;
    상기 제1 복수의 층들의 제1 계측 데이터를 결정하는 단계; 및
    상기 제1 계측 데이터에 기초하여, 상기 제1 복수의 층들의 균일성을 야기하도록 대응하는 제조 파라미터들을 조정하기 위한 제1 반복 조정치들을 생성하는 단계
    를 포함하고, 상기 복수의 반복 조정치들은 상기 제1 반복 조정치들에 기초하는, 방법.
  6. 제5항에 있어서,
    상기 제1 계측 데이터는 상기 제1 복수의 층들 각각의 대응하는 두께를 포함하는, 방법.
  7. 제5항에 있어서,
    상기 복수의 반복 조정치들을 결정하는 단계는,
    제2 기판 상에 제2 타입의 제2 복수의 층들을 증착하는 단계 ― 상기 제2 타입은 상기 제1 타입과는 상이함 ―;
    상기 제2 복수의 층들의 제2 계측 데이터를 결정하는 단계; 및
    상기 제2 계측 데이터에 기초하여, 상기 제2 복수의 층들의 균일성을 야기하도록 개개의 제조 파라미터들을 조정하기 위한 제2 반복 조정치들을 생성하는 단계
    를 더 포함하고, 상기 복수의 반복 조정치들은 상기 제2 반복 조정치들에 추가로 기초하는, 방법.
  8. 제7항에 있어서,
    상기 복수의 반복 조정치들을 결정하는 단계는,
    상기 제1 반복 조정치들 및 상기 제2 반복 조정치들을 사용하여, 제3 기판 상에 상기 제1 타입 및 상기 제2 타입의 제3 복수의 교대하는 층들을 증착하는 단계;
    상기 제3 복수의 교대하는 층들의 제3 계측 데이터를 결정하는 단계; 및
    상기 제3 계측 데이터에 기초하여, 상기 제3 복수의 교대하는 층들의 균일성을 야기하도록 대응하는 시간 값들을 조정하기 위한 제3 반복 조정치들을 생성하는 단계
    를 더 포함하고, 상기 복수의 반복 조정치들은 상기 제3 반복 조정치들에 추가로 기초하는, 방법.
  9. 제1항에 있어서,
    상기 하나 이상의 승수들을 결정하는 단계는, 두께의 특정한 조정치에 대응하는 하나 이상의 제조 파라미터들에 대응하는 하나 이상의 감도 인자(sensitivity factor)들을 결정하는 단계를 포함하는, 방법.
  10. 제9항에 있어서,
    상기 하나 이상의 제조 파라미터들은 RF 전력, 플라즈마의 임피던스, 또는 시간 중 하나 이상을 포함하는, 방법.
  11. 제1항에 있어서,
    상기 하나 이상의 기판들은, 상기 레시피의 상기 복수의 반복들을 분할하지 않으면서, 상기 레시피 및 상기 저장된 복수의 반복 조정치들 및 상기 하나 이상의 저장된 승수들에 기초하여 생성되는, 방법.
  12. 명령들을 저장하는 비일시적 머신 판독 가능 저장 매체로서,
    상기 명령들은, 실행시, 프로세싱 디바이스로 하여금,
    기판 프로세싱 시스템의 프로세싱 챔버에서 기판 상에 복수의 층들을 증착하기 위한 레시피를 식별하는 것 ― 상기 레시피는 하나 이상의 프로세스들의 세트의 복수의 반복들을 포함하고, 상기 복수의 반복들의 각각의 반복은 상기 복수의 층들 중 적어도 하나의 층을 증착하기 위한 것임 ―;
    상기 복수의 층들의 균일성을 야기하기 위한 복수의 반복 조정치들을 결정하는 것 ― 상기 복수의 반복 조정치들의 각각의 반복 조정치는 상기 복수의 반복들의 개개의 반복에 대응함 ― ;
    상기 복수의 층들 중 하나 이상의 층들의 두께의 조정을 야기하기 위한 하나 이상의 승수들을 결정하는 것― 상기 하나 이상의 승수들의 각각의 승수는 상기 복수의 반복들 중 대응하는 반복에 대응함 ― ; 및
    상기 복수의 반복 조정치들 및 상기 하나 이상의 승수들을 저장된 복수의 반복 조정치들 및 하나 이상의 저장된 승수들로서 저장하는 것
    을 포함하는 동작들을 수행하게 하고, 상기 복수의 층들은 상기 레시피 및 상기 저장된 복수의 반복 조정치들 및 상기 하나 이상의 저장된 승수들에 기초하여 하나 이상의 기판들 상에 증착되는, 명령들을 저장하는 비일시적 머신 판독 가능 저장 매체.
  13. 제12항에 있어서,
    상기 하나 이상의 프로세스들의 세트는 상기 기판 상에 상기 복수의 층들 중 적어도 하나의 층을 증착하기 위한 복수의 제조 파라미터들을 포함하고,
    상기 복수의 반복 조정치들의 각각의 반복 조정치는, 하나 이상의 대응하는 가법 연산들을 수행함으로써, 상기 하나 이상의 프로세스들의 세트의 상기 개개의 반복의 상기 복수의 제조 파라미터들 중 하나 이상을 업데이트하고, 그리고
    상기 하나 이상의 승수들의 각각의 승수는, 하나 이상의 대응하는 승법 연산들을 수행함으로써, 상기 하나 이상의 프로세스들의 세트의 상기 대응하는 반복의 상기 복수의 제조 파라미터들 중 적어도 하나를 업데이트하는, 명령들을 저장하는 비일시적 머신 판독 가능 저장 매체.
  14. 제13항에 있어서,
    상기 복수의 제조 파라미터들은,
    가스 유량;
    HFRF(High Frequency Radio Frequency) 전력;
    LFRF(Low Frequency Radio Frequency) 전력;
    리프트 간격;
    챔버 압력;
    저부 튜너 파라미터들; 또는
    내부 구역 히터 온도
    중 하나 이상을 포함하는, 명령들을 저장하는 비일시적 머신 판독 가능 저장 매체.
  15. 제12항에 있어서,
    상기 하나 이상의 승수들을 결정하는 것은, 두께의 특정한 조정치에 대응하는 하나 이상의 제조 파라미터들에 대응하는 하나 이상의 감도 인자들을 결정하는 것을 포함하는, 명령들을 저장하는 비일시적 머신 판독 가능 저장 매체.
  16. 시스템으로서,
    메모리; 및
    상기 메모리에 커플링되는 프로세싱 디바이스
    를 포함하고, 상기 프로세싱 디바이스는,
    프로세싱 챔버에서 기판 상에 복수의 층들을 증착하기 위한 레시피를 식별하고 ― 상기 레시피는 하나 이상의 프로세스들의 세트의 복수의 반복들을 포함하고, 상기 복수의 반복들의 각각의 반복은 상기 복수의 층들 중 적어도 하나의 층을 증착하기 위한 것임 ― ;
    상기 복수의 층들의 균일성을 야기하기 위한 복수의 반복 조정치들을 결정하고 ― 상기 복수의 반복 조정치들의 각각의 반복 조정치는 상기 복수의 반복들의 개개의 반복에 대응함 ― ;
    상기 복수의 층들 중 하나 이상의 층들의 두께의 조정을 야기하기 위한 하나 이상의 승수들을 결정하고― 상기 하나 이상의 승수들의 각각의 승수는 상기 복수의 반복들 중 대응하는 반복에 대응함 ―,
    상기 복수의 반복 조정치들 및 상기 하나 이상의 승수들을 저장된 복수의 반복 조정치들 및 하나 이상의 저장된 승수들로서 저장하고,
    상기 복수의 층들은 상기 레시피 및 상기 저장된 복수의 반복 조정치들 및 상기 하나 이상의 저장된 승수들에 기초하여 하나 이상의 기판들 상에 증착하는, 시스템.
  17. 제16항에 있어서,
    상기 복수의 반복 조정치들을 결정하기 위해, 상기 프로세싱 디바이스는,
    제1 기판 상에 제1 타입의 제1 복수의 층들을 증착하고;
    상기 제1 복수의 층들의 제1 계측 데이터를 결정하고; 그리고
    상기 제1 계측 데이터에 기초하여, 상기 제1 복수의 층들의 균일성을 야기하도록 대응하는 제조 파라미터들을 조정하기 위한 제1 반복 조정치들을 생성하고,
    상기 복수의 반복 조정치들은 상기 제1 반복 조정치들에 기초하는, 시스템.
  18. 제17항에 있어서,
    상기 제1 계측 데이터는 상기 제1 복수의 층들 각각의 대응하는 두께를 포함하는, 시스템.
  19. 제17항에 있어서,
    상기 복수의 반복 조정치들을 결정하기 위해, 상기 프로세싱 디바이스는,
    제2 기판 상에 제2 타입의 제2 복수의 층들을 증착하고 ― 상기 제2 타입은 상기 제1 타입과는 상이함 ― ;
    상기 제2 복수의 층들의 제2 계측 데이터를 결정하고; 그리고
    상기 제2 계측 데이터에 기초하여, 상기 제2 복수의 층들의 균일성을 야기하도록 개개의 제조 파라미터들을 조정하기 위한 제2 반복 조정치들을 생성하고,
    상기 복수의 반복 조정치들은 상기 제2 반복 조정치들에 추가로 기초하는, 시스템.
  20. 제19항에 있어서,
    상기 복수의 반복 조정치들을 결정하기 위해, 상기 프로세싱 디바이스는,
    상기 제1 반복 조정치들 및 상기 제2 반복 조정치들을 사용하여, 제3 기판 상에 상기 제1 타입 및 상기 제2 타입의 제3 복수의 교대하는 층들을 증착하고;
    상기 제3 복수의 교대하는 층들의 제3 계측 데이터를 결정하고; 그리고
    상기 제3 계측 데이터에 기초하여, 상기 제3 복수의 교대하는 층들의 균일성을 야기하도록 대응하는 시간 값들을 조정하기 위한 제3 반복 조정치들을 생성하고,
    상기 복수의 반복 조정치들은 상기 제3 반복 조정치들에 추가로 기초하는, 시스템.
KR1020227037094A 2020-05-27 2021-05-25 가변 루프 제어 피쳐 KR20220158787A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US202063030716P 2020-05-27 2020-05-27
US63/030,716 2020-05-27
US17/326,984 US11449026B2 (en) 2020-05-27 2021-05-21 Variable loop control feature
US17/326,984 2021-05-21
PCT/US2021/034105 WO2021242781A1 (en) 2020-05-27 2021-05-25 Variable loop control feature

Publications (1)

Publication Number Publication Date
KR20220158787A true KR20220158787A (ko) 2022-12-01

Family

ID=78706082

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227037094A KR20220158787A (ko) 2020-05-27 2021-05-25 가변 루프 제어 피쳐

Country Status (6)

Country Link
US (2) US11449026B2 (ko)
JP (1) JP2023528160A (ko)
KR (1) KR20220158787A (ko)
CN (1) CN115605985A (ko)
TW (1) TW202217061A (ko)
WO (1) WO2021242781A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230195072A1 (en) * 2021-12-22 2023-06-22 Applied Materials, Inc. Disturbance compensation for substrate processing recipes

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10223715A (ja) * 1997-02-06 1998-08-21 Toshiba Ceramics Co Ltd 多層エピタキシャルウェーハのエピ膜厚の測定方法
US8585873B2 (en) * 2004-10-16 2013-11-19 Aviza Technology Limited Methods and apparatus for sputtering
US7459175B2 (en) 2005-01-26 2008-12-02 Tokyo Electron Limited Method for monolayer deposition
JP2006277298A (ja) 2005-03-29 2006-10-12 Tokyo Electron Ltd 基板処理装置、履歴情報記録方法、履歴情報記録プログラム及び履歴情報記録システム
US7340320B2 (en) * 2005-04-13 2008-03-04 Asm Japan K.K. Method of recipe control operation
WO2008038776A1 (fr) * 2006-09-29 2008-04-03 Kureha Corporation Récipient d'emballage à formage profond, emballage à formage profond et procédé de production du récipient d'emballage ou de l'emballage
KR101652042B1 (ko) * 2008-10-30 2016-08-29 삼성전자주식회사 공정-소자-회로 통합 시뮬레이션 시스템
KR20140080816A (ko) * 2012-12-18 2014-07-01 삼성디스플레이 주식회사 증착 장치 및 증착 방법
US10229172B2 (en) 2013-09-30 2019-03-12 Rakuten, Inc. Information processing device, information processing method, and information processing program
US10214817B2 (en) * 2013-10-16 2019-02-26 The Board Of Trustees Of The University Of Illinois Multi-metal films, alternating film multilayers, formation methods and deposition system
US9859088B2 (en) * 2015-04-30 2018-01-02 Lam Research Corporation Inter-electrode gap variation methods for compensating deposition non-uniformity
US10438860B2 (en) 2016-04-22 2019-10-08 Applied Materials, Inc. Dynamic wafer leveling/tilting/swiveling steps for use during a chemical vapor deposition process
US20170314129A1 (en) 2016-04-29 2017-11-02 Lam Research Corporation Variable cycle and time rf activation method for film thickness matching in a multi-station deposition system
US11718905B2 (en) 2017-06-19 2023-08-08 Technetics Group Llc Functionally integrated coating structures
US11355363B2 (en) * 2019-08-30 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing
CN111351442A (zh) * 2020-03-20 2020-06-30 歌尔股份有限公司 膜层厚度控制方法、装置、设备及计算机可读存储介质

Also Published As

Publication number Publication date
US20230014145A1 (en) 2023-01-19
US11841692B2 (en) 2023-12-12
US11449026B2 (en) 2022-09-20
JP2023528160A (ja) 2023-07-04
WO2021242781A1 (en) 2021-12-02
TW202217061A (zh) 2022-05-01
CN115605985A (zh) 2023-01-13
US20210373523A1 (en) 2021-12-02

Similar Documents

Publication Publication Date Title
US11989495B2 (en) Systems and methods for predicting film thickness using virtual metrology
TW202227837A (zh) 利用基於模型的數位分身進行射頻匹配控制
US11841692B2 (en) Variable loop control feature
US20230061513A1 (en) Systems and methods for adaptive troubleshooting of semiconductor manufacturing equipment
EP4302322A1 (en) Systems and methods for process chamber health monitoring and diagnostics using virtual model
TW202217671A (zh) 腔室匹配及校準
US20230195072A1 (en) Disturbance compensation for substrate processing recipes
US11860591B2 (en) Process recipe creation and matching using feature models
US20230359179A1 (en) Methods and mechanisms for adjusting film deposition parameters during substrate manufacturing
US20230078146A1 (en) Virtual measurement of conditions proximate to a substrate with physics-informed compressed sensing
US11862520B2 (en) Systems and methods for predicting film thickness of individual layers using virtual metrology
US20230051330A1 (en) Using defect models to estimate defect risk and optimize process recipes
US20230306300A1 (en) Methods and mechanisms for measuring patterned substrate properties during substrate manufacturing
US12009237B2 (en) Sequencer time leaping execution
US20230342016A1 (en) Methods and mechanisms for generating virtual knobs for model performance tuning
US20230195078A1 (en) Methods and mechanisms for adjusting process chamber parameters during substrate manufacturing
US20220415682A1 (en) Sequencer time leaping execution
KR20230164607A (ko) 머신 러닝 모델 성능의 변동을 방지하기 위한 방법들 및 메커니즘들
KR20240067834A (ko) 피처 모델들을 사용한 프로세스 레시피 생성 및 매칭
TW202347063A (zh) 製程控制旋鈕估計
WO2023081169A1 (en) Methods and mechanisms for process recipe optimization
WO2023121893A1 (en) Diagnostic methods for substrate manufacturing chambers using physics-based models

Legal Events

Date Code Title Description
A302 Request for accelerated examination
E902 Notification of reason for refusal