KR20220141654A - An IC chip, a semiconductor package and a method of manufacturing IC chip - Google Patents

An IC chip, a semiconductor package and a method of manufacturing IC chip Download PDF

Info

Publication number
KR20220141654A
KR20220141654A KR1020210048029A KR20210048029A KR20220141654A KR 20220141654 A KR20220141654 A KR 20220141654A KR 1020210048029 A KR1020210048029 A KR 1020210048029A KR 20210048029 A KR20210048029 A KR 20210048029A KR 20220141654 A KR20220141654 A KR 20220141654A
Authority
KR
South Korea
Prior art keywords
electrode
substrate
layer
tsv
tsvs
Prior art date
Application number
KR1020210048029A
Other languages
Korean (ko)
Inventor
싸오펑 딩
문성욱
안정훈
최윤기
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020210048029A priority Critical patent/KR20220141654A/en
Publication of KR20220141654A publication Critical patent/KR20220141654A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/642Capacitive arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • H01L2223/66High-frequency adaptations
    • H01L2223/6661High-frequency adaptations for passive devices
    • H01L2223/6666High-frequency adaptations for passive devices for decoupling, e.g. bypass capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06527Special adaptation of electrical connections, e.g. rewiring, engineering changes, pressure contacts, layout
    • H01L2225/06537Electromagnetic shielding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

According to exemplary embodiments, an integrated circuit device is provided. The device may include a noise blocking device. The integrated circuit may include: a substrate; and a plurality of metal oxide semiconductor field effect transistors (MOSFETs) formed on the substrate. An integrated circuit with improved energy efficiency can be provided.

Description

집적 회로(Integrated Circuit, 이하 IC) 소자, 반도체 패키지 및 IC 소자 제조 방법{An IC chip, a semiconductor package and a method of manufacturing IC chip}An IC chip, a semiconductor package and a method of manufacturing IC chip

본 발명의 기술적 사상은 집적 회로(Integrated Circuit, 이하 IC) 소자, 반도체 패키지 및 IC 소자 제조 방법에 관한 것이다.The technical idea of the present invention relates to an integrated circuit (IC) device, a semiconductor package, and a method for manufacturing an IC device.

지난 수십 년 동안, 기술, 소재 및 제조 공정의 발견으로 인해 컴퓨팅 파워와 무선 통신 기술이 급속도로 발전해 왔다. 이에 따라 고성능 트랜지스터의 고 직접 구현이 가능하게 되었고, 집적화의 속도는 무어의 법칙에 따라 약 18 개월마다 두 배로 증가했다. 시스템의 경박 단소화 및 전력 효율화는 반도체 제조업의 영속적인 목표이며, 경제적, 물리적 공정 한계에 다다른 현 시점에서는 3 차원 집적 패키징이 유효한 해결 수단으로 제시되고 있다.Over the past few decades, the discovery of technologies, materials and manufacturing processes has led to rapid advances in computing power and wireless communication technologies. This made high direct implementation of high-performance transistors possible, and the rate of integration doubled approximately every 18 months according to Moore's Law. Lightweight, compact, and power efficient systems are the permanent goals of the semiconductor manufacturing industry, and 3D integrated packaging is being proposed as an effective solution at this point in time when economic and physical process limits are reached.

3 차원으로 집적된 장치의 개발은, 1980 제시된 CMOS 집적 소자로부터 시작하였고, 이후 30 년의 지속적인 연구 개발을 통해 발전해왔다. 3D 집적 기술은 의 예로, 로직 회로와 메모리 회로의 집적, 센서 패키징, MEMS와 CMOS의 이종 집적 등이 있다. 3 차원 집적 기술은 폼 팩터의 감소뿐만 아니라 고 신뢰성, 저 전력 소비, 및 저 제조 비용의 달성을 가능하게 한다.The development of 3D integrated devices started with CMOS integrated devices presented in 1980, and has been developed through continuous research and development for 30 years. 3D integration technology includes, for example, integration of logic circuits and memory circuits, sensor packaging, and heterogeneous integration of MEMS and CMOS. The three-dimensional integration technology enables the achievement of high reliability, low power consumption, and low manufacturing cost as well as reduction of the form factor.

본 발명의 기술적 사상이 해결하고자 하는 과제는 에너지 효율이 제고된 집적 회로(Integrated Circuit, 이하 IC) 소자, 반도체 패키지 및 IC 소자 제조 방법을 제공하는 것이다.SUMMARY OF THE INVENTION An object to be solved by the technical idea of the present invention is to provide an integrated circuit (IC) device, a semiconductor package, and a method for manufacturing an IC device having improved energy efficiency.

본 발명의 기술적 사상이 해결하고자 하는 과제는 이상에서 언급한 과제에 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.The problems to be solved by the technical spirit of the present invention are not limited to the problems mentioned above, and other problems not mentioned will be clearly understood by those skilled in the art from the following description.

상술한 과제를 해결하기 위한, 예시적인 실시예들에 따르면, 집적 회로(Integrated Circuit, 이하 IC) 소자가 제공된다. 상기 소자는 기판; 상기 기판 상에 형성된 로직 셀로서, 상기 로직 셀은 복수의 MOSFET(metal oxide semiconductor field effect transistor)들을 포함하고; 상기 기판을 상기 기판의 상면에 수직한 제1 방향으로 관통하는 제1 및 제2 TSV(Through Silicon Via)들; 및 상기 제1 및 제2 TSV와 전기적으로 연결되도록 구성된 노이즈 차단 소자를 포함하되, 상기 노이즈 차단 소자는, 제1 전극; 상기 제1 전극 상에 형성된 제2 전극; 상기 제2 전극 상에 형성된 제3 전극; 및 상기 제1 전극과 상기 제2 전극의 사이 및 상기 제2 전극과 상기 제3 전극의 사이를 채우는 유전층을 포함할 수 있다.According to exemplary embodiments for solving the above problems, an integrated circuit (IC) device is provided. The device may include a substrate; a logic cell formed on the substrate, the logic cell comprising a plurality of metal oxide semiconductor field effect transistors (MOSFETs); first and second through silicon vias (TSVs) penetrating the substrate in a first direction perpendicular to an upper surface of the substrate; and a noise blocking element configured to be electrically connected to the first and second TSVs, wherein the noise blocking element includes: a first electrode; a second electrode formed on the first electrode; a third electrode formed on the second electrode; and a dielectric layer filling between the first electrode and the second electrode and between the second electrode and the third electrode.

예시적인 실시예들에 따르면 반도체 패키지가 제공된다. 상기 패키지는, IC 소자; 및 상기 IC 소자 상에 적층되고 상기 IC 소자에 의해 제어되는 복수의 메모리 칩들을 포함하되, 상기 IC 소자는, 기판; 상기 기판 상에 형성된 로직 셀로서, 상기 로직 셀은 복수의 MOSFET들을 포함하고; 상기 기판을 상기 기판의 상면에 수직한 제1 방향으로 관통하는 제1 및 제2 TSV들로서, 상기 제1 및 제2 TSV들의 상면은 상기 기판의 상면보다 더 멀리 이격되고; 및 상기 제1 및 제2 TSV와 전기적으로 연결되도록 구성된 노이즈 차단 소자를 포함하되, 상기 노이즈 차단 소자는, 제1 전극; 상기 제1 전극 상에 형성된 제2 전극; 상기 제2 전극 상에 형성된 제3 전극; 및 상기 제1 전극과 상기 제2 전극의 사이 및 상기 제2 전극과 상기 제3 전극의 사이를 채우는 유전층을 포함할 수 있다. According to exemplary embodiments, a semiconductor package is provided. The package may include an IC device; and a plurality of memory chips stacked on the IC device and controlled by the IC device, wherein the IC device includes: a substrate; a logic cell formed on the substrate, the logic cell comprising a plurality of MOSFETs; first and second TSVs penetrating the substrate in a first direction perpendicular to an upper surface of the substrate, wherein upper surfaces of the first and second TSVs are spaced apart from each other further than the upper surface of the substrate; and a noise blocking element configured to be electrically connected to the first and second TSVs, wherein the noise blocking element includes: a first electrode; a second electrode formed on the first electrode; a third electrode formed on the second electrode; and a dielectric layer filling between the first electrode and the second electrode and between the second electrode and the third electrode.

본 발명의 기술적 사상에 따르면, 에너지 효율이 제고된 집적 회로(Integrated Circuit, 이하 IC) 소자, 상기 IC 소자를 포함하는 반도체 패키지 및 IC 소자 제조 방법이 제공된다.According to the technical idea of the present invention, an integrated circuit (IC) device with improved energy efficiency, a semiconductor package including the IC device, and a method of manufacturing an IC device are provided.

도 1은 예시적인 실시예들에 따른 집적 회로(Integrated Circuit, 이하 IC) 소자를 설명하기 위한 단면도이다.
도 2a는 도 1의 AA 부분을 확대한 부분 단면도이고, 도 2b는 도 1의 BB 부분을 확대한 부분 단면도이다.
도 3a 내지 도 3h는 도 1의 IC 소자의 제조 방법을 설명하기 위한 단면도들이다.
도 4는 예시적인 실시예들에 따른 반도체 패키지를 설명하기 위한 단면도이다.
도 5는 다른 일부 실시 예들에 따른 반도체 패키지를 설명하기 위한 평면도이다.
도 6은 다른 예시적인 실시예들에 따른 반도체 패키지를 설명하기 위한 단면도이다.
도 7은 예시적인 실시예들에 따른 시스템을 나타내는 블록도이다.
1 is a cross-sectional view illustrating an integrated circuit (IC) device according to example embodiments.
FIG. 2A is an enlarged partial cross-sectional view of a portion AA of FIG. 1 , and FIG. 2B is an enlarged partial cross-sectional view of a portion BB of FIG. 1 .
3A to 3H are cross-sectional views illustrating a method of manufacturing the IC device of FIG. 1 .
4 is a cross-sectional view illustrating a semiconductor package according to example embodiments.
5 is a plan view illustrating a semiconductor package according to some other exemplary embodiments.
6 is a cross-sectional view illustrating a semiconductor package according to other exemplary embodiments.
7 is a block diagram illustrating a system according to exemplary embodiments.

이하, 첨부 도면을 참조하여 본 발명의 실시예들을 상세히 설명한다. Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings.

도 1은 예시적인 실시예들에 따른 집적 회로(Integrated Circuit, 이하 IC) 소자(10)를 설명하기 위한 단면도이다. 1 is a cross-sectional view illustrating an integrated circuit (IC) device 10 according to exemplary embodiments.

도 1을 참조하면, IC 소자(100)는 FinFET(fin field effect transistor) 소자를 포함할 수 있다. 상기 FinFET 소자는 로직 셀을 구성할 수 있다. 상기 로직 셀은 IC 소자(100) 외부의 소자를 제어하기 위한 제어 신호를 생성할 수 있다. 상기 로직 셀은 트랜지스터, 레지스터 등과 같은 복수의 회로 소자(circuit elements)를 포함할 수 있다. 상기 로직 셀은 예를 들면, AND, NAND, OR, NOR, XOR(exclusive OR), XNOR(exclusive NOR), INV(inverter), ADD(adder), BUF(buffer), DLY(delay), FIL(filter), 멀티플렉서(MXT/MXIT). OAI(OR/AND/INVERTER), AO(AND/OR), AOI(AND/OR/INVERTER), D 플립플롭, 리셋 플립플롭, 마스터-슬레이브 플립플롭(master-slaver flip-flop), 래치(latch) 등을 구성할 수 있으며, 상기 로직 셀은 카운터(counter), 버퍼(buffer) 등과 같은 원하는 논리적 기능을 수행하는 표준 셀(standard cells)을 구성할 수 있다. Referring to FIG. 1 , the IC device 100 may include a fin field effect transistor (FinFET) device. The FinFET device may constitute a logic cell. The logic cell may generate a control signal for controlling an external device of the IC device 100 . The logic cell may include a plurality of circuit elements such as transistors, resistors, and the like. The logic cell is, for example, AND, NAND, OR, NOR, XOR (exclusive OR), XNOR (exclusive NOR), INV (inverter), ADD (adder), BUF (buffer), DLY (delay), FIL ( filter), multiplexer (MXT/MXIT). OAI(OR/AND/INVERTER), AO(AND/OR), AOI(AND/OR/INVERTER), D flip-flop, reset flip-flop, master-slaver flip-flop, latch ) and the like, and the logic cells may constitute standard cells that perform desired logical functions such as counters and buffers.

기판(110)은 게르마늄(Ge)과 같은 반도체 원소, 또는 실리콘 카바이드(SiC), 갈륨 비소(GaAs), 인듐 비소(InAs), 및 인화 인듐(InP)과 같은 화합물 반도체를 포함할 수 있다. 또는 기판(110)은 SOI (silicon on insulator) 구조를 가질 수 있다. 예를 들면, 기판(110)은 BOX 층(buried oxide layer)을 포함할 수 있다. 기판(110)은 도전 영역, 예를 들면 불순물이 도핑된 웰 (well), 또는 불순물이 도핑된 구조물을 포함할 수 있다. The substrate 110 may include a semiconductor element such as germanium (Ge), or a compound semiconductor such as silicon carbide (SiC), gallium arsenide (GaAs), indium arsenide (InAs), and indium phosphide (InP). Alternatively, the substrate 110 may have a silicon on insulator (SOI) structure. For example, the substrate 110 may include a buried oxide layer (BOX). The substrate 110 may include a conductive region, for example, a well doped with an impurity or a structure doped with an impurity.

기판(110)에 소자 분리막(160) 및 상기 소자 분리막(160)에 의해 정의된 활성 영역(AC)이 형성될 수 있다. 활성 영역(AC) 상에 복수의 핀형(fin-type) 활성 영역(FA)이 돌출될 수 있다. 복수의 핀형 활성 영역(FA)은 Y 방향을 따라 상호 평행하게 연장될 수 있고, Y 방향에 수직한 X 방향을 따라 서로 이격될 수 있다.A device isolation layer 160 and an active region AC defined by the device isolation layer 160 may be formed on the substrate 110 . A plurality of fin-type active areas FA may protrude from the active area AC. The plurality of fin-type active regions FA may extend parallel to each other in the Y direction and may be spaced apart from each other in the X direction perpendicular to the Y direction.

복수의 게이트 구조들(131)은 복수의 핀형 활성 영역(FA)과 교차하는 X 방향으로 연장될 수 있다. 소자 층(DL) 내에 복수의 게이트 구조(131)를 따라 복수의 게이트 구조(131) 및 소스/드레인 영역(132)으로 구성된 복수의 MOSFET(metal oxide semiconductor field effect transistor)(130)가 형성될 수 있다. 상기 복수의 MOSFET들(130) 각각은 복수의 핀형 활성 영역(FA)의 상면 및 양 측벽에서 채널이 형성되는 3차원 구조를 가질 수 있다. The plurality of gate structures 131 may extend in the X direction crossing the plurality of fin-type active regions FA. A plurality of metal oxide semiconductor field effect transistors (MOSFETs) 130 including a plurality of gate structures 131 and source/drain regions 132 may be formed in the device layer DL along the plurality of gate structures 131 . have. Each of the plurality of MOSFETs 130 may have a three-dimensional structure in which channels are formed on the top surface and both sidewalls of the plurality of fin-type active regions FA.

복수의 게이트 구조들(131) 각각은 게이트 절연층(131a), 게이트 라인(131b), 게이트 절연 스페이서(131c) 및 게이트 절연 캡핑층(131d)을 포함할 수 있다.Each of the plurality of gate structures 131 may include a gate insulating layer 131a, a gate line 131b, a gate insulating spacer 131c, and a gate insulating capping layer 131d.

복수의 게이트 절연층(131a)은 실리콘 산화물, 고유전물질, 또는 이들의 조합을 포함할 수 있다. 상기 고유전 물질은 실리콘 산화물보다 유전 상수가 더 큰 물질을 포함할 수 있다. 예를 들면, 복수의 게이트 절연층(131a)은 약 10 내지 25의 유전 상수를 가질 수 있다. 상기 고유전 물질은 금속 산화물 또는 금속 산질화물을 포함할 수 있다. 예를 들면, 상기 고유전 물질은 하프늄 산화물, 하프늄 산질화물, 하프늄 실리콘 산화물, 란타늄 산화물, 란타늄 알루미늄 산화물, 지르코늄 산화물, 지르코늄 실리콘 산화물, 탄탈륨 산화물, 티타늄 산화물, 및 이들의 조합 중에서 선택되는 물질을 포함할 수 있으나, 상기 예시한 바에 한정되는 것은 아니다. 핀형 활성 영역(FA)과 게이트 절연층(131a)과의 사이에 인터페이스막이 개재될 수 있다. 상기 인터페이스막은 산화물, 질화물, 또는 산질화물을 포함할 수 있다. The plurality of gate insulating layers 131a may include silicon oxide, a high-k material, or a combination thereof. The high-k material may include a material having a higher dielectric constant than silicon oxide. For example, the plurality of gate insulating layers 131a may have a dielectric constant of about 10 to 25. The high dielectric material may include a metal oxide or a metal oxynitride. For example, the high dielectric material includes a material selected from hafnium oxide, hafnium oxynitride, hafnium silicon oxide, lanthanum oxide, lanthanum aluminum oxide, zirconium oxide, zirconium silicon oxide, tantalum oxide, titanium oxide, and combinations thereof. However, it is not limited to the bar illustrated above. An interface layer may be interposed between the fin-type active region FA and the gate insulating layer 131a. The interface layer may include oxide, nitride, or oxynitride.

복수의 게이트 라인(131b)은 금속 질화물층, 금속층, 도전성 캡핑층, 및 갭필(gap-fill) 금속막이 차례로 적층된 구조를 가질 수 있다. 상기 금속 질화물층 및 상기 금속층은 Ti, Ta, W, Ru, Nb, Mo, 또는 Hf 중에서 선택되는 적어도 하나의 금속을 포함할 수 있다. 상기 갭필 금속막은 W 막 또는 Al 막을 포함할 수 있다. 복수의 게이트 라인(131b)은 각각 일함수 금속 함유층을 포함할 수 있다. 상기 일함수 금속 함유층은 Ti, W, Ru, Nb, Mo, Hf, Ni, Co, Pt, Yb, Tb, Dy, Er, 및 Pd 중에서 선택되는 적어도 하나의 금속을 포함할 수 있다. 일부 실시예들에서, 복수의 게이트 라인(131b)은 각각 TiAlC/TiN/W의 적층 구조, TiN/ TaN/ TiAlC/ TiN/W의 적층 구조, 또는 TiN/TaN/TiN/TiAlC/TiN/W의 적층 구조를 포함할 수 있으나, 상기 예시한 바에 한정되는 것은 아니다. The plurality of gate lines 131b may have a structure in which a metal nitride layer, a metal layer, a conductive capping layer, and a gap-fill metal layer are sequentially stacked. The metal nitride layer and the metal layer may include at least one metal selected from Ti, Ta, W, Ru, Nb, Mo, and Hf. The gap-fill metal layer may include a W layer or an Al layer. Each of the plurality of gate lines 131b may include a work function metal-containing layer. The work function metal-containing layer may include at least one metal selected from Ti, W, Ru, Nb, Mo, Hf, Ni, Co, Pt, Yb, Tb, Dy, Er, and Pd. In some embodiments, the plurality of gate lines 131b may each have a stacked structure of TiAlC/TiN/W, a stacked structure of TiN/TaN/TiAlC/TiN/W, or a stacked structure of TiN/TaN/TiN/TiAlC/TiN/W. It may include a stacked structure, but is not limited thereto.

복수의 게이트 라인(131b)의 각각의 양 측벽 상에 게이트 절연 스페이서(131c)가 배치될 수 있다. 게이트 절연 스페이서(131c)는 복수의 게이트 라인(131b)의 각각의 양 측벽을 덮을 수 있다. 게이트 절연 스페이서(131c)는 게이트 라인(131b)의 길이 방향인 제2 방향(Y 방향)을 따라 게이트 라인(131b)과 평행하게 연장될 수 있다. 게이트 절연 스페이서(131c)는 실리콘 질화물, SiOCN, SiCN, 또는 이들의 조합을 포함할 수 있다. 일부 실시예들에서, 복수의 게이트 절연 스페이서(131c)는 실리콘 질화물보다 유전 상수가 더 작은 물질, 예를 들면 SiOCN, SiCN, 또는 이들의 조합을 포함할 수 있다. A gate insulating spacer 131c may be disposed on both sidewalls of each of the plurality of gate lines 131b. The gate insulating spacer 131c may cover both sidewalls of each of the plurality of gate lines 131b. The gate insulating spacer 131c may extend parallel to the gate line 131b along the second direction (Y direction) that is the lengthwise direction of the gate line 131b. The gate insulating spacer 131c may include silicon nitride, SiOCN, SiCN, or a combination thereof. In some embodiments, the plurality of gate insulating spacers 131c may include a material having a lower dielectric constant than silicon nitride, for example, SiOCN, SiCN, or a combination thereof.

복수의 게이트 라인(131b) 각각의 상면은 게이트 절연 캡핑층(131d)으로 덮일 수 있다. 복수의 게이트 절연 캡핑층(131d)은 실리콘 질화물을 포함할 수 있다. 복수의 게이트 절연 캡핑층(131d)은 각각 게이트 라인(131b) 및 게이트 절연 스페이서(131c)와 수직으로 오버랩될 수 있으며, 게이트 라인(131b)과 평행하게 연장된다. A top surface of each of the plurality of gate lines 131b may be covered with a gate insulating capping layer 131d. The plurality of gate insulating capping layers 131d may include silicon nitride. The plurality of gate insulating capping layers 131d may vertically overlap the gate line 131b and the gate insulating spacer 131c, respectively, and extend in parallel to the gate line 131b.

소스/드레인 영역(132)은 복수의 핀형 활성 영역(FA) 상에 배치될 수 있다. 복수의 게이트 라인(131b) 양측에는 한 쌍의 소스/드레인 영역(132)이 형성될 수 있다. 게이트 라인(131b)과 소스/드레인 영역(132)은 게이트 절연층(131a) 및 게이트 절연 스페이서(131c)를 사이에 두고 서로 이격될 수 있다. The source/drain regions 132 may be disposed on the plurality of fin-type active regions FA. A pair of source/drain regions 132 may be formed on both sides of the plurality of gate lines 131b. The gate line 131b and the source/drain region 132 may be spaced apart from each other with the gate insulating layer 131a and the gate insulating spacer 131c interposed therebetween.

소스/드레인 영역(132)은 핀형 활성 영역(FA)의 일부에 형성된 불순물 이온주입 영역, 핀형 활성 영역(FA)에 형성된 복수의 리세스 영역으로부터 에피택셜 성장된 반도체 에피택셜층, 또는 이들의 조합을 포함할 수 있다. 복수의 소스/드레인 영역(132)은 에피택셜 성장된 Si 층, 에피택셜 성장된 SiC 층, 또는 에피택셜 성장된 복수의 SiGe 층을 포함할 수 있다. The source/drain region 132 is an impurity ion implantation region formed in a portion of the fin-type active region FA, a semiconductor epitaxial layer epitaxially grown from a plurality of recess regions formed in the fin-type active region FA, or a combination thereof. may include The plurality of source/drain regions 132 may include an epitaxially grown Si layer, an epitaxially grown SiC layer, or a plurality of epitaxially grown SiGe layers.

MOSFET(130)이 NMOS 트랜지스터인 경우, 복수의 소스/드레인 영역(132)은 에피택셜 성장된 Si 층 또는 에피택셜 성장된 SiC 층을 포함할 수 있으며, N 형 불순물을 포함할 수 있다. PMOS 트랜지스터인 경우, 복수의 소스/드레인 영역(132)은 에피택셜 성장된 SiGe 층을 포함할 수 있으며, P 형 불순물을 포함할 수 있다. When the MOSFET 130 is an NMOS transistor, the plurality of source/drain regions 132 may include an epitaxially grown Si layer or an epitaxially grown SiC layer, and may include an N-type impurity. In the case of a PMOS transistor, the plurality of source/drain regions 132 may include an epitaxially grown SiGe layer and may include P-type impurities.

복수의 소스/드레인 영역(132) 중 일부 영역은 제1 절연층(IL1)으로 덮일 수 있다. 제1 절연층(IL1)은 실리콘 산화물, PSG(Phosphosilicate glass) 등과 같은 절연 물질을 포함할 수 있으나, 이에 제한되는 것은 아니다.A portion of the plurality of source/drain regions 132 may be covered with the first insulating layer IL1 . The first insulating layer IL1 may include, but is not limited to, an insulating material such as silicon oxide or PSG (Phosphosilicate glass).

복수의 핀형 활성 영역(FA) 위에는 복수의 소스/드레인 컨택들(CA)이 형성될 수 있다. 복수의 소스/드레인 컨택들(CA)은 각각 복수의 핀형 활성 영역(FA)을 가로지르는 방향(예컨대, Y 방향)으로 연장될 수 있다. 복수의 소스/드레인 컨택들(CA)은 복수의 소스/드레인 영역(132)에 접할 수 있다. A plurality of source/drain contacts CA may be formed on the plurality of fin-type active areas FA. Each of the plurality of source/drain contacts CA may extend in a direction (eg, a Y direction) crossing the plurality of fin-type active areas FA. The plurality of source/drain contacts CA may contact the plurality of source/drain regions 132 .

복수의 소스/드레인 컨택들(CA) 각각 상에, 복수의 소스/드레인 컨택들(CA) 각각과 접하는 복수의 소스/드레인 비아들(VA)이 형성될 수 있다. A plurality of source/drain vias VA in contact with each of the plurality of source/drain contacts CA may be formed on each of the plurality of source/drain contacts CA.

복수의 게이트 구조들(131) 각각 상에 복수의 게이트 컨택들(CB)이 형성될 수 있다. 복수의 게이트 컨택들(CB)은 게이트 절연 캡핑층(131d)을 관통하여 게이트 라인들(131b) 중 어느 하나와 연결될 수 있다. 복수의 게이트 컨택들(CB)은 한 쌍의 소스/드레인 컨택(CA) 사이에 위치할 수 있다.A plurality of gate contacts CB may be formed on each of the plurality of gate structures 131 . The plurality of gate contacts CB may pass through the gate insulating capping layer 131d to be connected to any one of the gate lines 131b. The plurality of gate contacts CB may be positioned between a pair of source/drain contacts CA.

제2 절연층(IL2)은 제1 절연층(IL1) 및 게이트 절연 캡핑층(131d)을 덮을 수 있다. 제2 절연층(IL2)은 실리콘 산화물을 포함할 수 있다. 예를 들면, 제2 절연층(IL2)은 TEOS (tetraethyl orthosilicate), 또는 약 2.2~2.4의 초저유전상수 (ultra low dielectric constant K)를 가지는 ULK (ultra low K) 물질을 포함할 수 있으나 이에 제한되는 것은 아니다. 상기 ULK 물질은 SiOC 또는 SiCOH 등을 포함할 수 있다. The second insulating layer IL2 may cover the first insulating layer IL1 and the gate insulating capping layer 131d. The second insulating layer IL2 may include silicon oxide. For example, the second insulating layer IL2 may include tetraethyl orthosilicate (TEOS) or an ultra low K (ULK) material having an ultra low dielectric constant K of about 2.2 to 2.4, but is limited thereto. it's not going to be The ULK material may include SiOC or SiCOH.

일부 실시 예들에 따르면, 복수의 소스/드레인 컨택들(CA) 및 복수의 게이트 컨택들(CB)은 티타늄(Ti), 탄탈륨(Ta), 질화 티타늄(TiN), 질화 탄탈륨(TaN), 또는 이들의 조합으로 구성된 도전성 배리어 층 및 Co, W 또는 이들의 조합으로 구성된 도전층을 포함할 수 있다. 경우에 따라, 상기 도전성 배리어 층과 소스/드레인 영역(132) 사이에는 실리사이드층이 배치될 수 있다. 상기 실리사이드층은 예를 들면, 텅스텐 실리사이드(WSi), 티타늄 실리사이드(TiSi), 코발트 실리사이드(CoSi), 또는 니켈 실리사이드(NiSi)를 포함할 수 있다. According to some embodiments, the plurality of source/drain contacts CA and the plurality of gate contacts CB may include titanium (Ti), tantalum (Ta), titanium nitride (TiN), tantalum nitride (TaN), or these. It may include a conductive barrier layer composed of a combination of and a conductive layer composed of Co, W, or a combination thereof. In some cases, a silicide layer may be disposed between the conductive barrier layer and the source/drain regions 132 . The silicide layer may include, for example, tungsten silicide (WSi), titanium silicide (TiSi), cobalt silicide (CoSi), or nickel silicide (NiSi).

제2 절연층(IL2) 상에 순차로 적층된 제3 내지 제11 절연층들(IL3~IL11) 이 형성될 수 있다. 상기 제3 내지 제11 절연층(IL3~IL3)은 SOD(Spin on Dielectric) 등과 같은 저유전 물질을 포함할 수 있다. Third to eleventh insulating layers IL3 to IL11 sequentially stacked on the second insulating layer IL2 may be formed. The third to eleventh insulating layers IL3 to IL3 may include a low-k material such as spin on dielectric (SOD).

예시적인 실시예들에 따르면, 제1 내지 제11 절연층들(IL1~IL12) 중 인접한 두 개의 층들의 사이에는 예컨대, SiC와 같은 물질로 구성된 식각 정지층이 개재될 수 있다. In example embodiments, an etch stop layer made of a material such as SiC may be interposed between two adjacent layers of the first to eleventh insulating layers IL1 to IL12.

제3 절연층(IL3) 내에 비아(V1)가 형성될 수 있고, 제4 절연층(IL4) 내에 금속층(M1)이 형성될 수 있으며, 제5 절연층(IL5) 내에 금속층(M2)이 형성될 수 있고, 제6 절연층(IL6) 내에 금속층(M3)이 형성될 수 있으며, 제7 절연층(IL7) 내에 금속층(M4)이 형성될 수 있고, 제8 절연층(IL8) 내에 금속층(D5)이 형성될 수 있으며, 제9 절연층(IL9) 내에 금속층(D6)이 형성될 수 있다. 제10 절연층(IL10) 및 제11 절연층(IL11) 내에 금속층(MY)이 형성될 수 있다. 금속층들(M1, M2, M3, M4, D5, D6, MY)은 BEOL(Back end of Line) 공정에 의해 형성될 수 있으며, 상호 전기적으로 연결되도록 구성될 수 있다.A via V1 may be formed in the third insulating layer IL3 , a metal layer M1 may be formed in the fourth insulating layer IL4 , and a metal layer M2 may be formed in the fifth insulating layer IL5 . The metal layer M3 may be formed in the sixth insulating layer IL6, the metal layer M4 may be formed in the seventh insulating layer IL7, and the metal layer M3 may be formed in the eighth insulating layer IL8. D5) may be formed, and a metal layer D6 may be formed in the ninth insulating layer IL9. A metal layer MY may be formed in the tenth insulating layer IL10 and the eleventh insulating layer IL11 . The metal layers M1, M2, M3, M4, D5, D6, and MY may be formed by a back end of line (BEOL) process and may be configured to be electrically connected to each other.

예시적인 실시예들에 따르면, 복수의 금속층들(M1, M2, M3, M4, D5, D6, MY)과 제3 내지 제11 절연층들(IL3~IL11) 사이에 티타늄(Ti), 탄탈륨(Ta), 질화 티타늄(TiN), 질화 탄탈륨(TaN), 또는 이들의 조합으로 구성되고, 콘포말한 형상을 갖는 도전성 배리어가 개재될 수 있다. According to exemplary embodiments, titanium (Ti), tantalum ( Ta), titanium nitride (TiN), tantalum nitride (TaN), or a combination thereof, and a conductive barrier having a conformal shape may be interposed therebetween.

예시적인 실시예들에 따르면, 제3 및 제4 절연층들(IL3, IL4) 내의 도전성 비아(V1) 및 금속층(M1)은 별도의 공정을 통해 형성되고, 제5 내지 제11 절연층들(IL5~IL11) 내의 금속층들(M2, M3, M4, D5, D6, MY)은 듀얼 다마신 공정을 통해 형성된 것으로 도시되었으나, 이에 제한되는 것은 아니다. 예컨대, 제3 내지 제11 절연층들(IL3~IL11) 각각에 별도 공정으로 형성된 도전성 비아 및 도전성 패턴이 형성되거나, 제3 내지 제11 절연층들(IL3~IL11) 각각에 듀얼 다마신 공정으로 형성된 금속층이 형성될 수도 있다.According to example embodiments, the conductive via V1 and the metal layer M1 in the third and fourth insulating layers IL3 and IL4 are formed through a separate process, and the fifth to eleventh insulating layers ( The metal layers M2, M3, M4, D5, D6, and MY in IL5 to IL11 are illustrated as being formed through a dual damascene process, but are not limited thereto. For example, conductive vias and conductive patterns formed by a separate process are formed on each of the third to eleventh insulating layers IL3 to IL11, or each of the third to eleventh insulating layers IL3 to IL11 is formed by a dual damascene process. A formed metal layer may be formed.

금속층(MY)은 패드 역할을 할 수 있고, 금속층(MY) 상에 솔더 등의 외부 접속 단자들(181, 182, 183, 184)이 배치될 수 있다. 접속 단자(181)에 전원 전위(VDD)가 인가될 수 있고, 접속 단자들(182, 184)을 통해 로직 셀로부터 생성된 신호(SIG)가 전달될 수 있고, 접속 단자(183)에 그라운드 전위(VSS)가 인가될 수 있다.The metal layer MY may serve as a pad, and external connection terminals 181 , 182 , 183 , and 184 such as solder may be disposed on the metal layer MY. A power supply potential VDD may be applied to the connection terminal 181 , a signal SIG generated from the logic cell may be transmitted through the connection terminals 182 and 184 , and a ground potential may be applied to the connection terminal 183 . (VSS) may be applied.

제11 절연층(IL11)의 상면, 금속층(MY)의 일부 및 외부 접속 단자들(181, 182, 183, 184)의 측면은 보호층(122)에 의해 커버될 수 있다. 보호층(122)은 예를 들면, 절연성 폴리머를 포함할 수 있다.A top surface of the eleventh insulating layer IL11 , a portion of the metal layer MY, and side surfaces of the external connection terminals 181 , 182 , 183 , and 184 may be covered by the passivation layer 122 . The protective layer 122 may include, for example, an insulating polymer.

IC 소자(100)는 TSV(Through Silicon Via)들(140)을 더 포함할 수 있다. TSV들(140)은 각각 Z 방향으로 연장되어 기판(110)을 관통할 수 있다. TSV들(140) 각각의 하면은 기판(110)의 하면과 공면을 구성할 수 있고, TSV들(140) 각각의 상면은 제2 절연층(IL2)과 공면을 구성할 수 있다.The IC device 100 may further include through silicon vias (TSVs) 140 . Each of the TSVs 140 may extend in the Z direction to penetrate the substrate 110 . A lower surface of each of the TSVs 140 may be coplanar with the lower surface of the substrate 110 , and an upper surface of each of the TSVs 140 may be coplanar with the second insulating layer IL2 .

TSV들(140) 각각은 FEOL(Front End of Line) 공정과 BEOL(Back End of Line) 공정 사이에 형성될 수 있다. 즉, TSV들(140) 각각은 비아 미들(Via middle) 공정에 의해 형성될 수 있다. TSV들(140)은 각각 제1 및 제2 절연층들(IL1, IL2)을 더 관통할 수 있다. 하지만 이에 제한되는 것은 아니고, TSV들(140)은 FEOL 공정 전에 형성되거나, BEOL 공정 이후에 형성될 수도 있다.Each of the TSVs 140 may be formed between a Front End of Line (FEOL) process and a Back End of Line (BEOL) process. That is, each of the TSVs 140 may be formed by a via middle process. The TSVs 140 may further penetrate the first and second insulating layers IL1 and IL2, respectively. However, the present invention is not limited thereto, and the TSVs 140 may be formed before the FEOL process or after the BEOL process.

TSV(140)는 티타늄(Ti), 탄탈륨(Ta), 질화 티타늄(TiN) 및 질화 탄탈륨(TaN) 등을 포함하는 배리어 층(140B) 및 텅스텐(W), 알루미늄(Al), 및 구리(Cu) 등을 포함하는 도전층(140C)을 포함할 수 있다. 배리어 층들(140B)은 각각 콘포말한 형상을 가질 수 있고, 도전층들(140C)은 배리어 층들(140B)의 내부를 채울 수 있다.The TSV 140 includes a barrier layer 140B including titanium (Ti), tantalum (Ta), titanium nitride (TiN), tantalum nitride (TaN), and the like, and tungsten (W), aluminum (Al), and copper (Cu). ) may include a conductive layer 140C including the like. Each of the barrier layers 140B may have a conformal shape, and the conductive layers 140C may fill the inside of the barrier layers 140B.

TSV(140)와 기판(110)의 사이 및 TSV(140)와 제1 및 제2 절연층들(IL1, IL2)의 사이에 추가적인 절연층들(150)이 개재될 수 있다. 절연층들(150)은 각각 콘포말한 형상을 가질 수 있다.Additional insulating layers 150 may be interposed between the TSV 140 and the substrate 110 and between the TSV 140 and the first and second insulating layers IL1 and IL2 . Each of the insulating layers 150 may have a conformal shape.

제10 절연층(IL10) 및 제11 절연층(IL11) 사이에 노이즈 차단 소자(170)가 배치될 수 있다. 노이즈 차단 소자(170)는 순차로 적층된 제1 내지 제3 전극들(171, 173, 175) 및 제1 내지 제3 전극들(171, 173, 175) 사이에 개재된 유전층(177)을 포함할 수 있다. 노이즈 차단 소자(170)는 커패시터일 수 있다. 제1 내지 제3 전극들은 금속 또는 도핑된 반도체와 같이 도전성 물질을 포함할 수 있다. A noise blocking element 170 may be disposed between the tenth insulating layer IL10 and the eleventh insulating layer IL11 . The noise blocking device 170 includes first to third electrodes 171 , 173 , and 175 sequentially stacked and a dielectric layer 177 interposed between the first to third electrodes 171 , 173 and 175 . can do. The noise blocking element 170 may be a capacitor. The first to third electrodes may include a conductive material such as a metal or a doped semiconductor.

유전층(177)은 고유전물질을 포함할 수 있다. 상기 고유전 물질은 실리콘 산화물보다 유전 상수가 더 큰 물질을 포함할 수 있다. 예를 들면, 복수의 게이트 유전층(177)은 약 10 내지 25의 유전 상수를 가질 수 있다. 상기 고유전 물질은 금속 산화물 또는 금속 산질화물을 포함할 수 있다. 유전층(177)은 제1 내지 제3 전극들(171, 173, 175)을 서로 절연시키는 동시에, 노이즈 차단 소자(170)에 높은 축전 용량을 제공할 수 있다.The dielectric layer 177 may include a high-k material. The high-k material may include a material having a higher dielectric constant than silicon oxide. For example, the plurality of gate dielectric layers 177 may have a dielectric constant of about 10-25. The high dielectric material may include a metal oxide or a metal oxynitride. The dielectric layer 177 may insulate the first to third electrodes 171 , 173 , and 175 from each other and provide high capacitance to the noise blocking device 170 .

이하에서, 도 2a 및 도 2b를 참조하여 노이즈 차단 소자(170)의 구조에 대해 보다 자세히 설명하도록 한다.Hereinafter, the structure of the noise blocking device 170 will be described in more detail with reference to FIGS. 2A and 2B .

도 2a는 도 1의 AA 부분을 확대한 부분 단면도이고, 도 2b는 도 1의 BB 부분을 확대한 부분 단면도이다. FIG. 2A is an enlarged partial cross-sectional view of a portion AA of FIG. 1 , and FIG. 2B is an enlarged partial cross-sectional view of a portion BB of FIG. 1 .

도 1 내지 도 2b를 참조하면, 제1 전극(171)은 제10 절연층(IL10)과 접할 수 있다. 제1 전극(171)은 전원 전압(VDD)이 인가되는 금속층(MY)과 접할 수 있다. 이에 따라, 제1 전극(171)은 전원 전압(VDD)이 인가되는 TSV(140)와 전기적으로 연결되도록 구성될 수 있다. 제1 전극(171)은 신호(SIG)가 인가되는 금속층(MY) 및 그라운드 전압(VSS)이 인가되는 금속층(MY)으로부터 수평으로 이격될 수 있다. 제1 전극(171)은 신호(SIG)가 인가되는 금속층(MY) 및 그라운드 전압(VSS)이 인가되는 금속층(MY)과 절연될 수 있다.1 to 2B , the first electrode 171 may contact the tenth insulating layer IL10. The first electrode 171 may be in contact with the metal layer MY to which the power voltage VDD is applied. Accordingly, the first electrode 171 may be configured to be electrically connected to the TSV 140 to which the power voltage VDD is applied. The first electrode 171 may be horizontally spaced apart from the metal layer MY to which the signal SIG is applied and the metal layer MY to which the ground voltage VSS is applied. The first electrode 171 may be insulated from the metal layer MY to which the signal SIG is applied and the metal layer MY to which the ground voltage VSS is applied.

제1 전극(171)과 제2 전극(173) 사이에 유전층(177)의 일부가 개재될 수 있다. 제2 전극(173)과 제3 전극(175) 사이에 유전층(177)의 일부가 개재될 수 있다. 유전층(177)의 일부는 제10 절연층(IL1)과 접할 수 있다. A portion of the dielectric layer 177 may be interposed between the first electrode 171 and the second electrode 173 . A portion of the dielectric layer 177 may be interposed between the second electrode 173 and the third electrode 175 . A portion of the dielectric layer 177 may be in contact with the tenth insulating layer IL1 .

제2 전극(173)은 그라운드 전압(VSS)이 인가되는 금속층(MY)과 접할 수 있다. 이에 따라, 제2 전극(173)은 그라운드 전압(VSS)이 인가되는 TSV(140)와 전기적으로 연결되도록 구성될 수 있다. 제2 전극(173)은 신호(SIG)가 인가되는 금속층(MY) 및 전원 전압(VDD)이 인가되는 금속층(MY)으로부터 수평으로 이격될 수 있다. 제1 전극(171)은 신호(SIG)가 인가되는 금속층(MY) 및 전원 전압(VDD)이 인가되는 금속층(MY)과 절연될 수 있다.The second electrode 173 may be in contact with the metal layer MY to which the ground voltage VSS is applied. Accordingly, the second electrode 173 may be configured to be electrically connected to the TSV 140 to which the ground voltage VSS is applied. The second electrode 173 may be horizontally spaced apart from the metal layer MY to which the signal SIG is applied and the metal layer MY to which the power voltage VDD is applied. The first electrode 171 may be insulated from the metal layer MY to which the signal SIG is applied and the metal layer MY to which the power voltage VDD is applied.

제2 전극(173)은 제1 부분(173a), 기판(110)으로부터 상기 제1 부분(173a)보다 더 멀리 이격된 제2 부분(173b) 및 상기 제1 부분(173a)과 제2 부분(173b)을 연결하는 계단부(173S)를 포함할 수 있다. 제1 부분(173a)은 제1 전극(171)으로부터 수평으로 이격될 수 있다. 제1 부분(173a)은 제1 전극(171)과 수직으로 중첩되지 않을 수 있다. 제2 부분(173b)은 제1 전극(171)과 수직으로 중첩될 수 있다.The second electrode 173 includes a first portion 173a, a second portion 173b spaced apart from the substrate 110 further than the first portion 173a, and the first portion 173a and the second portion ( It may include a step portion 173S connecting the 173b. The first portion 173a may be horizontally spaced apart from the first electrode 171 . The first portion 173a may not vertically overlap the first electrode 171 . The second portion 173b may vertically overlap the first electrode 171 .

제3 전극(175)은 전원 전압(VDD)이 인가되는 금속층(MY)과 접할 수 있다. 이에 따라, 제3 전극(175)은 전원 전압(VDD)이 인가되는 TSV(140)와 전기적으로 연결되도록 구성될 수 있다. 제3 전극(175)은 신호(SIG)가 인가되는 금속층(MY) 및 그라운드 전압(VSS)이 인가되는 금속층(MY)으로부터 수평으로 이격될 수 있다. 제3 전극(175)은 신호(SIG)가 인가되는 금속층(MY) 및 그라운드 전압(VSS)이 인가되는 금속층(MY)과 절연될 수 있다.The third electrode 175 may be in contact with the metal layer MY to which the power voltage VDD is applied. Accordingly, the third electrode 175 may be configured to be electrically connected to the TSV 140 to which the power voltage VDD is applied. The third electrode 175 may be horizontally spaced apart from the metal layer MY to which the signal SIG is applied and the metal layer MY to which the ground voltage VSS is applied. The third electrode 175 may be insulated from the metal layer MY to which the signal SIG is applied and the metal layer MY to which the ground voltage VSS is applied.

제3 전극(175)은 제1 부분(175a), 기판(110)으로부터 상기 제1 부분(175a)보다 더 멀리 이격된 제2 부분(175b) 및 상기 제1 부분(175a)과 제2 부분(175b)을 연결하는 계단부(175S)를 포함할 수 있다. 제1 부분(175a)은 제2 전극(173)으로부터 수평으로 이격될 수 있다. 제1 부분(175a)은 제2 전극(173)과 수직으로 중첩되지 않을 수 있다. 제2 부분(175b)은 제2 전극(173)과 수직으로 중첩될 수 있다.The third electrode 175 includes a first portion 175a, a second portion 175b spaced apart from the substrate 110 further than the first portion 175a, and the first portion 175a and the second portion ( It may include a step portion (175S) for connecting 175b). The first portion 175a may be horizontally spaced apart from the second electrode 173 . The first portion 175a may not vertically overlap the second electrode 173 . The second portion 175b may vertically overlap the second electrode 173 .

도 1 내지 도 2b에서는, 최상층의 금속층(MY)과 접하는 노이즈 차단 소자(170)가 제공된 것으로 도시되었으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 예시적인 실시예들에 따른 IC 소자는, 최상층의 금속층(MY)보다 기판(110)에 인접한 금속층들(M2, M3, M4, D5, D6) 중 어느 하나와 접하는 노이즈 차단 소자를 포함할 수도 있다.1 to 2B , the noise blocking element 170 in contact with the uppermost metal layer MY is provided, but the technical spirit of the present invention is not limited thereto. The IC device according to example embodiments may include a noise blocking device in contact with any one of the metal layers M2, M3, M4, D5, and D6 adjacent to the substrate 110 rather than the uppermost metal layer MY. .

다른 예시적인 실시예들에 따르면, 제1 및 제3 전극들(173, 175)에 그라운드 전위(VSS)가 인가되고, 제2 전극(174)에 전원 전위(VDD)가 인가될 수도 있다. 다른 예시적인 실시예들에 따르면, 노이즈 차단 소자는 4층 이상의 전극을 포함할 수도 있다.According to other exemplary embodiments, a ground potential VSS may be applied to the first and third electrodes 173 and 175 , and a power potential VDD may be applied to the second electrode 174 . According to other exemplary embodiments, the noise blocking device may include four or more layers of electrodes.

예시적인 실시예들에 따르면, 노이즈 차단 소자(170)에 의해 외부 노이즈에도 불구하고 전원 전위(VDD) 및 기준 전위(VSS)를 안정적으로 유지할 수 있는바, IC 소자(100) 에너지 효율을 제고할 수 있고, IC 소자(100) 동작의 신뢰성이 제고될 수 있다.According to exemplary embodiments, the power supply potential VDD and the reference potential VSS can be stably maintained in spite of external noise by the noise blocking device 170 , thereby improving the energy efficiency of the IC device 100 . and the reliability of the operation of the IC device 100 may be improved.

다시 도 1을 참조하면, 전원 전압(VDD)이 인가되는 TSV(140)와 패드(191)가 접할 수 있고, 그라운드 전압(VSS)이 인가되는 TSV(140)와 패드(191)가 접할 수 있다. 패드들(191, 192)이 형성되지 않은 기판(110)의 하면은 보호층(121)에 의해 커버될 수 있다. 보호층(121)은 보호층(122)과 동일한 물질을 포함할 수 있다. 각각의 패드들(191, 192) 상에 접속 단자들(185, 186)이 형성될 수 있다.Referring back to FIG. 1 , the TSV 140 to which the power voltage VDD is applied and the pad 191 may contact, and the TSV 140 to which the ground voltage VSS is applied and the pad 191 may be in contact. . The lower surface of the substrate 110 on which the pads 191 and 192 are not formed may be covered by the protective layer 121 . The passivation layer 121 may include the same material as the passivation layer 122 . Connection terminals 185 and 186 may be formed on each of the pads 191 and 192 .

도 3a 내지 도 3h는 도 1의 IC 소자(100)의 제조 방법을 설명하기 위한 단면도들이다.3A to 3H are cross-sectional views for explaining a method of manufacturing the IC device 100 of FIG. 1 .

도 3a를 참조하면, FEOL 공정을 수행함으로써, 기판(110) 상에 복수의 MOSFET(130)을 포함하는 로직 셀을 형성할 수 있고, 비아 미들 공정을 통해 TSV들(140)을 형성할 수 있다. 이어서, BEOL 공정의 일부를 수행함으로써, 제3 내지 제10 절연층들(IL3~ IL10), 비아(V1) 및 금속층들(M1, M2, M3, M4, D5, D6, My)을 형성한 이후, 제1 전극(171, 도 1 참조)과 동일한 물질을 포함하는 제1 전극 물질층(171L)을 제공할 수 있다.Referring to FIG. 3A , a logic cell including a plurality of MOSFETs 130 may be formed on a substrate 110 by performing a FEOL process, and TSVs 140 may be formed through a via middle process. . Subsequently, by performing a part of the BEOL process, after forming the third to tenth insulating layers IL3 to IL10, the via V1, and the metal layers M1, M2, M3, M4, D5, D6, and My , the first electrode material layer 171L including the same material as the first electrode 171 (refer to FIG. 1 ) may be provided.

도 3a 및 도 3b를 참조하면, 노광 공정 및 식각 공정을 포함하는 패터닝 공정을 통해 제1 전극 물질층(171L)을 식각하여 제1 전극 패턴(171P)을 형성할 수 있다.3A and 3B , the first electrode material layer 171L may be etched through a patterning process including an exposure process and an etching process to form a first electrode pattern 171P.

도 3c를 참조하면, 이어서 화학 기상적 퇴적, 원자 퇴적, 물리 기상적 퇴적 등의 다양한 퇴적 공정을 이용하여 유전 물질을 제공함으로써, 유전 물질층(177a)을 형성할 수 있다. 유전 물질층(177a)은 콘포말한 형상을 가질 수 있고, 제1 전극 패턴(171P)을 커버함으로써, 후속적으로 형성되는 전극층들과 제1 전극 패턴(171P)을 절연시킬 수 있다.Referring to FIG. 3C , the dielectric material layer 177a may be formed by providing a dielectric material using various deposition processes such as chemical vapor deposition, atomic deposition, and physical vapor deposition. The dielectric material layer 177a may have a conformal shape and may insulate the first electrode pattern 171P from subsequently formed electrode layers by covering the first electrode pattern 171P.

도 3d를 참조하면, 제1 전극 패턴(171P)과 유사한 공정을 통해 패터닝 공정을 통해 제2 전극 패턴(173P)을 형성할 수 있다. 제2 전극 패턴(173P)이 제1 전극 패턴(171P)과 적어도 부분적으로 중첩되지 않도록 패터닝함으로써, 도 1에 도시된 것과 같이 제1 전극(171)과 제2 전극(173)에 다른 전위를 인가할 수 있다. Referring to FIG. 3D , the second electrode pattern 173P may be formed through a patterning process through a process similar to that of the first electrode pattern 171P. By patterning the second electrode pattern 173P not to at least partially overlap the first electrode pattern 171P, different potentials are applied to the first electrode 171 and the second electrode 173 as shown in FIG. 1 . can do.

도 3e를 참조하면, 이어서, 화학 기상적 퇴적, 원자 퇴적, 물리 기상적 퇴적 등의 다양한 퇴적 공정을 이용하여 유전 물질을 콘포말하게 제공함으로써, 유전 물질층(177b)을 형성할 수 있다. 유전 물질층(177b)은 제2 전극 패턴(173P)을 커버함으로써, 후속적으로 형성되는 전극층들과 제2 전극 패턴(173P)을 절연시킬 수 있다.Referring to FIG. 3E , the dielectric material layer 177b may be formed by conformally providing the dielectric material using various deposition processes such as chemical vapor deposition, atomic deposition, and physical vapor deposition. The dielectric material layer 177b may insulate the second electrode pattern 173P from subsequently formed electrode layers by covering the second electrode pattern 173P.

도 3f를 참조하면, 제1 및 제2 전극들(173, 175)의 형성과 유사한 퇴적 및 패터닝 공정을 통해 제3 전극 패턴(175P)을 형성할 수 있다. Referring to FIG. 3F , the third electrode pattern 175P may be formed through a deposition and patterning process similar to the formation of the first and second electrodes 173 and 175 .

도 3g를 참조하면 제11 절연층(IL11)을 형성할 수 있다. 제11 절연층(IL11)은 예컨대, 스핀 코팅 공정을 통해 제공될 수 있다.Referring to FIG. 3G , an eleventh insulating layer IL11 may be formed. The eleventh insulating layer IL11 may be provided through, for example, a spin coating process.

도 3h를 참조하면, 제11 절연층(IL11)에 듀얼 다마신 공정의 비아 식각 및 트렌치 식각 공정을 수행함으로써, 금속층(MY, 도 1 참조)을 형성하기 위한 복수의 홀들(H1, H2, H3, H4)을 형성할 수 있다. 복수의 홀들(H1, H2, H3, H4)의 형성에 의해 도 1에 도시된 것과 같은 형상의 제1 내지 제3 전극들(171, 173, 175) 및 유전층(177)이 형성될 수 있다.Referring to FIG. 3H , a plurality of holes H1 , H2 , and H3 for forming a metal layer MY (refer to FIG. 1 ) by performing a via etching process and a trench etching process of the dual damascene process on the eleventh insulating layer IL11 . , H4) can be formed. The first to third electrodes 171 , 173 , 175 and the dielectric layer 177 having the shapes shown in FIG. 1 may be formed by forming the plurality of holes H1 , H2 , H3 , and H4 .

홀(H1)은 금속층(MY)의 상면 및 제1 및 제3 전극들(171, 175)의 측면을 노출시킬 수 있고, 홀들(H2, H4)은 금속층(MY)의 상면을 노출시킬 수 있으며, 홀(H3)은 금속층(MY)의 상면 및 제2 전극(173)의 측면을 노출시킬 수 있다.The hole H1 may expose the top surface of the metal layer MY and the side surfaces of the first and third electrodes 171 and 175 , and the holes H2 and H4 may expose the top surface of the metal layer MY. , the hole H3 may expose an upper surface of the metal layer MY and a side surface of the second electrode 173 .

다시 도 1을 참조하면, BEOL 공정을 통해 금속층(MY)을 제공할 수 있고, 이어서 보호층(122) 및 접속 단자들(181, 182, 183, 184)을 형성할 수 있다. 또한, 후면 범핑을 공정을 통해 TSV들(140) 각각에 패드들(191, 192) 및 접속 단자들(185, 186)를 제공할 수 있다.Referring back to FIG. 1 , the metal layer MY may be provided through a BEOL process, and then the protective layer 122 and the connection terminals 181 , 182 , 183 , and 184 may be formed. In addition, the pads 191 and 192 and the connection terminals 185 and 186 may be provided to each of the TSVs 140 through a rear bumping process.

도 4는 예시적인 실시예들에 따른 반도체 패키지(40)를 설명하기 위한 단면도이다.4 is a cross-sectional view illustrating a semiconductor package 40 according to example embodiments.

도 4를 참조하면, 반도체 패키지(40)는 IC 소자(400) 및 IC 소자 상에 형성된 칩 스택(CS)을 포함할 수 있다.Referring to FIG. 4 , the semiconductor package 40 may include an IC device 400 and a chip stack CS formed on the IC device.

IC 소자(400)는 도 1 내지 도 2B를 참조하여 설명한 IC 소자(100)일 수 있다.The IC device 400 may be the IC device 100 described with reference to FIGS. 1 to 2B .

칩 스택(CS)은 순차로 적층된 제1 내지 제4 반도체 칩들(411, 412, 413, 414)을 포함할 수 있다. 도 4에선 4개의 반도체 칩들(411, 412, 413, 414)이 적층된 것으로 도시되었으나, 반도체 칩의 적층 수는 실시예에 따라 다양하게 변경가능하다. 예컨대, 2개 내지 32개, 또는 그 이상의 반도체 칩들이 적층될 수도 있다.The chip stack CS may include first to fourth semiconductor chips 411 , 412 , 413 , and 414 sequentially stacked. In FIG. 4 , four semiconductor chips 411 , 412 , 413 , and 414 are stacked, but the number of stacked semiconductor chips may be variously changed according to embodiments. For example, 2 to 32 or more semiconductor chips may be stacked.

예시적인 실시예들에 따르면, 제1 내지 제4 반도체 칩들(411, 412, 413, 414)은 메모리 반도체 칩일 수 있다. 메모리 반도체 칩은, 예를 들면, DRAM(Dynamic Random Access Memory) 또는 SRAM(Static Random Access Memory)과 같은 휘발성 메모리 반도체 칩이거나, PRAM(Phase-change Random Access Memory), MRAM(Magnetoresistive Random Access Memory), FeRAM(Ferroelectric Random Access Memory) 또는 ReRAM(Resistive Random Access Memory)과 같은 비휘발성 메모리 반도체 칩일 수 있다. 일부 실시예에 따르면, 제1 내지 제4 반도체 칩들(411, 412, 413, 414) 각각은 HBM(High Bandwidth Memory)을 구성하기 위한 DRAM 반도체 칩일 수 있다. In example embodiments, the first to fourth semiconductor chips 411 , 412 , 413 , and 414 may be memory semiconductor chips. The memory semiconductor chip is, for example, a volatile memory semiconductor chip such as dynamic random access memory (DRAM) or static random access memory (SRAM), phase-change random access memory (PRAM), magnetic random access memory (MRAM), It may be a non-volatile memory semiconductor chip such as Ferroelectric Random Access Memory (FeRAM) or Resistive Random Access Memory (ReRAM). According to some embodiments, each of the first to fourth semiconductor chips 411 , 412 , 413 , and 414 may be a DRAM semiconductor chip for configuring a high bandwidth memory (HBM).

하지만 이에 제한되는 것은 아니고, 제1 내지 제4 반도체 칩들(411, 412, 413, 414)은 다양한 미세 전자 소자 (microelectronic devices), 예를 들면 CMOS 트랜지스터 (complementary metal-insulator-semiconductor transistor) 등과 같은 MOSFET (metal-oxide-semiconductor field effect transistor), 시스템 LSI (large scale integrtion), 플래쉬 메모리, DRAM, SRAM, EEPROM, PRAM, MRAM, 또는 RERAM, CIS (CMOS imaging sensor) 등과 같은 이미지 센서, MEMS (micro-electro-mechanical system), 능동 소자 및 수동 소자 등을 포함할 수도 있다However, the present invention is not limited thereto, and the first to fourth semiconductor chips 411 , 412 , 413 , and 414 may include various microelectronic devices, for example, MOSFETs such as complementary metal-insulator-semiconductor transistors (CMOS transistors). (metal-oxide-semiconductor field effect transistor), system LSI (large scale integration), flash memory, DRAM, SRAM, EEPROM, PRAM, MRAM, or RERAM, image sensor such as CIS (CMOS imaging sensor), MEMS (micro- electro-mechanical systems), active and passive components, etc.

제1 내지 제3 반도체 칩들(411, 412, 413)은 TSV(421)를 포함할 수 있고, 제4 반도체 칩(414)은 TSV(421)를 포함하지 않을 수 있다. 하지만 이에 제한되는 것은 아니고, 제1 내지 제4 반도체 칩들(411, 412, 413, 414) 각각이 TSV(421)를 포함할 수도 있다. The first to third semiconductor chips 411 , 412 , and 413 may include the TSV 421 , and the fourth semiconductor chip 414 may not include the TSV 421 . However, the present invention is not limited thereto, and each of the first to fourth semiconductor chips 411 , 412 , 413 , and 414 may include the TSV 421 .

예시적인 실시예들에 따르면, 제1 내지 제3 반도체 칩들(411, 412, 413)은 상부 및 하부 패드들(422, 423)를 포함할 수 있고, 제4 반도체 칩(414)은 하부 패드들(423)만을 포함할 수 있다. 제1 내지 제4 반도체 칩들(411, 412, 413, 414) 중 아래에 배치된 것의 상부 패드(422)와 위에 배치된 것의 하부 패드(423) 사이에 솔더들(424)이 제공될 수 있다. In example embodiments, the first to third semiconductor chips 411 , 412 , and 413 may include upper and lower pads 422 and 423 , and the fourth semiconductor chip 414 may include lower pads. (423) only. Solders 424 may be provided between the upper pad 422 of the lower one of the first to fourth semiconductor chips 411 , 412 , 413 , and 414 and the lower pad 423 of the upper one.

제1 내지 제4 반도체 칩들(411, 412, 413, 414)의 사이에 절연층들(430)이 개재될 수 있다. 상부 패드들(422), 하부 패드들(423) 및 솔더들(424)은 절연층들(430)에 의해 커버되고 보호될 수 있다. 절연층들(430) 각각은 절연성 폴리머 또는 에폭시 수지 등의 언더필 물질을 포함할 수 있다.Insulating layers 430 may be interposed between the first to fourth semiconductor chips 411 , 412 , 413 , and 414 . The upper pads 422 , the lower pads 423 , and the solders 424 may be covered and protected by the insulating layers 430 . Each of the insulating layers 430 may include an underfill material such as an insulating polymer or an epoxy resin.

몰딩층(440)은 칩 스택(CS)을 커버하고 보호할 수 있으며, 에폭시 몰드 컴파운드(epoxy mold compound, EMC) 등을 포함할 수 있다.The molding layer 440 may cover and protect the chip stack CS, and may include an epoxy mold compound (EMC) or the like.

일부 실시예들에 따르면, 반도체 패키지(40)는 하이브리드 패키지일 수 있다. 제1 내지 제4 반도체 칩들(411, 412, 413, 414)은 메모리 소자, HMC(Hybrid Memory Cube)을 구현하기 위한 DRAM 소자일 수 있다.According to some embodiments, the semiconductor package 40 may be a hybrid package. The first to fourth semiconductor chips 411 , 412 , 413 , and 414 may be a memory device or a DRAM device for implementing a hybrid memory cube (HMC).

일부 실시예들에 따르면, 메모리 칩인 제1 내지 제4 반도체 칩들(411, 412, 413, 414)과 로직 칩인 IC 소자(400)를 함께 적층함으로써, 국소화된 계산 및 연산 처리가 가능한바, 딥 러닝 기술의 구현에 유리하다. 딥 러닝에서의 연산은 FPGA(Field Programmable Gate Array)와 GPU 및 일부 CPU와 같은 중앙 처리 장치에 의해서도 수행될 수 있으나 도 12에서와 같이 반도체 패키지(40) 내부의 로직 레이어, 즉, IC 소자(400)를 활용하는 경우, 높은 메모리 대역폭에서 와트당 연산 처리량을 증가시킬 수 있는바, 에너지 소비량을 감소시킬 수 있다.According to some embodiments, by stacking the first to fourth semiconductor chips 411 , 412 , 413 , and 414 that is a memory chip and the IC device 400 that is a logic chip together, localized calculation and arithmetic processing are possible, deep learning It is advantageous for the implementation of the technology. Calculations in deep learning may be performed by a central processing unit such as a field programmable gate array (FPGA), a GPU, and some CPUs, but as shown in FIG. 12 , the logic layer inside the semiconductor package 40 , that is, the IC device 400 ), it is possible to increase the processing throughput per watt at a high memory bandwidth, thereby reducing energy consumption.

도 5는 다른 일부 실시 예들에 따른 반도체 패키지(50)를 설명하기 위한 평면도이다.5 is a plan view illustrating a semiconductor package 50 according to some other exemplary embodiments.

도 5 참조하면, 반도체 패키지(50)는 칩 스택(510), 인터포저(520), 패키지 기판(530) 및 IC 소자(540)를 포함할 수 있다.Referring to FIG. 5 , the semiconductor package 50 may include a chip stack 510 , an interposer 520 , a package substrate 530 , and an IC device 540 .

칩 스택(510)은 도 4의 칩 스택(CS)과 유사하되, 몰드의 형성을 위해 최하층에 배치된 메모리 칩이 증가된 단면적을 가질 수 있다.The chip stack 510 is similar to the chip stack CS of FIG. 4 , but a memory chip disposed in a lowermost layer for forming a mold may have an increased cross-sectional area.

IC 소자(540)는 칩 스택(CS')의 동작을 제어하기 위한 로직칩일 수 있다. IC 소자(540)는 도 1을 참조하여 설명한 IC 소자(100)일 수 있다.The IC device 540 may be a logic chip for controlling the operation of the chip stack CS′. The IC device 540 may be the IC device 100 described with reference to FIG. 1 .

IC 소자(540) 및 칩 스택(CS')은 인터포저(520) 상에 실장될 수 있다. IC 소자(540)와 인터포저(520) 사이 및 칩스택(CS')과 인터포저(520) 사이에 솔더 등의 접속 단자(527)가 제공될 수 있다. 접속 단자(527)는 칩스택(CS')과 인터포저(520) 상호에 대한 전기적 연결 및 IC 소자(540)와 인터포저(520) 상호에 대한 전기적 연결을 제공할 수 있다.The IC device 540 and the chip stack CS′ may be mounted on the interposer 520 . A connection terminal 527 such as solder may be provided between the IC device 540 and the interposer 520 and between the chip stack CS′ and the interposer 520 . The connection terminal 527 may provide an electrical connection between the chip stack CS′ and the interposer 520 and an electrical connection between the IC device 540 and the interposer 520 .

인터포저(520)는 반도체 물질로 이루어진 베이스(B) 및 상기 베이스(B)의 상면 및 하면에 각각 형성된 상면 패드들(521) 및 하면 패드들(523)을 포함할 수 있다. 상기 베이스(B)는 예를 들면, 실리콘 웨이퍼로부터 형성될 수 있다. 또한 상기 베이스(B)의 내부에는 내부 배선(525)이 형성될 수 있다. The interposer 520 may include a base B made of a semiconductor material, and upper and lower surfaces of pads 521 and 523 respectively formed on the upper and lower surfaces of the base B. The base B may be formed from, for example, a silicon wafer. In addition, an internal wiring 525 may be formed inside the base B.

패키지 기판(530)은 예를 들면, 인쇄회로기판일 수 있다. 패키지 기판(530)이 인쇄회로기판인 경우, 패키지 기판(530)은 기판 베이스, 그리고 상면 및 하면에 각각 형성된 상면 패드들 및 하면 패드들을 포함할 수 있다. 상기 상면 패드들 및 상기 하면 패드들은 상기 기판 베이스의 상면 및 하면에 동박(Cu foil)을 입힌 후 패터닝된 회로 배선 중 각각 솔더 레지스트층에 의하여 노출된 부분일 수 있다.The package substrate 530 may be, for example, a printed circuit board. When the package substrate 530 is a printed circuit board, the package substrate 530 may include a substrate base, and upper and lower pads respectively formed on the upper and lower surfaces. The upper and lower pads may be portions exposed by a solder resist layer among circuit wirings patterned after coating a copper foil on the upper and lower surfaces of the substrate base.

상기 기판 베이스는 페놀 수지, 에폭시 수지, 폴리이미드 중에서 선택되는 적어도 하나의 물질을 포함할 수 있다. The substrate base may include at least one material selected from a phenol resin, an epoxy resin, and a polyimide.

패키지 기판(530)과 인터포저(520) 사이에 솔더 등의 접속 단자들(529)이 제공될 수 있다. 접속 단자들(529)은 패키지 기판(530)과 인터포저(520) 상호에 대한 전기적 접속을 제공할 수 있다.Connection terminals 529 such as solder may be provided between the package substrate 530 and the interposer 520 . The connection terminals 529 may provide an electrical connection between the package substrate 530 and the interposer 520 .

패키지 기판(530)의 하면에는 외부 연결 단자(535)가 부착될 수 있다. 외부 연결 단자(535)는 예를 들면, 솔더볼 또는 범프일 수 있다. 외부 연결 단자(535)는 반도체 패키지(50)와 외부 장치 사이를 전기적으로 연결할 수 있다. An external connection terminal 535 may be attached to a lower surface of the package substrate 530 . The external connection terminal 535 may be, for example, a solder ball or a bump. The external connection terminal 535 may electrically connect the semiconductor package 50 and an external device.

도 6은 다른 예시적인 실시예들에 따른 반도체 패키지(60)를 설명하기 위한 단면도이다.6 is a cross-sectional view illustrating a semiconductor package 60 according to other exemplary embodiments.

예시적인 실시예들에 따르면, 반도체 패키지(60)는 TSV 기반의 3차원 CMOS(complementary metal-oxide-semiconductor) 이미지 센서 패키지일 수 있다.In example embodiments, the semiconductor package 60 may be a TSV-based 3D complementary metal-oxide-semiconductor (CMOS) image sensor package.

반도체 패키지(60)는 IC 소자(630) 상에 형성된 제1 및 제2 칩들(610, 620)을 포함할 수 있다. 제1 칩(610)은 복수의 픽셀이 형성된 이미지 센서 칩일 수 있다. 제1 칩(610)은 상부에 형성된 복수의 마이크로 렌즈들을 포함할 수 있다.The semiconductor package 60 may include first and second chips 610 and 620 formed on the IC device 630 . The first chip 610 may be an image sensor chip in which a plurality of pixels are formed. The first chip 610 may include a plurality of microlenses formed thereon.

제2 칩(620)은 예컨대, DRAM과 같은 메모리 소자가 형성된 메모리칩일 수 있다. The second chip 620 may be, for example, a memory chip in which a memory device such as a DRAM is formed.

제1 칩(610) 내부에 TSV(615)가 형성될 수 있고, 제2 칩(620) 내부에 TSV(615)와 연결된 TSV(625)가 형성될 수 있다. 제1 칩(610), 제2 칩(620) 및 IC 소자는 TSV들(615, 625)을 통해 상호 통신할 수 있다.A TSV 615 may be formed inside the first chip 610 , and a TSV 625 connected to the TSV 615 may be formed inside the second chip 620 . The first chip 610 , the second chip 620 , and the IC device may communicate with each other through the TSVs 615 and 625 .

제2 칩(620)은 일반 이미지 신호 프로세서에 일반 속도로 출력되기 전에 매우 빠른 속도로 기록되는 이미지 데이터에 대한 버퍼역할을 할 수 있고, 방대한 프레임 데이터를 저장해 왜곡 없는 고속 촬영을 가능하게 한다. The second chip 620 may serve as a buffer for image data recorded at a very high speed before being output to a general image signal processor at a normal speed, and enables high-speed shooting without distortion by storing a large amount of frame data.

IC 소자(630)는 도 1을 참조하여 설명한 IC 소자(100)일 수 있다. IC 소자(630)는 제1 및 제2 칩들(610, 620)의 동작을 제어할 수 있다. 예컨대, IC 소자(630)는 제1 칩(610)에 포함된 픽셀에 구동 신호, 전송 신호, 리셋 신호, 리드신호 등의 제어신호를 제공하거나, CDS(Correlated Double Sampling) 및 AGC(Auto Gain Control)의 기능을 수행하거나, A/D 변환기(Analog to Digital Converter)로서 동작할 수 있다.The IC device 630 may be the IC device 100 described with reference to FIG. 1 . The IC device 630 may control operations of the first and second chips 610 and 620 . For example, the IC device 630 provides control signals such as a driving signal, a transmission signal, a reset signal, and a read signal to the pixels included in the first chip 610 , or correlated double sampling (CDS) and auto gain control (AGC). ), or operates as an A/D converter (Analog to Digital Converter).

도 7은 예시적인 실시예들에 따른 시스템(1200)을 나타내는 블록도이다. 7 is a block diagram illustrating a system 1200 in accordance with example embodiments.

도 7을 참조하면, 시스템(1200)은 제어기(1210), 입/출력 장치(1220), 기억 장치(1230), 및 인터페이스(1240)를 포함한다. 일부 실시예들에 따르면, 시스템(1200)은 도 1의 IC 소자(100) 도 4의 반도체 패키지(40), 도 5의 반도체 패키지(50) 및 도 6의 반도체 패키지(60) 중 적어도 어느 하나를 포함하거나, 이에 의해 구현될 수 있다.Referring to FIG. 7 , a system 1200 includes a controller 1210 , an input/output device 1220 , a storage device 1230 , and an interface 1240 . According to some embodiments, the system 1200 includes at least one of the IC device 100 of FIG. 1 , the semiconductor package 40 of FIG. 4 , the semiconductor package 50 of FIG. 5 , and the semiconductor package 60 of FIG. 6 . may include, or be implemented by.

시스템(1200)은 모바일 시스템 또는 정보를 전송하거나 전송받는 시스템일 수 있다. 일부 실시예에서, 상기 모바일 시스템은 PDA, 휴대용 컴퓨터 (portable computer), 웹 타블렛 (web tablet), 무선 폰 (wireless phone), 모바일 폰 (mobile phone), 디지털 뮤직 플레이어 (digital music player) 또는 메모리 카드 (memory card)이다. The system 1200 may be a mobile system or a system that transmits or receives information. In some embodiments, the mobile system is a PDA, portable computer, web tablet, wireless phone, mobile phone, digital music player, or memory card. (memory card).

제어기(1210)는 시스템(1200)에서의 실행 프로그램을 제어하기 위한 것으로, 마이크로프로세서(microprocessor), 디지털 신호 처리기(digital signal processor), 마이크로콘트롤러(microcontroller), 또는 이와 유사한 장치를 포함할 수 있다.The controller 1210 is for controlling an executable program in the system 1200 and may include a microprocessor, a digital signal processor, a microcontroller, or a similar device.

입/출력 장치(1220)는 시스템(1200)의 데이터를 입력 또는 출력할 수 있다. 시스템(1200)은 입/출력 장치(1220)를 이용하여 외부 장치, 예컨대 개인용 컴퓨터 또는 네트워크에 연결되고, 외부 장치와 서로 데이터를 교환할 수 있다. 입/출력 장치(1220)는, 예를 들면 키패드 (keypad), 키보드 (keyboard), 또는 표시장치 (display)일 수 있다. The input/output device 1220 may input or output data of the system 1200 . The system 1200 may be connected to an external device, for example, a personal computer or a network, using the input/output device 1220 , and may exchange data with the external device. The input/output device 1220 may be, for example, a keypad, a keyboard, or a display.

기억 장치(1230)는 제어기(1210)의 동작을 위한 코드 및/또는 데이터를 저장하거나, 제어기(1210)에서 처리된 데이터를 저장할 수 있다. The storage device 1230 may store codes and/or data for the operation of the controller 1210 or data processed by the controller 1210 .

인터페이스(1240)는 시스템(1200)과 외부의 다른 장치 사이의 데이터 전송 통로일 수 있다. 제어기(1210), 입/출력 장치(1220), 기억 장치(1230), 및 인터페이스(1240)는 버스(1250)를 통해 서로 통신할 수 있다. 시스템(1200)은 모바일 폰 (mobile phone), MP3 플레이어, 네비게이션 (navigation), 휴대용 멀티미디어 재생기 (portable multimedia player, PMP), 고상 디스크 (solid state disk; SSD), 또는 가전 제품 (household appliances)에 포함될 수 있다. The interface 1240 may be a data transmission path between the system 1200 and another external device. The controller 1210 , the input/output device 1220 , the storage device 1230 , and the interface 1240 may communicate with each other via the bus 1250 . The system 1200 may be included in a mobile phone, an MP3 player, a navigation system, a portable multimedia player (PMP), a solid state disk (SSD), or household appliances. can

이상, 첨부된 도면을 참조하여 본 발명의 실시 예를 설명하였지만, 이상에서 기술한 실시 예에는 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다. As described above, embodiments of the present invention have been described with reference to the accompanying drawings, but it should be understood that the embodiments described above are illustrative in all respects and not restrictive.

Claims (10)

기판;
상기 기판 상에 형성된 로직 셀로서, 상기 로직 셀은 복수의 MOSFET(metal oxide semiconductor field effect transistor)들을 포함하고;
상기 기판을 상기 기판의 상면에 수직한 제1 방향으로 관통하는 제1 및 제2 TSV(Through Silicon Via)들; 및
상기 제1 및 제2 TSV와 전기적으로 연결되도록 구성된 노이즈 차단 소자를 포함하되,
상기 노이즈 차단 소자는,
제1 전극;
상기 제1 전극 상에 형성된 제2 전극;
상기 제2 전극 상에 형성된 제3 전극; 및
상기 제1 전극과 상기 제2 전극의 사이 및 상기 제2 전극과 상기 제3 전극의 사이를 채우는 유전층을 포함하는 것을 특징으로 하는 집적 회로(Integrated Circuit, 이하 IC) 소자.
Board;
a logic cell formed on the substrate, the logic cell comprising a plurality of metal oxide semiconductor field effect transistors (MOSFETs);
first and second through silicon vias (TSVs) penetrating the substrate in a first direction perpendicular to an upper surface of the substrate; and
and a noise blocking element configured to be electrically connected to the first and second TSVs,
The noise blocking element is
a first electrode;
a second electrode formed on the first electrode;
a third electrode formed on the second electrode; and
and a dielectric layer filling between the first electrode and the second electrode and between the second electrode and the third electrode.
제1항에 있어서,
상기 제1 및 제3 전극들은 상기 제1 TSV와 전기적으로 연결되도록 구성되고,
상기 제2 전극은 상기 제2 TSV와 전기적으로 연결되도록 구성된 것을 특징으로 하는 IC 소자.
According to claim 1,
The first and third electrodes are configured to be electrically connected to the first TSV,
The second electrode is configured to be electrically connected to the second TSV.
제2항에 있어서,
상기 제1 TSV에 전원 전위가 인가되고, 상기 제2 TSV에 그라운드 전위가 인가되는 것을 특징으로 하는 IC 소자.
3. The method of claim 2,
A power supply potential is applied to the first TSV and a ground potential is applied to the second TSV.
제1항에 있어서,
상기 제2 전극은,
제1 부분;
상기 제1 부분보다 상기 기판에서 더 멀리 이격된 제2 부분; 및
상기 제1 부분과 상기 제2 부분을 연결시키는 계단부를 포함하는 것을 특징으로 하는 IC 소자.
According to claim 1,
The second electrode is
first part;
a second portion spaced apart from the substrate further than the first portion; and
and a step portion connecting the first portion and the second portion.
제4항에 있어서,
상기 제1 부분은 상기 제1 전극과 수평으로 이격되고, 및
상기 제2 부분은 상기 제1 전극과 수직으로 중첩되는 것을 특징으로 하는 IC 소자.
5. The method of claim 4,
The first portion is horizontally spaced apart from the first electrode, and
and the second portion vertically overlaps the first electrode.
제1항에 있어서,
상기 제3 전극은,
제1 부분;
상기 제1 부분보다 상기 기판에서 더 멀리 이격된 제2 부분; 및
상기 제1 부분과 상기 제2 부분을 연결시키는 계단부를 포함하는 것을 특징으로 하는 IC 소자.
According to claim 1,
The third electrode is
first part;
a second portion spaced apart from the substrate further than the first portion; and
and a step portion connecting the first portion and the second portion.
제6항에 있어서,
상기 제1 부분은 상기 제2 전극과 수평으로 이격되고, 및
상기 제2 부분은 상기 제2 전극과 수직으로 중첩되는 것을 특징으로 하는 IC 소자.
7. The method of claim 6,
The first portion is horizontally spaced apart from the second electrode, and
and the second portion vertically overlaps the second electrode.
제1항에 있어서,
상기 로직 셀은 외부 소자를 제어하기 위한 제어 신호를 생성하고,
상기 노이즈 차단 소자에 상기 제어 신호가 인가되지 않는 것을 특징으로 하는 IC 소자.
According to claim 1,
The logic cell generates a control signal for controlling an external device,
The IC device, characterized in that the control signal is not applied to the noise blocking device.
IC 소자; 및
상기 IC 소자 상에 적층되고 상기 IC 소자에 의해 제어되는 복수의 메모리 칩들을 포함하되,
상기 IC 소자는,
기판;
상기 기판 상에 형성된 로직 셀로서, 상기 로직 셀은 복수의 MOSFET들을 포함하고;
상기 기판을 상기 기판의 상면에 수직한 제1 방향으로 관통하는 제1 및 제2 TSV들로서, 상기 제1 및 제2 TSV들의 상면은 상기 기판의 상면보다 더 멀리 이격되고; 및
상기 제1 및 제2 TSV와 전기적으로 연결되도록 구성된 노이즈 차단 소자를 포함하되,
상기 노이즈 차단 소자는,
제1 전극;
상기 제1 전극 상에 형성된 제2 전극;
상기 제2 전극 상에 형성된 제3 전극; 및
상기 제1 전극과 상기 제2 전극의 사이 및 상기 제2 전극과 상기 제3 전극의 사이를 채우는 유전층을 포함하는 것을 특징으로 하는 반도체 패키지.
IC devices; and
a plurality of memory chips stacked on the IC device and controlled by the IC device,
The IC device is
Board;
a logic cell formed on the substrate, the logic cell comprising a plurality of MOSFETs;
first and second TSVs penetrating the substrate in a first direction perpendicular to a top surface of the substrate, wherein top surfaces of the first and second TSVs are farther apart than the top surface of the substrate; and
and a noise blocking element configured to be electrically connected to the first and second TSVs,
The noise blocking element is
a first electrode;
a second electrode formed on the first electrode;
a third electrode formed on the second electrode; and
and a dielectric layer filling between the first electrode and the second electrode and between the second electrode and the third electrode.
제9항에 있어서,
상기 로직 셀은 상기 복수의 메모리 칩들을 제어하기 위한 제어 신호를 생성하고,
상기 노이즈 차단 소자에 상기 제어 신호가 인가되지 않으며, 및
상기 제1 TSV에 전원 전위가 인가되고, 상기 제2 TSV에 그라운드 전위가 인가되는 것을 특징으로 하는 반도체 패키지.
10. The method of claim 9,
the logic cell generates a control signal for controlling the plurality of memory chips;
The control signal is not applied to the noise blocking element, and
A power supply potential is applied to the first TSV and a ground potential is applied to the second TSV.
KR1020210048029A 2021-04-13 2021-04-13 An IC chip, a semiconductor package and a method of manufacturing IC chip KR20220141654A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020210048029A KR20220141654A (en) 2021-04-13 2021-04-13 An IC chip, a semiconductor package and a method of manufacturing IC chip

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020210048029A KR20220141654A (en) 2021-04-13 2021-04-13 An IC chip, a semiconductor package and a method of manufacturing IC chip

Publications (1)

Publication Number Publication Date
KR20220141654A true KR20220141654A (en) 2022-10-20

Family

ID=83804771

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210048029A KR20220141654A (en) 2021-04-13 2021-04-13 An IC chip, a semiconductor package and a method of manufacturing IC chip

Country Status (1)

Country Link
KR (1) KR20220141654A (en)

Similar Documents

Publication Publication Date Title
KR102114340B1 (en) Integrated circuit device having through-silicon via structure and decoupling capacitor and method of manufacturing the same
US9824973B2 (en) Integrated circuit devices having through-silicon via structures and methods of manufacturing the same
JP6310217B2 (en) Integrated circuit device having TSV structure and method of manufacturing the same
TWI672787B (en) Semiconductor packages with interposers and methods of manufacturing the same
KR102079283B1 (en) Integrated circuit device having through-silicon via structure and method of manufacturing the same
US9214411B2 (en) Integrated circuit devices including a through-silicon via structure and methods of fabricating the same
US8884440B2 (en) Integrated circuit device including through-silicon via structure having offset interface
US9252141B2 (en) Semiconductor integrated circuit, method for fabricating the same, and semiconductor package
KR101918609B1 (en) Integrated circuit device
US9978637B2 (en) Mechanism for forming patterned metal pad connected to multiple through silicon vias (TSVs)
KR20120128457A (en) Semiconductor device and method of forming the same
US20200286891A1 (en) Self-aligned gate endcap (sage) architecture having local interconnects
US20220130761A1 (en) Integrated circuit semiconductor device
US20230154894A1 (en) Three-dimensional integrated circuit structure and a method of fabricating the same
KR20210047043A (en) Interposer structure, semiconductor package comprising the same, and method for fabricating the same
US9543231B2 (en) Stacked semiconductor package
US20140264848A1 (en) Semiconductor package and method for fabricating the same
US11424245B2 (en) Self-aligned gate endcap (SAGE) architecture having gate contacts
US20230138813A1 (en) Semiconductor package
CN110581115A (en) Deep trench vias for three-dimensional integrated circuits
KR20220141654A (en) An IC chip, a semiconductor package and a method of manufacturing IC chip
US11876063B2 (en) Semiconductor package structure and method for preparing the same
KR20220140279A (en) An IC chip, a semiconductor package and a method of manufacturing IC chip
TW202234635A (en) Semiconductor device
US20230298937A1 (en) Semiconductor device including through vias with different widths and method of manufacturing the same

Legal Events

Date Code Title Description
A201 Request for examination