KR20220126045A - Method for etching multi-stack of Si-containing layers and manufacturing method of semiconductor device including the same - Google Patents

Method for etching multi-stack of Si-containing layers and manufacturing method of semiconductor device including the same Download PDF

Info

Publication number
KR20220126045A
KR20220126045A KR1020210030129A KR20210030129A KR20220126045A KR 20220126045 A KR20220126045 A KR 20220126045A KR 1020210030129 A KR1020210030129 A KR 1020210030129A KR 20210030129 A KR20210030129 A KR 20210030129A KR 20220126045 A KR20220126045 A KR 20220126045A
Authority
KR
South Korea
Prior art keywords
etching
silicon
etching gas
gas
containing film
Prior art date
Application number
KR1020210030129A
Other languages
Korean (ko)
Inventor
곽정훈
권병향
조용준
Original Assignee
에스케이스페셜티 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에스케이스페셜티 주식회사 filed Critical 에스케이스페셜티 주식회사
Priority to KR1020210030129A priority Critical patent/KR20220126045A/en
Priority to PCT/KR2022/001391 priority patent/WO2022191429A1/en
Publication of KR20220126045A publication Critical patent/KR20220126045A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

The present invention relates to a method for etching a multi-layered body of silicon-containing layers to etch holes with a high aspect ratio, and a method for manufacturing semiconductor devices including the same. According to the present invention, the method for etching a multi-layered body of silicon-containing layers comprises the steps of: introducing a substrate having a multi-layered body, which includes a first silicon-containing film and a second silicon-containing film having a different composition from the first silicon-containing film, into a process chamber of an etching apparatus; supplying an etching gas to the process chamber; and activating the etching gas with a plasma to etch an opening part in the multi-layered body. The etching gas includes: a first etching gas having fluorine (F), nitrogen (N), and oxygen (O) atoms but not having carbon (C) atoms; and a second etching gas having carbon (C), fluorine (F), and hetero atoms, wherein the hetero atom is any one selected from a group consisting of nitrogen (N), sulfur (S), and iodine (I).

Description

실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법{Method for etching multi-stack of Si-containing layers and manufacturing method of semiconductor device including the same}Method for etching multi-stack of Si-containing layers and manufacturing method of semiconductor device including the same

본 발명은 실리콘 함유막의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법에 관한 것으로, 보다 구체적으로, 서로 다른 조성의 실리콘 함유막들의 다중 적층체에 대해 고종횡비(High Aspect Ratio) 식각을 행하는 방법과 그 식각 방법을 포함하는 반도체 디바이스의 제조방법에 관한 것이다.The present invention relates to a method for etching a silicon-containing film and a method for manufacturing a semiconductor device including the same, and more particularly, to a method for performing high aspect ratio etching on a multi-layered body of silicon-containing films having different compositions; It relates to a method of manufacturing a semiconductor device including the etching method.

일반적으로, 기판상에 반도체 디바이스를 제조하기 위하여, 증착, 노광, 식각 등의 일련의 공정들이 행해진다. 이러한 공정들은 증착 장치(예컨대, CVD 장치), 노광 장치, 식각 장치 등에서 행해진다. 이중 식각 공정은 증착 공정에 의해 기판상에 성막된 박막을, 노광 공정에 의해 형성된 패턴을 따라 선택적으로 제거함으로써 원하는 패턴의 초미세 구조물을 형성하는 공정이다.In general, in order to manufacture a semiconductor device on a substrate, a series of processes such as deposition, exposure, and etching are performed. These processes are performed in a deposition apparatus (eg, a CVD apparatus), an exposure apparatus, an etching apparatus, and the like. The double etching process is a process of forming an ultra-fine structure having a desired pattern by selectively removing the thin film formed on the substrate by the deposition process along the pattern formed by the exposure process.

최근, 반도체 메모리 분야에서는, 반도체 메모리 칩의 평면적 크기의 증가를 억제하면서도 반도체 메모리의 고집적화 또는 고용량화를 달성하기 위해서, 반도체 메모리의 셀을 수직으로 적층하는 기술이 적용되고 있다. 예컨대, 낸드(NAND) 플래시 메모리분야에서는 데이터를 기억하는 단위로서의 메모리 셀을 128단 또는 그 이상으로 적층하고 있으며(이러한 낸드 플래시 메모리를 3D 낸드 플래시 메모리라고도 함), 메모리 셀의 적층 단수는 낸드 플래시 메모리의 고집적화 또는 고용량화가 진행됨에 따라 더욱 커질 것으로 예측된다.In recent years, in the field of semiconductor memory, in order to achieve high integration or high capacity of the semiconductor memory while suppressing an increase in the planar size of the semiconductor memory chip, a technique of vertically stacking the cells of the semiconductor memory is applied. For example, in the field of NAND flash memory, 128 or more memory cells are stacked as a unit for storing data (such a NAND flash memory is also called a 3D NAND flash memory), and the number of stacking stages of the memory cells is a NAND flash. It is predicted that it will become larger as the memory becomes more highly integrated or high-capacity.

이러한 3D 낸드 플래시 메모리에서는, 메모리 셀의 적층을 위해, 서로 다른 성분의 실리콘 함유막(예컨대, 실리콘 질화막(SixNy)과 실리콘 산화막(SiO2))을 교대로 적층한 실리콘 함유막의 다중 적층체를 형성한 후, 수직 채널(channel)의 형성을 위해, 식각 공정에 의해 실리콘 함유막의 다중 적층체에 고종횡비의 홀(hole)을 형성한다.In such a 3D NAND flash memory, multiple stacking of silicon-containing films in which silicon-containing films of different components (eg, silicon nitride (Si x N y ) and silicon oxide (SiO 2 )) are alternately stacked for stacking memory cells After the sieve is formed, a hole with a high aspect ratio is formed in the multi-layered body of the silicon-containing film by an etching process to form a vertical channel.

3D 낸드 플래시 메모리에 형성되는 실리콘 함유 다중 적층체의 단수의 지속적인 증가에 따라 실리콘 함유 다중 적층체에 형성해야 할 홀(hole)의 종횡비는 더욱 커지고 있다. As the number of stages of the silicon-containing multi-layer body formed in the 3D NAND flash memory continues to increase, the aspect ratio of holes to be formed in the silicon-containing multi-layer body increases.

실리콘 함유막의 다중 적층체에 고종횡비의 홀을 형성하기 위해서는, 실리콘 함유막이 식각 마스크(예컨대, 포토레지스트 또는 하드마스크)에 대해서는 높은 식각 선택비를 가지면서도, 다중 적층체를 이루는 서로 다른 성분의 실리콘 함유막들이 유사한 식각율(즉, 서로 다른 성분의 실리콘 함유막들이 서로에 대해 1에 가까운 식각 선택비) 및 전체적으로 높은 식각율을 가질 것이 요구된다. 또한, 식각 부산물에 의하여 고종횡비의 홀이 막히는 현상이 생기거나 또는 보우잉(bowing) 현상이 생기지 않아야 한다. In order to form high-aspect-ratio holes in multiple stacks of silicon-containing films, silicon-containing films have a high etch selectivity with respect to an etch mask (eg, photoresist or hardmask), while the silicon-containing films of different components make up the multi-stack. It is required that the containing films have a similar etch rate (ie, an etch selectivity of silicon containing films of different components close to one relative to one another) and an overall high etch rate. In addition, a phenomenon in which a hole having a high aspect ratio is blocked by an etching by-product or a bowing phenomenon should not occur.

실리콘 함유막의 다중 적층체에 고종횡비의 홀을 형성하는데 사용되는 식각 가스로서는, 불소화 탄화수소계(CxHyFz) 식각 가스가 알려져 있다(특허문헌 1, WO2014/104290). 특허문헌 1에서는, 사슬형 포화 불소화 탄화수소 화합물을 포함하는 식각 가스를 사용하여 실리콘 산화막 및 실리콘 질화막으로 이루어지는 다층막을 식각하는 방법이 개시되어 있다.A fluorinated hydrocarbon-based (C x H y F z ) etching gas is known as an etching gas used to form high-aspect-ratio holes in a multi-layered body of a silicon-containing film (Patent Document 1, WO2014/104290). Patent Document 1 discloses a method of etching a multilayer film made of a silicon oxide film and a silicon nitride film using an etching gas containing a chain saturated fluorinated hydrocarbon compound.

특허문헌 1에 개시된 사슬형 포화 불소화 탄화수소를 사용할 경우, 실리콘 질화막과 실리콘 산화막의 다층막을 식각 마스크에 대해서는 높은 선택비로 식각할 수는 있으나, 다층막을 구성하는 실리콘 질화막 및 실리콘 산화막간에 식각율 차이가 있어 고종횡비의 홀을 양호한 형성으로 하기 곤란하며, 또한, 전체적으로 식각율이 낮아 생산성이 저하되는 문제가 있다. When the chain saturated fluorinated hydrocarbon disclosed in Patent Document 1 is used, the multilayer film of the silicon nitride film and the silicon oxide film can be etched with a high selectivity with respect to the etching mask, but there is a difference in the etching rate between the silicon nitride film and the silicon oxide film constituting the multilayer film. It is difficult to form a hole having a high aspect ratio well, and there is a problem in that the overall etch rate is low and productivity is lowered.

특히, 사슬형 포화 불소화 탄화수소를 식각 가스로 사용한 경우, 고종횡비의 홀의 측벽에 불화탄소계 중합체가 생성되어 패시베이션 기능을 함으로써, 고종횡비 홀의 수직 프로파일을 개선하는 것으로 알려져 있으나, 3D 낸드 플래시 메모리의 지속적인 고집적화로 인해 실리콘 함유막 다중 적층체의 단수가 증가함에 따라, 즉, 종횡비가 더욱 증가함에 따라, 불화탄소계 중합체의 생성 및 그 내식성/패시베이션성이 보다 향상될 것이 요구되고 있다.In particular, when a chain saturated fluorinated hydrocarbon is used as the etching gas, a fluorocarbon-based polymer is generated on the sidewall of the high aspect ratio hole to perform a passivation function, thereby improving the vertical profile of the high aspect ratio hole. As the number of stages of the multi-layered silicon-containing film multilayer increases due to high integration, that is, as the aspect ratio further increases, the production of the fluorocarbon-based polymer and its corrosion resistance/passivation properties are required to be further improved.

국제공개공보 WO2014/104290International Publication WO2014/104290

본 발명은 이러한 종래 기술의 문제점을 해결하기 위한 것으로서, 실리콘 함유막의 다중 적층체에 고종횡비의 홀이나 트렌치를 형성함에 있어서, 실리콘 함유막의 다중 적층체를 식각 마스크에 대해 높은 선택비로 식각이 가능할 뿐만 아니라, 전체적인 식각율의 저하 없이 실리콘 질화막과 실리콘 산화막을 유사한 식각율로 식각하기 위한 방법 및 이를 사용하는 반도체 디바이스의 제조방법을 제공하는 것을 목적으로 한다.The present invention is to solve the problems of the prior art, and in forming a hole or trench of a high aspect ratio in a multi-layered body of a silicon-containing layer, it is possible to etch the multi-layered body of the silicon-containing layer with a high selectivity with respect to the etch mask. Rather, an object of the present invention is to provide a method for etching a silicon nitride layer and a silicon oxide layer at a similar etch rate without lowering the overall etch rate, and a method of manufacturing a semiconductor device using the same.

본 발명은 또한, 지구온난화지수(GWP)가 낮은 식각 가스를 사용하여 실리콘 함유막의 다중 적층체에 고종횡비의 홀을 식각을 행할 수 있는 식각 방법 및 이를 포함하는 반도체 디바이스 제조방법을 제공하는 것을 목적으로 한다.Another object of the present invention is to provide an etching method capable of etching a hole having a high aspect ratio in a multi-layered body of a silicon-containing film using an etching gas having a low global warming potential (GWP) and a method for manufacturing a semiconductor device including the same do it with

본 발명은 또한, 고종횡비 홀을 양호한 수직 프로파일로 식각할 수 있는 식각 방법 및 이를 포함하는 반도체 디바이스 제조방법을 제공하는 것을 목적으로 한다.Another object of the present invention is to provide an etching method capable of etching a high aspect ratio hole with a good vertical profile and a semiconductor device manufacturing method including the same.

본 발명의 제1 양태에 따른 실리콘 함유막의 다중 적층체의 식각 방법은, The etching method of the multi-layered body of the silicon-containing film according to the first aspect of the present invention,

실리콘 함유막의 다중 적층체의 식각 방법으로서,A method for etching a multi-layered body of a silicon-containing film, comprising:

식각 장치의 공정 챔버내로 제1 실리콘 함유막 및 상기 제1 실리콘 함유막과 조성이 다른 제2 실리콘 함유막을 포함하는 다중 적층체가 형성된 기판을 도입하는 단계와,introducing a multi-layered substrate including a first silicon-containing film and a second silicon-containing film having a composition different from that of the first silicon-containing film into a process chamber of an etching apparatus;

상기 공정 챔버에 식각 가스를 공급하는 단계와, supplying an etching gas to the process chamber;

상기 식각 가스를 플라즈마에 의해 활성화하여 상기 다중 적층체에 개구부를 식각하는 단계를 포함하며,and etching the opening in the multi-layered body by activating the etching gas by plasma,

상기 식각 가스는, 불소(F), 질소(N) 및 산소(O) 원자를 가지되 탄소(C)원자를 가지지 않는 제1 식각 가스와, 탄소(C), 불소(F) 및 헤테로 원자를 가지는 제2 식각 가스를 포함하고,The etching gas includes a first etching gas having fluorine (F), nitrogen (N) and oxygen (O) atoms but not having a carbon (C) atom, and carbon (C), fluorine (F) and hetero atoms. the branch comprises a second etching gas,

상기 헤테로 원자는, 질소(N), 황(S), 및 요오드(I)로 이루어지는 군으로부터 선택되는 어느 하나인 것을 특징으로 한다.The hetero atom is characterized in that any one selected from the group consisting of nitrogen (N), sulfur (S), and iodine (I).

본 발명의 제2 양태에 따른 반도체 디바이스의 제조방법은, A method of manufacturing a semiconductor device according to a second aspect of the present invention,

제1 실리콘 함유막 및 상기 제1 실리콘 함유막과 조성이 다른 제2 실리콘 함유막의 다중 적층막을 기판상에 형성하는 단계와,forming a multi-layered film of a first silicon-containing film and a second silicon-containing film having a composition different from that of the first silicon-containing film on a substrate;

상기 다중 적층막상에 식각 마스크를 형성하는 단계와,forming an etch mask on the multi-layered film;

본 발명의 제1 양태에 따른 식각 방법에 의해 상기 다중 적층막을 식각하는 단계를 포함하는 것을 특징으로 한다.It characterized in that it comprises the step of etching the multi-layered film by the etching method according to the first aspect of the present invention.

본 발명에 의하면, 실리콘 함유막 다중 적층체에 양호한 수직 프로파일을 가지는 고종횡비의 홀을 형성할 수 있다. 또한, 식각 공정의 폐가스에 의한 지구 환경에의 영향 및 폐가스 처리 비용을 저감할 수 있다.According to the present invention, it is possible to form a high aspect ratio hole having a good vertical profile in a silicon-containing film multi-layer body. In addition, it is possible to reduce the impact on the global environment and waste gas treatment cost by the waste gas of the etching process.

도 1a 및 1b는 본 발명의 일 실시예에 따른 식각 방법을 수행하기 위한 식각 장치의 모식도이다.
도 2는 본 발명의 일 실시예에 따른 식각 방법의 흐름도이다.
도 3은 본 발명의 일 실시예에 따른 반도체 디바이스의 제조 방법의 흐름도이다.
1A and 1B are schematic diagrams of an etching apparatus for performing an etching method according to an embodiment of the present invention.
2 is a flowchart of an etching method according to an embodiment of the present invention.
3 is a flowchart of a method of manufacturing a semiconductor device according to an embodiment of the present invention.

이하에서는 첨부된 도면을 참조하여 본 발명의 실시예들을 상세히 설명한다. 도면 상의 동일한 구성요소에 대해서는 동일한 참조부호를 사용하고, 이들에 대한 중복된 설명은 생략한다.Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings. The same reference numerals are used for the same components in the drawings, and duplicate descriptions thereof are omitted.

도 1a 및 1b는 본 발명의 일 실시예에 따른 식각 방법을 실시하기 위한 식각 장치(1)를 도시한다.1A and 1B show an etching apparatus 1 for performing an etching method according to an embodiment of the present invention.

식각 장치(1)는, 다이렉트 플라즈마를 생성할 수 있는 용량 결합형 플라즈마(CCP; Capacitively Coupled Plasma) 장치로서, 플라즈마 방전을 통해 플라즈마(P)가 식각 장치(1)의 공정 챔버(10) 내에 직접 생성된다. 다만, 본 발명은 용량 결합형 플라즈마 장치로 한정되지 않으며, 플라즈마를 공정 챔버(10)내에 생성할 수 있는 한 다른 형태의 장치이어도 된다. 예컨대, 식각 장치(1)는 유도 결합형 플라즈마(ICP; Inductively Coupled Plasma) 장치이거나, 이들의 조합이어도 된다.The etching apparatus 1 is a capacitively coupled plasma (CCP) apparatus capable of generating a direct plasma, and the plasma P is directly generated in the process chamber 10 of the etching apparatus 1 through plasma discharge. is created However, the present invention is not limited to a capacitively coupled plasma apparatus, and other types of apparatus may be used as long as plasma can be generated in the process chamber 10 . For example, the etching apparatus 1 may be an inductively coupled plasma (ICP) apparatus, or a combination thereof.

식각 장치(1)는, 전극의 역할을 겸하는 샤워 헤드(20) 및 샤워 헤드(20)에 연결된 RF 전원을 포함하며, RF 전원은 RF 생성기(30) 및 임피던스 매칭 네트워크(40, Impedance Matching Network: I.M.N.)를 포함한다. The etching apparatus 1 includes a shower head 20 serving as an electrode and an RF power connected to the shower head 20, and the RF power is an RF generator 30 and an impedance matching network 40: I.M.N.).

식각 장치(1)의 샤워 헤드(20)는 공정 챔버(10) 내부의 상부에 배치되며, 식각 가스나 기타 가스를 공정 챔버(10) 내로 공급하는데 사용된다. The shower head 20 of the etching apparatus 1 is disposed above the inside of the process chamber 10 , and is used to supply an etching gas or other gas into the process chamber 10 .

RF 생성기(30)는 RF 전원을 생성하고, 임피던스 매칭 네트워크(40)는 임피던스를 조절하여 플라즈마를 안정화한다.The RF generator 30 generates RF power, and the impedance matching network 40 adjusts the impedance to stabilize the plasma.

도 1a 및 도 1b에 도시된 바와 같이, 식각 장치(1)는 처리 대상물인 기판(S)을 보유지지하는 스테이지(50)를 공정 챔버(10)내의 하부에 포함한다. 식각 장치(1)의 스테이지(50)는 접지되어, 접지 전극으로서 기능한다. 스테이지(50) 내부에는 열선(510) 또는 히터 전극 등이 배치되어, 기판(S)의 온도를 조절할 수 있다. 또한, 도 1a 및 1b에 도시하지 않았으나, 스테이지(50)는 식각 공정 동안 기판(S)을 고정할 수 있는 고정 수단(예컨대, 정전척 등)을 포함할 수 있다. As shown in FIGS. 1A and 1B , the etching apparatus 1 includes a stage 50 holding the substrate S, which is a processing object, in the lower portion of the process chamber 10 . The stage 50 of the etching apparatus 1 is grounded and functions as a ground electrode. A heating wire 510 or a heater electrode may be disposed inside the stage 50 to control the temperature of the substrate S. Also, although not shown in FIGS. 1A and 1B , the stage 50 may include a fixing means (eg, an electrostatic chuck, etc.) capable of fixing the substrate S during the etching process.

스테이지(50)가 접지된 상태에서, 샤워 헤드(20)에 소정의 파워의 RF 전원을 인가하면, 샤워헤드(20)와 스테이지(50) 사이에 강한 교번 전기장이 생성되어 플라즈마(P)가 생성된다. 다이렉트 CCP 형태의 식각 장치의 경우, RF 전원의 파워를 높이면 활성종의 농도가 높아져서, 식각 레이트가 커진다.When RF power of a predetermined power is applied to the shower head 20 while the stage 50 is grounded, a strong alternating electric field is generated between the shower head 20 and the stage 50 to generate plasma P do. In the case of a direct CCP type etching apparatus, when the power of the RF power source is increased, the concentration of active species is increased, and thus the etching rate is increased.

플라즈마(P)를 발생시키면, 식각 가스로부터 라디칼, 이온, 전자, 자외선 등의 성분들이 생성된다. 이러한 라디칼과 이온, 전자, 자외선 등의 성분들 중 적어도 하나가 식각에 이용될 수 있다. 라디칼은 전기적으로 중성이고 이온은 전기적으로 극성을 갖는다. 그에 따라, 플라즈마(P)가 식각 공정에 이용되는 경우, 라디칼은 식각 대상을 화학적 식각에 의해 등방성으로 식각하고, 이온은 식각 대상을 물리적 식각에 의해 이방성으로 식각한다. When the plasma P is generated, components such as radicals, ions, electrons, and ultraviolet rays are generated from the etching gas. At least one of these radicals, ions, electrons, and ultraviolet rays may be used for etching. Radicals are electrically neutral and ions are electrically polar. Accordingly, when the plasma P is used in the etching process, radicals anisotropically etch an etched object by chemical etching, and ions anisotropically etch an etched object by physical etching.

도 1a의 식각 장치(1)는 샤워 헤드(20)에 RF 전원을 연결하는 구조를 가지나, 식각 장치(1)는 이에 한정되지 않으며, 라디칼에 의한 화학적 식각 뿐만 아니라 플라즈마내의 이온의 물리적인 충돌에 의한 식각을 함께 행하기 위해, 도 1b에 도시한 바와 같이, 스테이지(50)에도 RF 전원 또는 DC 바이어스 전원이 추가적으로 연결될 수도 있다.The etching apparatus 1 of FIG. 1a has a structure for connecting RF power to the shower head 20, but the etching apparatus 1 is not limited thereto. In order to perform the etching together by the lithography process, an RF power supply or a DC bias power supply may be additionally connected to the stage 50 as shown in FIG. 1B .

또한, 본 실시예의 식각 장치(1)는 ICP 장치가 복합된 형태를 가질 수도 있다. 이 경우, 식각 장치(1)에는 코일 안테나가 배치될 수 있고, 그러한 코일 안테나에 RF 전원이 연결될 수 있다. 또한, 본 실시예의 식각 장치(1)는 원격 플라즈마 장치가 결합한 형태를 가질 수도 있다. In addition, the etching apparatus 1 of the present embodiment may have a complex form of an ICP apparatus. In this case, a coil antenna may be disposed in the etching apparatus 1 , and RF power may be connected to the coil antenna. In addition, the etching apparatus 1 of the present embodiment may have a form in which a remote plasma apparatus is combined.

이하, 도 2를 참조하여, 본 발명의 일 실시예에 따른 식각 방법을 설명한다. Hereinafter, an etching method according to an embodiment of the present invention will be described with reference to FIG. 2 .

도 2는 본 발명의 일 실시예에 따른 식각 방법의 흐름도이다. 도 2에 도시한 본 발명의 식각 방법은, 제1 실리콘 함유막 및 이와 조성이 다른 제2 실리콘 함유막을 포함하는 다중 적층체에 고종횡비의 홀(개구부)을 식각하기 위한 식각 가스로서, 불소(F), 질소(N) 및 산소(O) 원자를 가지되, 탄소(C) 원자를 함유하지 않는 제1 식각 가스와, 탄소(C), 불소(F) 및 헤테로 원자를 가지는 제2 식각 가스를 함께 사용하는 것을 특징으로 한다. 여기서, 제2 식각 가스에 함유되는 헤테로 원자는, 질소(N), 황(S) 또는 요오드(I)일 수 있다.2 is a flowchart of an etching method according to an embodiment of the present invention. The etching method of the present invention shown in FIG. 2 is an etching gas for etching high-aspect-ratio holes (openings) in a multi-layered body including a first silicon-containing film and a second silicon-containing film having a different composition therefrom, fluorine ( F), a first etching gas having nitrogen (N) and oxygen (O) atoms, but not containing a carbon (C) atom, and a second etching gas having carbon (C), fluorine (F) and hetero atoms It is characterized by using together. Here, the hetero atom contained in the second etching gas may be nitrogen (N), sulfur (S), or iodine (I).

제1 식각 가스는, 불소(F), 질소(N) 및 산소(O) 원자를 가짐으로써, 플라즈마에 의해 활성화되었을 때, 적어도 F 라디칼과 NO 라디칼을 생성할 수 있는 가스인 것이 바람직하다. 또한, 제1 식각 가스는 식각 공정동안 불화탄소계의 내부식성 중합막을 형성하지 않도록 탄소(C) 원자를 포함하지 않는 가스인 것이 바람직하다. 예컨대, 제1 식각 가스는, 특히, F3NO인 것이 바람직하다.The first etching gas preferably has fluorine (F), nitrogen (N), and oxygen (O) atoms, and is a gas capable of generating at least F radicals and NO radicals when activated by plasma. In addition, the first etching gas is preferably a gas that does not contain carbon (C) atoms so as not to form a fluorocarbon-based corrosion-resistant polymerization layer during the etching process. For example, it is preferable that the first etching gas is, in particular, F 3 NO.

제1 식각 가스는, 플라즈마에 의해 활성화되어 F 라디칼의 공급원으로서 기능한다. F 라디칼은 제1 실리콘 함유막으로서의 실리콘 질화막과 제2 실리콘 산화막으로서의 실리콘 산화막을 모두 식각하는 활성종으로서, 실리콘 질화막과 실리콘 산화막을 모두 높은 식각율로 식각한다. The first etching gas is activated by the plasma and functions as a source of F radicals. The F radical is an active species that etches both the silicon nitride layer as the first silicon-containing layer and the silicon oxide layer as the second silicon oxide layer, and etches both the silicon nitride layer and the silicon oxide layer at a high etch rate.

또한, 제1 식각 가스는, 플라즈마에 의해 활성화되어 NO 라디칼의 공급원으로서 기능한다. NO 라디칼은 F 라디칼에 의한 실리콘 질화막의 식각 반응 에너지를 낮춤으로써, F 라디칼에 의한 실리콘 질화막의 식각율을 상대적으로 높이는 역할을 한다. 통상적으로, F 라디칼에 의한 실리콘 산화막의 식각율이 실리콘 질화막의 식각율보다 높은데, NO 라디칼이 F 라디칼에 의한 실리콘 산화막의 식각율은 저하시키지 않으면서, 실리콘 질화막의 식각율을 상대적으로 더욱 증가시키기 때문에, F 라디칼에 의한 실리콘 질화막과 실리콘 산화막의 식각율의 차이를 저감시킬 수 있으며, 이에 의해 이들간의 식각 선택비를 1에 가깝게(즉, 식각율이 유사하게) 할 수 있다.In addition, the first etching gas is activated by the plasma and functions as a source of NO radicals. The NO radical lowers the etch reaction energy of the silicon nitride layer by the F radical, and thus serves to relatively increase the etching rate of the silicon nitride layer by the F radical. In general, the etching rate of the silicon oxide film by the F radical is higher than the etching rate of the silicon nitride film. Therefore, it is possible to reduce the difference in the etching rate between the silicon nitride film and the silicon oxide film by the F radical, thereby making the etching selectivity between them close to 1 (that is, the etching rate is similar).

즉, F 라디칼 및 NO 라디칼을 동시에 공급할 수 있는 식각 가스로서, F3NO를 사용함으로써, 제1 실리콘 함유막으로서의 실리콘 질화막과 제2 실리콘 함유막으로서의 실리콘 산화막을 선택비(SiN/SiO2)를 1에 가깝게 하면서도 두 실리콘 함유막 모두를 높은 식각율로 식각할 수 있다. That is, by using F 3 NO as an etching gas capable of simultaneously supplying F radicals and NO radicals, the selectivity ratio (SiN/SiO 2 ) of the silicon nitride film as the first silicon-containing film and the silicon oxide film as the second silicon-containing film It is possible to etch both silicon-containing films at a high etch rate while making it close to 1.

또한, F 라디칼의 공급원과 NO 라디칼의 공급원을 서로 다른 식각 가스에 의해 공급하는 경우에 비해, 식각 장치의 구성을 간단하게 할 수 있으며, 식각 공정의 제어성을 향상시킬 수 있다.In addition, compared to the case where the source of the F radical and the source of the NO radical are supplied by different etching gases, the configuration of the etching apparatus may be simplified and the controllability of the etching process may be improved.

특히, F3NO는, 종래 실리콘 함유막의 식각 가스로서 사용되던 CF4, C2F6 등(ITH 100년, CO2 기준으로 CF4의 지구 온난화 지수는 9,200 정도임)과 달리 지구 온난화 지수가 낮은 친환경적 식각 가스로서, 반도체 산업에서의 다량 사용으로 인한 지구 환경에의 영향을 최소화할 수 있다. 또한, 식각 공정후의 폐가스 중에는 분해되지 않은 과불화 물질이 매우 높은 조성비로 포함되어 있는데, 이러한 과불화물 식각 가스들은 안정한 물질로서 대기중에 매우 장기간 존재하기 때문에, 이러한 폐가스를 배출 허용기준치 이하로 처리하여 대기중으로 방출하여야 하며, 이에 많은 처리 비용이 필요하였다. 이에 비해, F3NO는 산, 알카리 수용액에서 쉽게 분해되어 폐가스 처리 비용을 크게 저감시킬 수 있다. In particular, F 3 NO, CF 4 , C 2 F 6 , etc. (ITH 100 years, the global warming potential of CF 4 based on CO 2 is about 9,200), which was used as an etching gas for a conventional silicon-containing film, has a global warming potential. As a low eco-friendly etching gas, it is possible to minimize the impact on the global environment due to the large amount of use in the semiconductor industry. In addition, the waste gas after the etching process contains an undecomposed perfluoride material in a very high composition ratio, and since these perfluoride etching gases are stable materials and exist for a very long time in the atmosphere, the waste gas is treated below the emission limit to the atmosphere. It should be discharged into the middle, and this required a lot of treatment cost. On the other hand, F 3 NO is easily decomposed in an acid or alkaline aqueous solution, and thus waste gas treatment cost can be greatly reduced.

제2 식각 가스는, 플라즈마에 의해 활성화되어, 실리콘 질화막 및 실리콘 산화막의 다중 적층체에 고종횡비의 홀을 식각할 때, 홀의 측벽상에 불화탄소계 중합체를 형성할 수 있는 것이 바람직하다. 예컨대, 제2 식각 가스로서는, 헤테로 원자를 함유하는 불소화 탄화수소계 가스(CaHbFcXd, 여기서, a는 2~4, b는 0~4, c는 4~8, d는 1~3임)가 바람직하며, 헤테로 원자는 질소(N), 황(S) 또는 요오드(I)를 포함할 수 있다.Preferably, the second etching gas is activated by plasma to form a fluorocarbon-based polymer on the sidewalls of the holes when etching high-aspect-ratio holes in a multi-layered body of a silicon nitride film and a silicon oxide film. For example, as the second etching gas, a fluorinated hydrocarbon-based gas containing a hetero atom (C a H b F c X d , where a is 2 to 4, b is 0 to 4, c is 4 to 8, d is 1 3) is preferred, and the heteroatom may include nitrogen (N), sulfur (S) or iodine (I).

이러한 헤테로 원자 함유 불소화 탄화수소계 가스에 의해 홀의 측벽과 하드마스크(예컨대, 비정질 탄소계 하드마스크)상에 형성되는 불화탄소계 중합체는 일종의 보호층 또는 패시베이션층으로서 기능하여, 홀 측벽의 국부적인 영역들에서의 측면 식각 또는 보잉을 방지하고 하드마스크의 데미지를 방지한다. 이에 의해, 고종횡비 홀의 깊이 방향 전체에 걸쳐서 홀의 폭을 일정하게 유지하고(즉, 고종횡비 홀의 수직 프로파일을 양호하게 하고), 홀 식각 후의 변형을 방지할 수 있다. The fluorocarbon-based polymer formed on the sidewall and hardmask (eg, amorphous carbon-based hardmask) of the hole by the heteroatom-containing fluorinated hydrocarbon-based gas functions as a kind of protective layer or passivation layer, so that local regions of the hole sidewall Prevents side etching or bowing on the surface and prevents damage to the hard mask. Thereby, it is possible to keep the width of the hole constant throughout the depth direction of the high-aspect-ratio hole (that is, to make the vertical profile of the high-aspect-ratio hole good), and to prevent deformation after hole etching.

특히, 헤테로 원자 함유 불소화 탄화수소계 가스의 경우, 플라즈마에 의한 활성화시에, 헤테로 원자를 함유하지 않는 불소화 탄화수소계 가스에 비해, 높은 C/F비를 가지는 CFx 라디칼의 형성이 촉진되어 불화탄소계 중합체의 형성이 강화된다. 또한, 플라즈마에 의한 활성화시에, CFx 라디칼 등 이외에 헤테로 원자가 포함된 라디칼(CxFyXz, X 등)이 함께 형성되어, 불화탄소계 중합체에 헤테로 원자가 포함되게 된다. 헤테로 원자가 포함된 불화탄소계 중합체는 내식각성/패시베이션 성능이 더욱 뛰어나다.In particular, in the case of a fluorinated hydrocarbon-based gas containing a hetero atom, when activated by plasma, the formation of a CF x radical having a high C/F ratio is promoted, compared to a fluorinated hydrocarbon-based gas not containing a hetero atom, so that the fluorocarbon gas is promoted. The formation of the polymer is enhanced. In addition, during activation by plasma, radicals (C x F y X z , X, etc.) containing hetero atoms other than CF x radicals are formed together, and the hetero atoms are included in the fluorocarbon-based polymer. The fluorocarbon-based polymer containing hetero atoms has more excellent etch resistance/passivation performance.

즉, 헤테로 원자(S, N 또는 I)가 포함된 불소화 탄화수소계 가스는 식각 공정 동안 내식각성/패시베이션성이 우수한 중합체층을 홀의 측벽 및 식각 마스크 상에 형성할 수 있으며, 고종횡비 홀의 식각시 홀의 측벽상에 패시베이션 성능이 향상된 헤테로 원자 함유의 불화탄소계 중합체가 형성됨으로 인해, 홀의 식각 수직 프로파일을 보다 양호하게 할 수 있다. 따라서, 실리콘 함유막의 다중 적층체의 단수가 128단 이상으로 더욱 증가하더라도, 양호한 수직 프로파일을 가진 고종횡비 홀을 형성할 수 있게 된다. That is, the fluorinated hydrocarbon-based gas containing hetero atoms (S, N or I) can form a polymer layer with excellent etch resistance/passivation properties on the sidewalls and etch masks of the holes during the etching process, and when etching high-aspect-ratio holes, Since the fluorocarbon-based polymer containing hetero atoms having improved passivation performance is formed on the sidewall, the etched vertical profile of the hole can be improved. Accordingly, even if the number of stages of the multi-layer stack of silicon-containing films is further increased to 128 or more, it becomes possible to form a high-aspect-ratio hole having a good vertical profile.

제2 식각 가스로서의 헤테로 원자 함유 불소화 탄화수소계(CaHbFcXd) 가스는 C/F 비(a/c; 탄소원자수와 불소원자수의 비)가 0.5 이상인 것이 바람직하다. 이에 의해, 식각반응과 경합하여 일어나는 불화탄소계 중합체의 형성을 상대적으로 촉진함으로써, 고종횡비 식각에 있어서의 홀의 형상 변형을 효과적으로 저감할 수 있다. 다만, C/F비가 4보다 커지면, 상대적으로 식각 성능이 감소하므로, C/F비는 4 이하인 것이 바람직하다. 또한, 중합체 형성의 관점에서 a는 2 이상인 것이 바람직하나, 5 이상이면, 고종횡비 홀의 입구 부분이 불화탄소계 중합체에 의해 좁아져 홀의 형상이 악화되기 쉬워지므로, a는 2 내지 4 이하인 것이 바람직하다. The hetero atom-containing fluorinated hydrocarbon-based (C a H b F c X d ) gas as the second etching gas preferably has a C/F ratio (a/c; ratio of the number of carbon atoms to the number of fluorine atoms) of 0.5 or more. Accordingly, by relatively promoting the formation of the fluorocarbon-based polymer that occurs in competition with the etching reaction, it is possible to effectively reduce the shape deformation of the hole in the high aspect ratio etching. However, when the C/F ratio is greater than 4, since the etching performance is relatively reduced, the C/F ratio is preferably 4 or less. In addition, from the viewpoint of polymer formation, a is preferably 2 or more, but if it is 5 or more, the inlet portion of the high aspect ratio hole is narrowed by the fluorocarbon-based polymer and the shape of the hole is easily deteriorated, so a is preferably 2 to 4 or less .

즉, 제2 식각 가스로서 헤테로 원자 함유 불소화 탄화수소계 가스를 사용할 경우, 탄소계 중합체의 형성과 F 라디칼에 의한 식각 성능의 밸런스를 고려하여, a가 2~4이고, C/F비가 0.5~4이면서 헤테로 원자를 함유한 불소화 탄화수소계 가스를 사용하는 것이 바람직하다.That is, when a fluorinated hydrocarbon-based gas containing a hetero atom is used as the second etching gas, a is 2 to 4, and a C/F ratio is 0.5 to 4 in consideration of the balance between the formation of the carbon-based polymer and the etching performance by the F radical. It is preferable to use a fluorinated hydrocarbon-based gas containing a hetero atom.

예컨대, 제2 식각 가스로서 2,2,4,4-테트라플루오로-1,3-디티에탄(C2F4S2, CAS No. 1717-50-6), 2,2,2-트리플루오로에탄티올(C2H3F3S, CAS No. 1544-53-2), 비스(트리플루오로메틸)설파이드(C2F6S, CAS No. 371-78-8), 2,3,3,3-테트라플루오로프로피오니트릴(C3HF4N, CAS No. 431-32-3), 2,2,3,3- 테트라플루오로프로피오니트릴(C3HF4N, CAS No. 425-85-4), 디플루오로아세토니트릴(C2HF2N, CAS No. 359-12-6), 트리플루오로아세토니트릴(C2F3N, CAS No. 353-85-5), 2,2,3,4,4-펜타플루오로부텐니트릴(C4F5N, CAS No. 7792-66-7), 2,2,3,3,3-펜타플루오로프로필아민(C3H4F5N, CAS No. 422-03-7), 1,1,1,3,3,3,-헥사플루오로프로필아민(C3H3F6N, CAS No. 1619-92-7), 2,2,2-트리플루오로에틸아민(C2H4F3N, CAS No. 753-90-2), 1,1,2,2-테트라플루오로-1-아이오도에탄(C2HF4I, CAS No. 354-41-6), 헵타플루오로-2-아이오도프로판(C3F7I, CAS No. 677-69-0), 1,2,2트리플루오로-1-아이오도에탄(C2F3I, CAS No. 359-37-5), 1,1,2,3,3-펜타플루오로-3-아이오도-1-프로펜(C3F5I, CAS No. 431-65-2), 1,1,1,2,3,3-헥사플루오로-3-아이오도프로판(C3HF6I, CAS No. 431-90-3) 등으로부터 선택된 하나 이상의 헤테로 원자 함유의 사슬형 또는 고리형 불소화 탄화수소계 가스를 사용할 수 있다. 제2 식각 가스로서의 헤테로 원자 함유 불소화 탄화수소계 화합물은 소정의 비율의 이성질체를 함유할 수 있다.For example, as the second etching gas, 2,2,4,4-tetrafluoro-1,3-dithiethane (C 2 F 4 S 2 , CAS No. 1717-50-6), 2,2,2-tri Fluoroethanethiol (C 2 H 3 F 3 S, CAS No. 1544-53-2), bis (trifluoromethyl) sulfide (C 2 F 6 S, CAS No. 371-78-8), 2, 3,3,3-tetrafluoropropionitrile (C 3 HF 4 N, CAS No. 431-32-3), 2,2,3,3-tetrafluoropropionitrile (C 3 HF 4 N, CAS No. 425-85-4), difluoroacetonitrile (C 2 HF 2 N, CAS No. 359-12-6), trifluoroacetonitrile (C 2 F 3 N, CAS No. 353-85) -5), 2,2,3,4,4-pentafluorobutenenitrile (C 4 F 5 N, CAS No. 7792-66-7), 2,2,3,3,3-pentafluoropropyl Amine (C 3 H 4 F 5 N, CAS No. 422-03-7), 1,1,1,3,3,3,-hexafluoropropylamine (C 3 H 3 F 6 N, CAS No. 1619-92-7), 2,2,2-trifluoroethylamine (C 2 H 4 F 3 N, CAS No. 753-90-2), 1,1,2,2-tetrafluoro-1 -iodoethane (C 2 HF 4 I, CAS No. 354-41-6), heptafluoro-2-iodopropane (C 3 F 7 I, CAS No. 677-69-0), 1,2 ,2-trifluoro-1-iodoethane (C 2 F 3 I, CAS No. 359-37-5), 1,1,2,3,3-pentafluoro-3-iodo-1-pro Pen (C 3 F 5 I, CAS No. 431-65-2), 1,1,1,2,3,3-hexafluoro-3-iodopropane (C 3 HF 6 I, CAS No. 431) -90-3) and the like, a chain or cyclic fluorinated hydrocarbon gas containing at least one hetero atom may be used. The hetero atom-containing fluorinated hydrocarbon-based compound as the second etching gas may contain isomers in a predetermined ratio.

제2 식각 가스로서의 헤테로 원자 함유 불소화 탄화수소계 화합물 중 H원자를 포함하는 것은, H 원자의 존재로 인해 중합체 생성이 촉진되어, H를 함유하지 않는 경우보다 내식각성/페시베이션성이 더 향상된다는 점에서 더욱 바람직하다. 예컨대, 상술한 헤테로 원자 함유 불소화 탄화수소계 화합물 중, 2,2,2-트리플루오로에탄티올(C2H3F3S), 2,2,3,3,3-펜타플루오로프로필아민(C3H4F5N), 1,1,1,3,3,3-헥사플루오로프로필아민(C3H3F6N), 2,2,2-트리플루오로에틸아민(C2H4F3N), 1,1,2,2-테트라플루오로-1-아이오도에탄(C2HF4I), 1,1,1,2,3,3-헥사플루오로-3-아이오도프로판(C3HF6I) 등이 제2 식각 가스로서 보다 바람직하다. In the case where the hetero atom-containing fluorinated hydrocarbon-based compound as the second etching gas contains an H atom, polymer production is accelerated due to the presence of the H atom, and the etching resistance/passivation property is further improved than that of the case where H is not contained. more preferably in For example, among the above-mentioned hetero atom-containing fluorinated hydrocarbon compounds, 2,2,2-trifluoroethanethiol (C 2 H 3 F 3 S), 2,2,3,3,3-pentafluoropropylamine ( C 3 H 4 F 5 N), 1,1,1,3,3,3-hexafluoropropylamine (C 3 H 3 F 6 N), 2,2,2-trifluoroethylamine (C 2 H 4 F 3 N), 1,1,2,2-tetrafluoro-1-iodoethane (C 2 HF 4 I), 1,1,1,2,3,3-hexafluoro-3- Iodopropane (C 3 HF 6 I) or the like is more preferable as the second etching gas.

다만, 본 발명은 이에 한정되지 않으며, 제2 식각 가스는, 헤테로 원자(N, S, 또는 I 등)를 함유한 다른 헤테로 원자 함유의 사슬형 또는 고리형 불소화 탄화수소계 가스이어도 된다.However, the present invention is not limited thereto, and the second etching gas may be a chain or cyclic fluorinated hydrocarbon gas containing another hetero atom containing a hetero atom (N, S, or I, etc.).

도 2를 참조하면, 본 발명의 일 실시예에 따른 식각 방법은, 제1 실리콘 함유막 및 이와 조성이 다른 제2 실리콘 함유막을 포함하는 다중 적층체가 형성된 기판을 게이트 밸브(미도시)를 통해 식각 장치(1)의 공정 챔버(10)내로 반입하여, 식각 장치(1)내의 스테이지(50)상에 재치한다(S01). 여기서, 기판(S)에 형성된 제1 실리콘 함유막은 실리콘 질화막(SixNy)을 포함하며, 제2 실리콘 함유막은 실리콘 산화막(SiO2)을 포함한다. 다만, 본 발명의 식각 방법은 이에 한정되지 않으며, 다른 실리콘 함유막(예컨대, 비정질 실리콘막, 폴리 실리콘막, 실리사이드막 등)을 포함할 수 있다.Referring to FIG. 2 , in the etching method according to an embodiment of the present invention, a substrate on which a multi-layered body including a first silicon-containing layer and a second silicon-containing layer having a different composition is etched through a gate valve (not shown). It is brought into the process chamber 10 of the apparatus 1 and placed on the stage 50 in the etching apparatus 1 ( S01 ). Here, the first silicon-containing layer formed on the substrate S includes a silicon nitride layer (Si x N y ), and the second silicon-containing layer includes a silicon oxide layer (SiO 2 ). However, the etching method of the present invention is not limited thereto, and may include other silicon-containing films (eg, an amorphous silicon film, a polysilicon film, a silicide film, etc.).

이어서, 공정 챔버(10)내로 제1 식각 가스로서 F3NO와 제2 식각 가스로서 헤테로 원자 함유 불소화 탄화수소계 가스를 소정의 유량비로 샤워 헤드(20)를 통해 별도로 공급한다(S02). 제1 식각 가스와 제2 식각 가스의 유량비는 3~5:0.5~2인 것이 바람직하다.Next, F 3 NO as the first etching gas and the hetero atom-containing fluorinated hydrocarbon-based gas as the second etching gas are separately supplied into the process chamber 10 at a predetermined flow rate through the shower head 20 ( S02 ). It is preferable that the flow ratio of the first etching gas and the second etching gas is 3-5:0.5-2.

예컨대, 본 실시예에서는 F3NO를 40sccm의 유량으로 공급하고, 헤테로 원자 함유 불소화 탄화수소계 가스로서, 2,2,3,3,3-펜타플루오로프로필아민(C3H4F5N)을 10sccm의 유량으로 공급하였다. 즉, 제1 식각 가스와 제2 식각 가스의 공급유량비를 4:1로 하여 공급하였다. 헤테로 원자 함유 불소화 탄화수소계 가스로서, 탄소 함유량(a)이 더 작은 2,2,2-트리플루오로에탄티올(C2H3F3S) 또는 1,1,2,2-테트라플루오로-1-아이오도에탄(C2HF4I)을 사용하는 실시예에서는, 상대적으로 제2 식각 가스의 공급 유량을 낮추어, 8sccm 정도의 유량으로 공급하였다. For example, in this embodiment, F 3 NO is supplied at a flow rate of 40 sccm, and as a hetero atom-containing fluorinated hydrocarbon-based gas, 2,2,3,3,3-pentafluoropropylamine (C 3 H 4 F 5 N) was supplied at a flow rate of 10 sccm. That is, the supply flow ratio of the first etching gas and the second etching gas was 4:1. 2,2,2-trifluoroethanethiol (C 2 H 3 F 3 S) or 1,1,2,2-tetrafluoro- having a lower carbon content (a) as a hetero atom-containing fluorinated hydrocarbon gas In the example using 1-iodoethane (C 2 HF 4 I), the supply flow rate of the second etching gas was relatively lowered, and the second etching gas was supplied at a flow rate of about 8 sccm.

이를 통해, 실리콘 함유막 다중 적층체의 전체적인 식각 속도를 높게 유지하면서, 식각되는 고종횡비 홀의 수직 프로파일을 양호하게 유지할 수 있었다. Through this, the vertical profile of the etched high-aspect-ratio hole could be maintained well while maintaining the overall etching rate of the multi-layer silicon-containing layer multilayer body high.

다만, 본 발명은 이러한 유량비에 한정되지 않으며, 제2 식각 가스로서 어떤 가스를 사용하는가에 따라 식각속도 및 홀의 수직 프로파일에의 영향을 고려하여 최적화된 다른 유량비를 선택할 수 있다.However, the present invention is not limited to this flow rate ratio, and depending on which gas is used as the second etching gas, another optimized flow rate ratio may be selected in consideration of the effect on the etching rate and the vertical profile of the hole.

헤테로 원자 함유 불소화 탄화수소계 식각 화합물은 순수한 형태로, 또는 적합한 용매(예컨대, 에틸 벤젠 등)와의 혼합물 형태로 공급될 수 있다. 본 명세서에서 예시한 헤테로 원자 함유 불소화 탄화수소계 식각 화합물 중, 실온, 대기압에서 비-가스상(즉, 액체)인 화합물은, 식각 장치(1)의 챔버(10) 내에 도입되기 전에 기화된다. 예컨대, 실온/대기압에서 비-가스상인 헤테로 원자 함유 불소화 탄화수소계 식각 화합물은 직접 기화와 같은 통상적인 기화단계를 통해 또는 불활성가스(N2, Ar, He)로 버블링시킴으로써 기화하여 챔버(10)에 공급된다. The hetero atom-containing fluorinated hydrocarbon-based etching compound may be supplied in pure form or in a mixture form with a suitable solvent (eg, ethyl benzene, etc.). Among the hetero atom-containing fluorinated hydrocarbon-based etching compounds exemplified herein, compounds that are non-gas phase (ie, liquid) at room temperature and atmospheric pressure are vaporized before being introduced into the chamber 10 of the etching apparatus 1 . For example, a hetero atom-containing fluorinated hydrocarbon-based etching compound that is non-gas phase at room temperature/atmospheric pressure is vaporized through a conventional vaporization step such as direct vaporization or by bubbling with an inert gas (N 2 , Ar, He) in the chamber 10 is supplied to

제1 식각 가스 및 제2 식각 가스를 공급하는 단계(S02)에서는, 이들 식각 가스이외에 F 라디칼의 농도를 제어하기 위해 수소(H)를 함유하는 가스를 함께 공급하여도 된다. 수소(H)를 함유하는 가스로는, H2, HBr 등을 들 수 있으나, 이에 한정되는 것은 아니다.In the step of supplying the first etching gas and the second etching gas ( S02 ), in addition to these etching gases, a gas containing hydrogen (H) may be supplied together to control the concentration of F radicals. The gas containing hydrogen (H) may include, but is not limited to, H 2 , HBr, and the like.

또한, 식각 가스와 함께 아르곤 등의 불활성 가스를 함께 공급하여도 된다. 불활성 가스로서는 아르곤이 가장 바람직하나, 이에 한정되지 않으며, 다른 불활성 가스를 사용하여도 된다. 이러한 불활성 가스의 사용을 통해 식각 가스의 식각 성능을 조절할 수 있다. 즉, 아르곤 가스를 함께 사용하면 이온 충돌이 증가하여 물리적 식각에 의한 식각 속도 및 이방성이 향상되며, Ar+ 이온빔이 실리콘 함유막의 실리콘 원자들 사이의 결합을 파괴하여 식각 가스의 활성종과의 반응의 활성화 에너지(activation energy)를 낮추므로, 활성종에 의한 화학적 식각 속도도 향상시킬 수 있다.In addition, an inert gas such as argon may be supplied together with the etching gas. Argon is most preferable as the inert gas, but it is not limited thereto, and other inert gases may be used. The etching performance of the etching gas may be controlled through the use of such an inert gas. That is, when argon gas is used together, ion collisions increase and the etching rate and anisotropy by physical etching are improved, and the Ar + ion beam breaks bonds between silicon atoms in the silicon-containing film, thereby reducing the reaction of the etching gas with active species. Since the activation energy is lowered, the chemical etching rate by the active species may also be improved.

이어서, 적정 압력 및 온도 조건하에서 식각 장치(1)에 RF 생성기(30)를 통해 적정 파워를 인가함으로써, 공정 챔버(10)내에 다이렉트 플라즈마를 생성한다(S03). 본 발명의 실시예에 있어서는 식각 가스로서 F3NO 및 헤테로 원자 함유 불소화 탄화수소계 가스가 함께 사용되므로, 공정 챔버(10)내에 생성된 다이렉트 플라즈마내에는 F, F2, FNO, NO, CFx 이외에도 헤테로 원자(N, S, 또는 I)를 함유하는 라디칼(예컨대, C2HFN, C2F2N, CH3S, CHS, CFS, I 등) 이 생성된다. 또한, 헤테로 원자의 존재로 인해, 다이렉트 플라즈마내에 생성되는 CFx계 라디칼 중에서 C/F비가 상대적으로 높은 것(예컨대, C2F2 등)이 주로 생성됨으로써, 패시베이션 기능이 강화된 중합체층이 형성된다.Next, by applying an appropriate power through the RF generator 30 to the etching apparatus 1 under appropriate pressure and temperature conditions, direct plasma is generated in the process chamber 10 ( S03 ). In an embodiment of the present invention, since F 3 NO and a hetero atom-containing fluorinated hydrocarbon-based gas are used together as an etching gas, in the direct plasma generated in the process chamber 10, in addition to F, F 2 , FNO, NO, CF x Radicals containing heteroatoms (N, S, or I) (eg, C 2 HFN, C 2 F 2 N, CH 3 S, CHS, CFS, I, etc.) are generated. In addition, due to the presence of the hetero atom, among the CF x radicals generated in the direct plasma, those having a relatively high C/F ratio (eg, C 2 F 2 ) are mainly generated, thereby forming a polymer layer with enhanced passivation function. do.

본 실시예에서는, 식각 가스를 다이렉트 플라즈마에 의해 활성화하는 것으로 설명하였으나, 본 발명은 이에 한정되지 않으며, 원격 플라즈마에 의한 활성화를 선택적으로 또는 조합하여 사용할 수 있다.In this embodiment, it has been described that the etching gas is activated by direct plasma, but the present invention is not limited thereto, and activation by remote plasma may be used selectively or in combination.

공정 챔버(10)내에 생성된 다이렉트 플라즈마내의 라디칼은 스테이지(50)에 재치된 기판(S)상의 제1 실리콘 함유막 및 제2 실리콘 함유막과 반응하여 식각 대상인 실리콘 함유막의 다중 적층체를 식각 마스크에 대해 선택적으로 식각한다(S04). 식각 마스크로서는 포토레지스트, 아몰퍼스 카본막, 스핀도포형 카본막 등을 들 수 있으며, 본 발명의 식각 방법에 의하면, 이러한 식각 마스크에 대한 실리콘 함유막의 선택비를 4 이상으로 높게 할 수 있다. Radicals in the direct plasma generated in the process chamber 10 react with the first silicon-containing film and the second silicon-containing film on the substrate S mounted on the stage 50 to form a multi-layered structure of the silicon-containing film to be etched as an etch mask. is selectively etched (S04). Examples of the etching mask include photoresist, an amorphous carbon film, and a spin-coated carbon film. According to the etching method of the present invention, the selectivity of the silicon-containing film to the etching mask can be increased to 4 or more.

본 발명의 실시예의 식각방법에 의하면, 제1 실리콘 함유막으로서의 실리콘 질화막 및 제2 실리콘 함유막으로서의 실리콘 산화막을 유사한 선택비로 식각할 수 있으므로, 고종횡비 홀의 수직 프로파일을 양호하게 할 수 있다. 즉, 본 발명의 일 실시예의 식각방법에 의하면, 종횡비가 20:1 이상인 고종횡비의 홀을 양호한 수직 프로파일로 형성할 수 있다.According to the etching method of the embodiment of the present invention, since the silicon nitride film as the first silicon-containing film and the silicon oxide film as the second silicon-containing film can be etched with a similar selectivity, the vertical profile of the high-aspect-ratio hole can be improved. That is, according to the etching method according to an embodiment of the present invention, a hole having a high aspect ratio of 20:1 or more can be formed with a good vertical profile.

이하, 도 3을 참조하여, 본 발명의 일 실시예에 따른 반도체 디바이스의 제조 방법에 대하여 설명한다.Hereinafter, a method of manufacturing a semiconductor device according to an embodiment of the present invention will be described with reference to FIG. 3 .

본 발명의 일 실시예에 따른 반도체 디바이스의 제조방법은, 기판상에 제1 실리콘 산화막으로서의 실리콘 질화막과 제2 실리콘 산화막으로서의 실리콘 산화막을 교대로 적층하여 형성하는 단계(S11)를 포함한다. 실리콘 질화막 및 실리콘 산화막의 적층단수는 각각 2층 이상이며, 각각 64층 이상이 바람직하며, 각각 128층 이상이 더 바람직하다. 다만, 본 발명은 실리콘 질화막 및 실리콘 산화막의 적층단수에 한정되지 않는다.A method of manufacturing a semiconductor device according to an embodiment of the present invention includes the step (S11) of alternately stacking and forming a silicon nitride film as a first silicon oxide film and a silicon oxide film as a second silicon oxide film on a substrate. The number of stacking stages of the silicon nitride film and the silicon oxide film is two or more, respectively, preferably 64 or more layers, and more preferably 128 or more layers, respectively. However, the present invention is not limited to the number of stacking stages of the silicon nitride film and the silicon oxide film.

단계(S11)에서 실리콘 질화막과 실리콘 산화막은, CVD법 또는 ALD 법에 의해 형성되는 것이 바람직하나 이에 한정되는 것은 아니다. In step S11, the silicon nitride film and the silicon oxide film are preferably formed by a CVD method or an ALD method, but are not limited thereto.

이어서, 실리콘 질화막과 실리콘 산화막의 다중 적층체상에 식각 마스크를 형성한다(S12). 여기서 식각 마스크는, 포토레지스트 또는 하드마스크(Hardmask)일 수 있으며, 마스크로서의 기능을 위해 충분한 두께로 형성된다. 하드마스크는, 아몰퍼스 카본막 또는 스핀도포형 카본막을 포함할 수 있다. 형성된 식각 마스크는 식각 마스크의 종류에 따라 적절한 식각 화합물을 사용하여, 패터닝한다.Next, an etching mask is formed on the multi-layered body of the silicon nitride layer and the silicon oxide layer (S12). Here, the etch mask may be a photoresist or a hard mask, and is formed to a thickness sufficient to function as a mask. The hard mask may include an amorphous carbon film or a spin coating type carbon film. The formed etch mask is patterned using an appropriate etch compound according to the type of the etch mask.

이어서, 상술한 본 발명에 따른 식각 방법을 사용하여, 실리콘 함유막 다중 적층체를 식각 마스크에 대해 선택적으로 식각하여, 고종횡비의 홀을 형성한다(S13). Then, using the above-described etching method according to the present invention, the multi-layered silicon-containing layer is selectively etched with respect to the etch mask to form high-aspect-ratio holes (S13).

이상 설명한 바와 같이, 본 발명에 의하면, 실리콘 함유막 다중 적층체에 양호한 수직 프로파일을 가지는 고종횡비의 홀을 형성할 수 있다. 또한, 식각 공정의 폐가스에 의한 지구 환경에의 영향 및 폐가스 처리 비용을 저감할 수 있다.As described above, according to the present invention, it is possible to form high-aspect-ratio holes having a good vertical profile in the silicon-containing film multi-layer body. In addition, it is possible to reduce the impact on the global environment and waste gas treatment cost by the waste gas of the etching process.

10 공정 챔버
20 샤워 헤드
30 RF 생성기
40 임피던스 매칭 네트워크
50 스테이지
10 process chamber
20 shower heads
30 RF Generators
40 Impedance Matching Network
50 stage

Claims (13)

실리콘 함유막의 다중 적층체의 식각 방법으로서,
식각 장치의 공정 챔버내로 제1 실리콘 함유막 및 상기 제1 실리콘 함유막과 조성이 다른 제2 실리콘 함유막을 포함하는 다중 적층체가 형성된 기판을 도입하는 단계와,
상기 공정 챔버에 식각 가스를 공급하는 단계와,
상기 식각 가스를 플라즈마에 의해 활성화하여 상기 다중 적층체에 개구부를 식각하는 단계를 포함하며,
상기 식각 가스는, 불소(F), 질소(N) 및 산소(O) 원자를 가지되 탄소(C)원자를 가지지 않는 제1 식각 가스와, 탄소(C), 불소(F) 및 헤테로 원자를 가지는 제2 식각 가스를 포함하고,
상기 헤테로 원자는, 질소(N), 황(S), 및 요오드(I)로 이루어지는 군으로부터 선택되는 어느 하나인 것을 특징으로 하는 실리콘 함유막의 다중 적층체의 식각 방법.
A method for etching a multi-layered body of a silicon-containing film, comprising:
introducing a multi-layered substrate including a first silicon-containing film and a second silicon-containing film having a composition different from that of the first silicon-containing film into a process chamber of an etching apparatus;
supplying an etching gas to the process chamber;
and etching the opening in the multi-layered body by activating the etching gas by plasma,
The etching gas includes a first etching gas having fluorine (F), nitrogen (N) and oxygen (O) atoms but not having a carbon (C) atom, and carbon (C), fluorine (F) and hetero atoms. the branch comprises a second etching gas,
The hetero atom is any one selected from the group consisting of nitrogen (N), sulfur (S), and iodine (I).
제1항에 있어서,
상기 제1 식각 가스는, F3NO를 포함하는 것을 특징으로 하는 실리콘 함유막의 다중 적층체의 식각 방법.
According to claim 1,
The first etching gas, F 3 The method of etching a multi-layered stack of silicon-containing film, characterized in that it contains NO.
제1항에 있어서,
상기 제2 식각 가스는, CaHbFcXd(여기서, a는 2~4, b는 0~4, c는 4~8, d는 1~3임)의 화학식으로 표시되는 헤테로 원자 함유 불소화 탄화수소계 식각가스를 포함하는 것을 특징으로 하는 실리콘 함유막의 다중 적층체의 식각 방법.
According to claim 1,
The second etching gas is a hetero atom represented by the formula of C a H b F c X d (here, a is 2 to 4, b is 0 to 4, c is 4 to 8, d is 1 to 3) A method of etching a multi-layered body of silicon-containing film, characterized in that it contains a fluorinated hydrocarbon-based etching gas.
제3항에 있어서,
상기 헤테로 원자 함유 불소화 탄화수소계 식각가스는, a가 2~4이며, C와 F의 비(a:c)가 0.5~4인 것을 특징으로 하는 실리콘 함유막의 다중 적층체의 식각 방법.
4. The method of claim 3,
In the hetero atom-containing fluorinated hydrocarbon-based etching gas, a is 2-4, and the ratio of C and F (a:c) is 0.5-4.
제4항에 있어서,
상기 헤테로 원자 함유 불소화 탄화수소계 식각가스는, 2,2,4,4-테트라플루오로-1,3-디티에탄(C2F4S2), 2,2,2-트리플루오로에탄티올(C2H3F3S), 비스(트리플루오로메틸)설파이드(C2F6S), 2,3,3,3-테트라플루오로프로피오니트릴(C3HF4N), 2,2,3,3- 테트라플루오로프로피오니트릴(C3HF4N), 디플루오로아세토니트릴(C2HF2N), 트리플루오로아세토니트릴(C2F3N), 2,2,3,4,4-펜타플루오로부텐니트릴(C4F5N), 2,2,3,3,3-펜타플루오로프로필아민(C3H4F5N), 1,1,1,3,3,3,-헥사플루오로프로필아민(C3H3F6N), 2,2,2-트리플루오로에틸아민(C2H4F3N), 1,1,2,2-테트라플루오로-1-아이오도에탄(C2HF4I), 헵타플루오로-2-아이오도프로판(C3F7I), 1,2,2트리플루오로-1-아이오도에탄(C2F3I), 1,1,2,3,3-펜타플루오로-3-아이오도-1-프로펜(C3F5I), 1,1,1,2,3,3-헥사플루오로-3-아이오도프로판(C3HF6I)로 이루어진 군으로부터 선택된 하나 이상의 가스인 것을 특징으로 하는 실리콘 함유막의 다중 적층체의 식각 방법.
5. The method of claim 4,
The hetero atom-containing fluorinated hydrocarbon-based etching gas is 2,2,4,4-tetrafluoro-1,3-dithiethane (C 2 F 4 S 2 ), 2,2,2-trifluoroethanethiol ( C 2 H 3 F 3 S), bis(trifluoromethyl)sulfide (C 2 F 6 S), 2,3,3,3-tetrafluoropropionitrile (C 3 HF 4 N), 2,2 ,3,3-tetrafluoropropionitrile (C 3 HF 4 N), difluoroacetonitrile (C 2 HF 2 N), trifluoroacetonitrile (C 2 F 3 N), 2,2,3 ,4,4-pentafluorobutenenitrile (C 4 F 5 N), 2,2,3,3,3-pentafluoropropylamine (C 3 H 4 F 5 N), 1,1,1,3 ,3,3,- Hexafluoropropylamine (C 3 H 3 F 6 N), 2,2,2-trifluoroethylamine (C 2 H 4 F 3 N), 1,1,2,2- Tetrafluoro-1-iodoethane (C 2 HF 4 I), heptafluoro-2-iodopropane (C 3 F 7 I), 1,2,2 trifluoro-1-iodoethane (C 2 F 3 I), 1,1,2,3,3-pentafluoro-3-iodo-1-propene (C 3 F 5 I), 1,1,1,2,3,3-hexa A method of etching multiple stacks of silicon-containing films, characterized in that at least one gas selected from the group consisting of fluoro-3-iodopropane (C 3 HF 6 I).
제5항에 있어서,
상기 헤테로 원자 함유 불소화 탄화수소계 식각가스는, 2,2,2-트리플루오로에탄티올(C2H3F3S), 2,2,3,3,3-펜타플루오로프로필아민(C3H4F5N), 1,1,1,3,3,3-헥사플루오로프로필아민(C3H3F6N), 2,2,2-트리플루오로에틸아민(C2H4F3N), 1,1,2,2-테트라플루오로-1-아이오도에탄(C2HF4I), 1,1,1,2,3,3-헥사플루오로-3-아이오도프로판(C3HF6I)으로 이루어진 군으로부터 선택된 가스를 포함하는 것을 특징으로 하는 실리콘 함유막의 다중 적층체의 식각 방법.
6. The method of claim 5,
The hetero atom-containing fluorinated hydrocarbon-based etching gas is 2,2,2-trifluoroethanethiol (C 2 H 3 F 3 S), 2,2,3,3,3-pentafluoropropylamine (C 3 H 4 F 5 N), 1,1,1,3,3,3-hexafluoropropylamine (C 3 H 3 F 6 N), 2,2,2-trifluoroethylamine (C 2 H 4 F 3 N), 1,1,2,2-tetrafluoro-1-iodoethane (C 2 HF 4 I), 1,1,1,2,3,3-hexafluoro-3-iodo A method for etching multiple stacks of silicon-containing films, comprising a gas selected from the group consisting of propane (C 3 HF 6 I).
제1항에 있어서,
상기 식각 가스를 공급하는 단계에서는, 수소 원자를 포함하는 제3 가스를 공급하는 것을 특징으로 하는 실리콘 함유막의 다중 적층체의 식각 방법.
According to claim 1,
In the supplying of the etching gas, the etching method of the multi-layered silicon-containing film, characterized in that supplying a third gas containing hydrogen atoms.
제1항에 있어서,
상기 식각 가스를 공급하는 단계에서는, 헬륨, 아르곤, 네온, 크립톤 및 크세논으로 이루어지는 불활성 가스군으로부터 선택되는 적어도 하나의 불활성 가스를 공급하는 것을 특징으로 하는 실리콘 함유막의 다중 적층체의 식각 방법.
According to claim 1,
In the supplying of the etching gas, at least one inert gas selected from an inert gas group consisting of helium, argon, neon, krypton and xenon is supplied.
제1항에 있어서,
상기 식각 가스를 공급하는 단계에서는, 상기 제1 식각 가스와 상기 제2 식각 가스는 3~5:0.5~2의 유량비로 공급되는 것을 특징으로 하는 실리콘 함유막의 다중 적층체의 식각 방법.
According to claim 1,
In the supplying of the etching gas, the first etching gas and the second etching gas are supplied at a flow ratio of 3 to 5: 0.5 to 2, the method for etching a multi-layered body of silicon-containing film.
제1항에 있어서,
상기 식각하는 단계에서는, 다이렉트 플라즈마에 의해 상기 식각 가스를 활성화시 것을 특징으로 하는 실리콘 함유막의 다중 적층체의 식각 방법.
According to claim 1,
In the etching step, the etching method of the multi-layered silicon-containing film, characterized in that the activation of the etching gas by direct plasma.
제1항에 있어서,
상기 식각 가스를 공급하는 단계에서는, 원격 플라즈마에 의해 활성화된 상기 식각 가스를 공급하는 것을 특징으로 하는 실리콘 함유막의 다중 적층체의 식각 방법.
According to claim 1,
In the supplying of the etching gas, the etching method of the multi-layered silicon-containing film, characterized in that supplying the etching gas activated by remote plasma.
제1항에 있어서,
상기 제1 실리콘 함유막은 실리콘 질화막이며, 상기 제2 실리콘 함유막은 실리콘 산화막인 것을 특징으로 하는 실리콘 함유막의 다중 적층체의 식각 방법.
According to claim 1,
The method of claim 1, wherein the first silicon-containing film is a silicon nitride film, and the second silicon-containing film is a silicon oxide film.
제1 실리콘 함유막 및 상기 제1 실리콘 함유막과 조성이 다른 제2 실리콘 함유막의 다중 적층막을 기판상에 형성하는 단계와,
상기 다중 적층막상에 식각 마스크를 형성하는 단계와,
제1항 내지 제3항 중 어느 한 항의 식각 방법에 의해 상기 다중 적층막을 식각하는 단계를 포함하는 것을 특징으로 하는 반도체 디바이스의 제조 방법.
forming a multi-layered film of a first silicon-containing film and a second silicon-containing film having a composition different from that of the first silicon-containing film on a substrate;
forming an etch mask on the multi-layered film;
A method of manufacturing a semiconductor device comprising: etching the multi-layered layer by the etching method of any one of claims 1 to 3.
KR1020210030129A 2021-03-08 2021-03-08 Method for etching multi-stack of Si-containing layers and manufacturing method of semiconductor device including the same KR20220126045A (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020210030129A KR20220126045A (en) 2021-03-08 2021-03-08 Method for etching multi-stack of Si-containing layers and manufacturing method of semiconductor device including the same
PCT/KR2022/001391 WO2022191429A1 (en) 2021-03-08 2022-01-26 Method for etching multi-laminate of silicon-containing films, and method for manufacturing semiconductor device, comprising same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020210030129A KR20220126045A (en) 2021-03-08 2021-03-08 Method for etching multi-stack of Si-containing layers and manufacturing method of semiconductor device including the same

Publications (1)

Publication Number Publication Date
KR20220126045A true KR20220126045A (en) 2022-09-15

Family

ID=83226837

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210030129A KR20220126045A (en) 2021-03-08 2021-03-08 Method for etching multi-stack of Si-containing layers and manufacturing method of semiconductor device including the same

Country Status (2)

Country Link
KR (1) KR20220126045A (en)
WO (1) WO2022191429A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102595941B1 (en) * 2022-09-20 2023-10-27 성균관대학교산학협력단 Plasma etching method and plasma etching device

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014104290A1 (en) 2012-12-27 2014-07-03 日本ゼオン株式会社 Dry etching method

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI612182B (en) * 2013-09-09 2018-01-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 Method of etching semiconductor structures with etch gases
US10607850B2 (en) * 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
US11075084B2 (en) * 2017-08-31 2021-07-27 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Chemistries for etching multi-stacked layers
US10410878B2 (en) * 2017-10-31 2019-09-10 American Air Liquide, Inc. Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications
US10529581B2 (en) * 2017-12-29 2020-01-07 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude SiN selective etch to SiO2 with non-plasma dry process for 3D NAND device applications

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014104290A1 (en) 2012-12-27 2014-07-03 日本ゼオン株式会社 Dry etching method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102595941B1 (en) * 2022-09-20 2023-10-27 성균관대학교산학협력단 Plasma etching method and plasma etching device

Also Published As

Publication number Publication date
WO2022191429A1 (en) 2022-09-15

Similar Documents

Publication Publication Date Title
JP6527214B2 (en) Method of depositing etch resistant polymer layer and method of manufacturing patterned etch structure
KR102398458B1 (en) Hydrofluorocarbons containing -NH2 functional groups for 3D NAND and DRAM applications
US10236182B2 (en) Conformal amorphous carbon for spacer and spacer protection applications
US7473377B2 (en) Plasma processing method
JP3252518B2 (en) Dry etching method
KR101476435B1 (en) Method for multi-layer resist plasma etch
JP2023053121A (en) Iodine-containing compound for semiconductor structure etching
KR101158205B1 (en) Method of etching a high aspect ratio contact
JP5933694B2 (en) Method for dry stripping boron carbon films
US6833325B2 (en) Method for plasma etching performance enhancement
KR101029947B1 (en) A method for plasma etching performance enhancement
JP3336975B2 (en) Substrate processing method
TW201436033A (en) Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
TWI822631B (en) Method to improve profile control during selectively etching of silicon nitride spacers
CN109796981B (en) Etching gas mixture, pattern forming method and integrated circuit device manufacturing method
JPH10116824A (en) Metal silicide etching method having high polysilicon selectivity
US6955964B2 (en) Formation of a double gate structure
JP2023531687A (en) Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures
US20050009356A1 (en) Method of manufacturing semiconductor device and method of cleaning plasma etching apparatus used therefor
US20020045353A1 (en) Method for manufacturing semiconductor device using octafluorobutene etching gas and semiconductor device manufactured thereby
Sun et al. Vertical sidewall of silicon nitride mask and smooth surface of etched-silicon simultaneously obtained using CHF3/O2 inductively coupled plasma
KR20220126045A (en) Method for etching multi-stack of Si-containing layers and manufacturing method of semiconductor device including the same
WO2022182006A1 (en) Method for etching multi-stack of silicon-containing films and method for manufacturing semiconductor device comprising same
EP3813097A1 (en) Plasma etching method using gas molecule containing sulfur atom
JP4889199B2 (en) Dry etching method for low dielectric constant interlayer insulating film

Legal Events

Date Code Title Description
A201 Request for examination