KR20220110673A - Semiconductor package and fabricating method thereof - Google Patents

Semiconductor package and fabricating method thereof Download PDF

Info

Publication number
KR20220110673A
KR20220110673A KR1020220090195A KR20220090195A KR20220110673A KR 20220110673 A KR20220110673 A KR 20220110673A KR 1020220090195 A KR1020220090195 A KR 1020220090195A KR 20220090195 A KR20220090195 A KR 20220090195A KR 20220110673 A KR20220110673 A KR 20220110673A
Authority
KR
South Korea
Prior art keywords
fsrds
dielectric layer
bsrds
die
block
Prior art date
Application number
KR1020220090195A
Other languages
Korean (ko)
Inventor
마이클 켈리
데이비드 하이너
로날드 휴모엘러
로저 세인트 아만드
Original Assignee
앰코 테크놀로지 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR20120131967A external-priority patent/KR101366461B1/en
Priority claimed from US14/083,779 external-priority patent/US9799592B2/en
Application filed by 앰코 테크놀로지 인코포레이티드 filed Critical 앰코 테크놀로지 인코포레이티드
Publication of KR20220110673A publication Critical patent/KR20220110673A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/563Encapsulation of active face of flip-chip device, e.g. underfilling or underencapsulation of flip-chip, encapsulation preform on chip or mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3185Partial encapsulation or coating the coating covering also the sidewalls of the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • H01L2224/02331Multilayer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0235Shape of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0236Shape of the insulating layers therebetween
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02371Disposition of the redistribution layers connecting the bonding area on a surface of the semiconductor or solid-state body with another surface of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02379Fan-out arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02381Side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0239Material of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73253Bump and layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73265Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/81005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/83005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18161Exposing the passive side of the semiconductor or solid-state body of a flip chip

Abstract

A semiconductor device structure and a method for manufacturing a semiconductor device are provided. As a non-limiting example, various aspects of the present invention provide various semiconductor package structures including a thin and fine pitch redistribution structure, and methods for manufacturing the same. The semiconductor device of the present invention includes: a front redistribution structure; a semiconductor die; a laminated component interconnect structure; an encapsulating material; and a rear redistribution structure.

Description

반도체 패키지 및 그 제조 방법{SEMICONDUCTOR PACKAGE AND FABRICATING METHOD THEREOF}Semiconductor package and its manufacturing method TECHNICAL FIELD

본 출원은 2013년 1월 29일에 출원되고, "반도체 디바이스 및 반도체 디바이스의 제조 방법"의 제목을 갖는 미국 특허 출원 번호 13/753,120; 2013년 4월 16일에 출원되고, "반도체 디바이스 및 그의 제조 방법"의 제목을 갖는 미국 특허 출원 번호 13/863,457; 2013년11월19일에 출원되고, "관통 실리콘 비아가 없는 깊은 웰들을 갖는 반도체 디바이스"의 제목을 갖는 미국 특허 출원 번호 14/083,779; 2014년 3월 18일에 출원되고, "반도체 디바이스 및 그의 제조 방법"의 제목을 갖는 미국 특허 출원 번호 14/218,265; 2014년6월24일에 출원되고, "반도체 디바이스 및 그의 제조 방법"의 제목을 갖는 미국 특허 출원 번호 14/313,724; 2014년7월28일에 출원되고, "얇은 재배선층들을 갖는 반도체 디바이스"의 제목을 갖는 미국 특허 출원 번호 14/444,450; 2014년10월27일에 출원되고, "감소된 두께를 갖는 반도체 디바이스"의 제목을 갖는 미국 특허 출원 번호 14/524,443; 2014년11월4일에 출원되고, "인터포저, 그의 제조 방법, 이를 이용한 반도체 패키지, 및 반도체 패키지의 제조 방법"의 제목을 갖는 미국 특허 출원 번호 14/532,532; 2014년11월18일에 출원되고, "감소된 휨(warpage)을 갖는 반도체 디바이스"의 제목을 갖는 미국 특허 출원 번호 14/546,484; 및 2015년3월27일에 출원되고, "반도체 디바이스 및 그 제조 방법"의 명칭을 갖는 미국 특허 출원 번호 14/671,095에 관련된 것이며; 여기에 있는 각각의 내용 전체가 본원에 참고로 인용된다.This application is filed on January 29, 2013, and is entitled "Semiconductor Device and Method of Manufacturing Semiconductor Device" in US Patent Application Serial Nos. 13/753,120; U.S. Patent Application No. 13/863,457, filed April 16, 2013, and entitled "Semiconductor Device and Method of Manufacturing Same;" U.S. Patent Application Serial No. 14/083,779, filed November 19, 2013, and entitled "Semiconductor Device with Deep Wells Without Through Silicon Vias;" U.S. Patent Application No. 14/218,265, filed March 18, 2014, and entitled "Semiconductor Device and Method of Making the Same"; U.S. Patent Application No. 14/313,724, filed June 24, 2014, and entitled "Semiconductor Device and Method of Manufacturing Same;" US Patent Application No. 14/444,450, filed July 28, 2014, and entitled "Semiconductor Device With Thin Redistribution Layers;" US Patent Application Serial No. 14/524,443, filed October 27, 2014, and entitled "Semiconductor Device with Reduced Thickness;" US Patent Application No. 14/532,532, filed on November 4, 2014, and entitled "Interposer, Method of Manufacturing Same, Semiconductor Package Using Same, and Method of Manufacturing Semiconductor Package;" US Patent Application Serial No. 14/546,484, filed November 18, 2014, and entitled “Semiconductor Device with Reduced Warpage;” and U.S. Patent Application No. 14/671,095, filed March 27, 2015, entitled “Semiconductor Device and Method of Manufacturing Same;” The entire contents of each of which are herein incorporated by reference.

현재 반도체 패키지들 및 반도체 패키지들을 형성하기 위한 방법들은, 예를 들면, 과도한 비용, 감소된 신뢰성, 또는 너무 큰 패키지 사이즈 등을 초래하여 부적합하다. 추가적인 한계점들 및 통상의 그리고 전통적인 접근법들의 단점들이 도면을 참조하여 본 출원의 나머지 부분에 기재된 바와 같이 본 발명과 그와 같은 접근법들의 비교를 통하여, 당업자들에게 명확해질 것이다.Current semiconductor packages and methods for forming semiconductor packages are unsuitable, for example, resulting in excessive cost, reduced reliability, or too large package size. Additional limitations and disadvantages of conventional and traditional approaches will become apparent to those skilled in the art through a comparison of the present invention with such approaches as described in the remainder of this application with reference to the drawings.

본 발명의 다양한 양태들은 반도체 디바이스 구조 및 반도체 디바이스를 제조하기 위한 방법을 제공한다. 비제한적인 예들로서, 본 발명의 다양한 양태들이 얇고 가는 피치의 재배선 구조(thin fine-pitch redistribution structure)를 포함하는 다양한 반도체 패키지 구조들, 및 이의 제조를 위한 방법들을 제공한다.Various aspects of the present invention provide a semiconductor device structure and a method for manufacturing a semiconductor device. As non-limiting examples, various aspects of the present disclosure provide various semiconductor package structures including a thin fine-pitch redistribution structure, and methods for manufacturing the same.

첨부 도면은 본 발명의 추가적인 이해를 제공하기 위해 포함되며, 본 명세서의 일부에 통합되고 구성한다. 도면은 본 발명의 실시예를 예시하며, 상세한 설명과 함께, 본 발명의 다양한 원리들을 설명하는 역할을 한다.
도 1a-1j는, 본 발명의 다양한 양태들에 따른, 예시적 반도체 패키지 및 반도체 패키지를 제조하는 예시적 방법을 도시한 단면도들이다.
도 2는, 본 발명의 다양한 양태들에 따른, 반도체 패키지를 제조하는 예시적인 방법의 흐름도이다.
도 3a 및 도 3b는, 본 발명의 다양한 양태들에 따른, 예시적 반도체 패키지 및 반도체 패키지를 제조하는 예시적 방법을 도시한 단면도들이다.
도 4a-4d는, 본 발명의 다양한 양태들에 따른, 예시적 반도체 패키지 및 반도체 패키지를 제조하는 예시적 방법을 도시한 단면도들이다.
도 5a-5f는, 본 발명의 다양한 양태들에 따른, 예시적 반도체 패키지 및 반도체 패키지를 제조하는 예시적 방법을 도시한 단면도들이다.
도 6a 내지 도 6d는, 본 발명의 다양한 양태들에 따른, 예시적인 반도체 패키지 및 반도체 패키지를 제조하는 예시적인 방법을 도시한 단면도들이다.
도 7a 내지 도 7l은, 본 발명의 다양한 양태들에 따른, 예시적인 반도체 패키지 및 반도체 패키지를 제조하는 예시적인 방법을 도시한 단면도들이다.
도 8은, 본 발명의 다양한 양태들에 따른, 반도체 패키지를 제조하는 예시적인 방법의 흐름도이다.
도 9는, 본 발명의 다양한 양태들에 따른, 예시적인 반도체 패키지 및 반도체 패키지를 제조하는 예시적인 방법을 도시한 단면도이다.
도 10a-10b, 본 발명의 다양한 양태들에 따른, 예시적인 반도체 패키지 및 반도체 패키지를 제조하는 예시적인 방법을 도시한 단면도이다.
도 11a-11d는, 본 발명의 다양한 양태들에 따른, 예시적인 반도체 패키지 및 반도체 패키지를 제조하는 예시적인 방법을 도시한 단면도이다.
도 12a-12b는, 본 발명의 다양한 양태들에 따른, 예시적인 반도체 패키지 및 반도체 패키지를 제조하는 예시적인 방법을 도시한 단면도이다.
도 13은, 본 발명의 다양한 양태들에 따른, 예시적인 반도체 패키지 및 반도체 패키지를 제조하는 예시적인 방법을 도시한 단면도이다.
도 14는, 본 발명의 다양한 양태들에 따른, 예시적인 반도체 패키지 및 반도체 패키지를 제조하는 예시적인 방법을 도시한 단면도이다.
도 15는, 본 발명의 다양한 양태들에 따른, 예시적인 반도체 패키지 및 반도체 패키지를 제조하는 예시적인 방법을 도시한 단면도이다.
도 16은, 본 발명의 다양한 양태들에 따른, 예시적인 반도체 패키지 및 반도체 패키지를 제조하는 예시적인 방법을 도시한 단면도이다.
The accompanying drawings are included to provide a further understanding of the invention, and are incorporated in and constitute a part of this specification. The drawings illustrate embodiments of the invention and, together with the description, serve to explain various principles of the invention.
1A-1J are cross-sectional views illustrating an exemplary semiconductor package and an exemplary method of manufacturing the semiconductor package, in accordance with various aspects of the present invention.
2 is a flow diagram of an exemplary method of manufacturing a semiconductor package in accordance with various aspects of the present invention.
3A and 3B are cross-sectional views illustrating an exemplary semiconductor package and an exemplary method of manufacturing the semiconductor package, in accordance with various aspects of the present invention.
4A-4D are cross-sectional views illustrating an exemplary semiconductor package and an exemplary method of manufacturing the semiconductor package, in accordance with various aspects of the present disclosure.
5A-5F are cross-sectional views illustrating an exemplary semiconductor package and an exemplary method of manufacturing the semiconductor package, in accordance with various aspects of the present invention.
6A-6D are cross-sectional views illustrating an exemplary semiconductor package and an exemplary method of manufacturing the semiconductor package, in accordance with various aspects of the present invention.
7A-7L are cross-sectional views illustrating an exemplary semiconductor package and an exemplary method of manufacturing the semiconductor package, in accordance with various aspects of the present invention.
8 is a flow diagram of an exemplary method of manufacturing a semiconductor package in accordance with various aspects of the present invention.
9 is a cross-sectional view illustrating an exemplary semiconductor package and an exemplary method of manufacturing the semiconductor package, in accordance with various aspects of the present disclosure.
10A-10B, cross-sectional views illustrating an exemplary semiconductor package and an exemplary method of manufacturing the semiconductor package, in accordance with various aspects of the present invention.
11A-11D are cross-sectional views illustrating an exemplary semiconductor package and an exemplary method of manufacturing the semiconductor package, in accordance with various aspects of the present disclosure.
12A-12B are cross-sectional views illustrating an exemplary semiconductor package and an exemplary method of manufacturing the semiconductor package, in accordance with various aspects of the present invention.
13 is a cross-sectional view illustrating an exemplary semiconductor package and an exemplary method of manufacturing the semiconductor package, in accordance with various aspects of the present disclosure.
14 is a cross-sectional view illustrating an exemplary semiconductor package and an exemplary method of manufacturing the semiconductor package, in accordance with various aspects of the present disclosure.
15 is a cross-sectional view illustrating an exemplary semiconductor package and an exemplary method of manufacturing the semiconductor package, in accordance with various aspects of the present disclosure.
16 is a cross-sectional view illustrating an exemplary semiconductor package and an exemplary method of manufacturing the semiconductor package, in accordance with various aspects of the present disclosure.

다음의 논의는 이들의 예를 제공함에 의해 본 발명의 다양한 양태들을 제공한다. 이러한 예는 비제한적이며, 따라서, 본 발명의 다양한 양태들의 범위는 제공된 예들의 임의의 특정한 특징들에 의해 제한될 필요는 없다. 이하의 설명에서, 어구 "예", "예(e.g.)" 및 "예시적인"은 "예로서 그리고 비제한적인", "예를 들면 그리고 비제한적인", 등과 함께 일반적으로 동의어이다.The following discussion presents various aspects of the invention by providing examples of these. These examples are non-limiting, and thus, the scope of the various aspects of the invention need not be limited by any specific features of the examples provided. In the description below, the phrases "example", "example (e.g.)" and "exemplary" are generally synonymous with "by way of example and without limitation", "such as and not limitation", and the like.

여기에 사용 된 바와 같이, "및/또는"은 "및/또는"에 연결된 리스트 중 하나 이상을 의미한다. 예를 들어, "x 및/또는 y"는 세 요소 세트{(x), (y), (x, y)중 임의의 요소를 의미한다. 다른 말로, "x 및/또는 y"는 "x 및 y의 하나 또는 둘 다"를 의미한다. 다른 예로서, "x, y, 및/또는 z"는 일곱 요소 세트{(x), (y), (z), (x, y), (x, z), (y, z), (x, y, z)}중 임의의 요소를 의미한다. 다른 말로, "x, y 및/또는 z"는 "x, y 및 z 중 하나 이상을 의미한다.As used herein, “and/or” means one or more of the lists linked to “and/or”. For example, "x and/or y" means any element of a set of three elements {(x), (y), (x, y). In other words, “x and/or y” means “one or both of x and y”. As another example, "x, y, and/or z" is a set of seven elements {(x), (y), (z), (x, y), (x, z), (y, z), ( x, y, z)} means any element. In other words, “x, y and/or z” means “one or more of x, y and z.

여기에 사용된 용어는 단지 특정한 실시예를 설명하기 위한 것이며 본 발명을 한정하려는 의도가 아니다. 여기에 사용된 바와 같이, 단수 형태는, 내용상 명백히 다르게 제시하지 않는 한, 복수의 형태를 포함하도록 의도된다. 본 명세서에서 사용할 때, "포함한다(comprises)", "포함한다(includes)," "포함하는(comprising), "포함하는(including)", "갖는다(has)", "갖는다(have)", "갖는(having)" 등은 특징들, 정수들, 단계들, 동작들, 구성 요소들, 및/또는 부품들을 지칭하며, 특징들, 정수들, 단계들, 동작들, 구성 요소들, 부품들 및/또는 이들의 그룹들 중 하나 이상의 존재 또는 추가를 배재하지 않음이 이해될 것이다.The terminology used herein is for the purpose of describing particular embodiments only and is not intended to limit the present invention. As used herein, the singular form is intended to include the plural form unless the content clearly dictates otherwise. As used herein, "comprises", "includes", "comprising", "including", "has", "have" , “having” and the like refer to features, integers, steps, operations, components, and/or parts, and to features, integers, steps, operations, components, parts. It will be understood that this does not exclude the presence or addition of one or more of these and/or groups thereof.

비록 용어 제1, 제2, 등이 다양한 구성 요소들을 여기서 설명하는데 이용될 수 있으나, 이러한 구성 요소들은 이러한 용어들로 한정되어서는 안됨이 이해될 것이다. 이러한 용어들은 한 구성 요소를 다른 구성 요소와 구별하기 위해 사용된다. 따라서, 예를 들어, 이하에서 설명될 제1구성 요소, 제1부품 또는 제1섹션은 본 발명의 교시로부터 벗어나지 않고 제2구성 요소, 제2부품 또는 제2섹션으로 지칭될 수 있다. 유사하게, "상부(upper)", "하부(lower)", "측부(side)" 등과 같은 다양한 공간적 용어는 상대적인 방식으로 한 구성 요소로부터 다른 구성 요소를 구분하는데 사용될 수 있다. 그러나, 부품들은 다른 방식으로 위치될 수 있는데, 예를 들면, 본 발명의 교시를 벗어나지 않고, "상부(top)" 면이 수평으로 바라보고 그리고 그것의 "측부(side)" 면이 수직으로 바라보도록 반도체 디바이스가 옆으로 위치될 수 있다는 것이 이해되어야 한다.Although the terms first, second, etc. may be used herein to describe various elements, it will be understood that these elements should not be limited to these terms. These terms are used to distinguish one component from another. Thus, for example, a first component, a first component, or a first section to be described below may be referred to as a second component, a second component, or a second section without departing from the teachings of the present invention. Similarly, various spatial terms such as "upper", "lower", "side", etc. may be used to distinguish one component from another in a relative manner. However, the parts may be positioned in other ways, for example, with their “top” side facing horizontally and their “side” side facing vertically, without departing from the teachings of the present invention. It should be understood that the semiconductor device may be positioned sideways for viewing.

본 발명의 다양한 양태들은 반도체 디바이스 또는 패키지 및 그의 형성(또는 제조) 방법을 제공하며, 이는 비용을 줄이고, 신뢰성을 증가시키며, 그리고/또는 반도체 디바이스의 제조 성능을 증가시킨다.Various aspects of the present invention provide a semiconductor device or package and a method of forming (or manufacturing) the same, which reduces cost, increases reliability, and/or increases manufacturing performance of the semiconductor device.

본 발명의 상기 및 다른 양태들이 다양한 예시적 실시예의 다음 설명으로부터 기술되거나 또는 명백해질 것이다. 당업자들이 다양한 양태들을 용이하게 실시할 수 있도록, 본 발명의 다양한 양태들이 첨부된 도면들을 참조하여 이제 설명될 것이다.These and other aspects of the invention will be described or will become apparent from the following description of various exemplary embodiments. To enable those skilled in the art to readily practice the various aspects, various aspects of the present invention will now be described with reference to the accompanying drawings.

도 1a-1j는, 본 발명의 다양한 양태들에 따른, 예시적인 반도체 패키지 및 반도체 패키지를 제조하는 예시적 방법을 도시한 단면도들이다. 도 1a-1j에 도시된 구조들은 도 3a-3b, 4a-4d, 5a-5f, 6a-6d, 7a-7l, 9, 10a-10b, 11a-11d, 12a-12b, 13, 14, 15, 및 16에 도시된 유사한 구조들과 함께 임의의 또는 모든 특징들을 공유할 수 있다. 도 2는, 본 발명의 다양한 양태들에 따른, 반도체 패키지를 제조하는 예시적인 방법(200)의 흐름도이다. 도 1a-1j는, 예를 들면, 도 2의 방법(200)의 다양한 단계들(또는 블록들)에서 예시적인 반도체 패키지를 도시한다. 도 1a-1j 및 도 2가 함께 논의된다. 방법(200)의 예시적 블록들의 순서가 본 발명의 범위를 벗어나지 않고 변할 수 있음을 주목해야 한다.1A-1J are cross-sectional views illustrating an exemplary semiconductor package and an exemplary method of manufacturing the semiconductor package, in accordance with various aspects of the present invention. The structures shown in Figures 1a-1j are the structures shown in Figures 3a-3b, 4a-4d, 5a-5f, 6a-6d, 7a-7l, 9, 10a-10b, 11a-11d, 12a-12b, 13, 14, 15, and 16 may share any or all features with similar structures. 2 is a flow diagram of an exemplary method 200 of manufacturing a semiconductor package, in accordance with various aspects of the present invention. 1A-1J depict an exemplary semiconductor package at various steps (or blocks) of method 200 of FIG. 2 , for example. 1A-1J and 2 are discussed together. It should be noted that the order of the exemplary blocks of method 200 may be varied without departing from the scope of the present invention.

예시적 방법(200)은, 블록(block)(205)에서, 공정을 위한(e.g., 패키징을 위한) 로직 웨이퍼 준비 단계를 포함할 수 있다. 블록(205)은, 본 발명에서 제시되는 비제한적인 방식으로, 임의의 다양한 방식들로의 공정을 위한 로직 웨이퍼 준비 단계를 포함할 수 있다.Exemplary method 200 may include, at block 205 , preparing a logic wafer for processing (e.g., packaging). Block 205 may include, in a non-limiting manner presented herein, preparing a logic wafer for processing in any of a variety of manners.

예를 들면, 블록(205)은, 예를 들어 배송 공급 업체로부터, 제조 현장의 상류 공정 등으로부터, 로직 웨이퍼를 수신하는 단계를 포함할 수 있다. 로직 웨이퍼는, 예를 들면, 다수의 능동 반도체 다이를 포함하는 반도체 웨이퍼를 포함할 수 있다. 반도체 다이는, 예를 들면, 프로세서 다이, 메모리 다이, 프로그램어블 로직 다이, 주문형 집적 회로 다이(ASIC), 일반 다이 등을 포함할 수 있다.For example, block 205 may include receiving a logic wafer, such as from a shipping supplier, an upstream process on a manufacturing floor, or the like. A logic wafer may include, for example, a semiconductor wafer comprising a plurality of active semiconductor dies. A semiconductor die may include, for example, a processor die, a memory die, a programmable logic die, an application specific integrated circuit die (ASIC), a general die, and the like.

블록(205)은, 예를 들면, 로직 웨이퍼 위에 도전성 상호 연결 구조들을 형성하는 단계를 포함할 수 있다. 이러한 도전성 상호 연결 구조들은, 예를 들면, 도전성 패드들, 랜드들, 범프들 또는 볼들, 도전성 필라들 등을 포함할 수 있다. 형성 단계는, 예를 들면, 로직 웨이퍼에 미리 형성된 상호 연결 구조들을 부착하거나, 로직 웨이퍼 상에 상호 연결 구조들을 도금하는 등의 공정을 포함할 수 있다.Block 205 may include, for example, forming conductive interconnect structures over a logic wafer. Such conductive interconnect structures may include, for example, conductive pads, lands, bumps or balls, conductive pillars, and the like. The forming step may include, for example, attaching preformed interconnect structures to a logic wafer, plating interconnect structures on the logic wafer, and the like.

예시적인 실시예로서, 도전성 구조들은 구리 및/또는 니켈을 포함하는 도전성 필라들을 포함할 수 있고, 그리고 솔더 캡(e.g., 주석 및/또는 실버)을 포함할 수 있다. 예를 들면, 도전성 구조들은 도전성 필라들을 포함할 수 있으며, 도전성 필라들은 다음을 포함할 수 있다. (a)(i) 스퍼터링("시드층"으로 지칭될 수 있음)에 의해 형성된 티타늄-텅스텐(TiW) 층, 그리고 (ii) 스퍼터링에 의해 형성된 티타늄-텅스텐 층 상의 카파(Cu) 층을 포함하는 언더 범프 메탈("UBM"), (b) 전해 도금에 의한 UBM 상에 형성된 카파 필라, 그리고 (c) 카파 필라 상에 형성된 솔더 층 또는 니켈 층 상에 형성된 솔더 층을 갖는 카파 필라 상에 형성된 니켈 층.As an exemplary embodiment, the conductive structures may include conductive pillars comprising copper and/or nickel, and may include a solder cap (e.g., tin and/or silver). For example, the conductive structures may include conductive pillars, and the conductive pillars may include: (a) (i) a titanium-tungsten (TiW) layer formed by sputtering (which may be referred to as a "seed layer"), and (ii) a kappa (Cu) layer on the titanium-tungsten layer formed by sputtering; Nickel formed on the kappa pillars having an under bump metal (“UBM”), (b) a kappa pillar formed on the UBM by electroplating, and (c) a solder layer formed on the kappa pillar or a solder layer formed on the nickel layer. floor.

또한, 예시적인 실시예로서, 도전성 구조들은 납 및/또는 납없는 웨이퍼 범프를 포함할 수 있다. 예를 들어, 납없는 웨이퍼 범프들(또는 상호 연결 구조들)은 적어도 부분적으로 다음과 같은 공정에 의해 형성될 수 있다. (a)(i) 스퍼터링에 의한 티타늄(Ti) 또는 티타늄-텅스텐(TiW)의 형성, (ii) 스퍼터링에 의한 티타늄 또는 티타늄-텅스텐 상의 카파(Cu) 층의 형성, (iii) 그리고 전해 도금에 의한 카파 층 상에 니켈(Ni)을 형성함에 의한 언더범프메탈(UBM)의 형성, 및 (b) 전해 도금에 의한 UBM 구조의 니켈 층 상에 납없는 솔더 재료를 형성하되, 납없는 솔더 재료는 1 중량% ~ 내지 4 중량%를 갖는 실버(Ag)의 조성과 중량에 의한 조성의 나머지가 주석(Sn)인 것.Further, as an exemplary embodiment, the conductive structures may include lead and/or lead-free wafer bumps. For example, lead-free wafer bumps (or interconnect structures) may be formed, at least in part, by a process as follows. (a) (i) formation of titanium (Ti) or titanium-tungsten (TiW) by sputtering, (ii) formation of a kappa (Cu) layer on titanium or titanium-tungsten by sputtering, (iii) and electroplating Formation of under bump metal (UBM) by forming nickel (Ni) on the kappa layer by (b) forming a lead-free solder material on the nickel layer of the UBM structure by electrolytic plating, A composition of silver (Ag) having 1 wt% to 4 wt% and the remainder of the composition by weight being tin (Sn).

블록(205)은, 예를 들면, 로직 웨이퍼의 부분 또는 전체 박형화(e.g., 그라인딩, 식각 등) 수행 단계를 포함할 수 있다. 블록(205)은 또한, 예를 들면, 로직 웨이퍼를 분리된 다이로 또는 측부 부착을 위한 다이 셋트들로 절단(dicing)하는 단계를 포함할 수 있다. 블록(205)은 또한 제조 설비에서 근접하거나 상류 제조 스테이션으로부터, 또는 다른 지리적 위치 등으로부터 로직 웨이퍼를 수신하는 단계를 포함할 수 있다. 로직 웨이퍼가, 예를 들면, 이미 준비되거나 또는 추가적인 준비 단계들이 수행될 수 있다.Block 205 may include, for example, performing partial or complete thinning (e.g., grinding, etching, etc.) of the logic wafer. Block 205 may also include, for example, dicing the logic wafer into separate dies or into die sets for side attachment. Block 205 may also include receiving the logic wafer from an upstream manufacturing station or proximate to a manufacturing facility, or from another geographic location, or the like. The logic wafer, for example, may already be prepared or additional preparation steps may be performed.

일반적으로, 블록(205)은 공정을 위한(e.g., 패키징을 위한) 로직 웨이퍼 준비 단계를 포함할 수 있다. 따라서, 본 발명의 범위는 특정한 형태의 로직 웨이퍼 및/또는 다이 공정의 특징들에 의해 제한되어서는 안 된다.In general, block 205 may include preparing a logic wafer for processing (e.g., for packaging). Accordingly, the scope of the present invention should not be limited by the characteristics of a particular type of logic wafer and/or die process.

예시적인 방법(200)은, 블록(210)에서, 캐리어, 서브스트레이트, 또는 웨이퍼를 준비하는 단계를 포함한다. 준비된(또는 수신된) 웨이퍼는 재배선 구조 웨이퍼(redistribution structure wafer) 또는 RD 웨이퍼로 지칭될 수 있다.Exemplary method 200 includes, at block 210 , preparing a carrier, substrate, or wafer. The prepared (or received) wafer may be referred to as a redistribution structure wafer or RD wafer.

RD 웨이퍼는, 예를 들면, 인터포저 웨이퍼, 패키지 서브스트레이트의 웨이퍼 등을 포함할 수 있다. RD 웨이퍼는, 예를 들면, 반도체(e.g., 실리콘) 웨이퍼 상에(e.g., 다이-바이-다이 기초(die-by-die basis) 상에) 형성된 재배선 구조를 포함할 수 있다. RD 웨이퍼는, 예를 들면, 전기 경로들뿐만 아니라 전자 장치들(e.g., 반도체 디바이스들)을 포함할 수 있다. RD 웨이퍼는 또한, 예를 들면, 수동 전자 디바이스들뿐만 아니라 능동 반도체 디바이스들을 포함할 수 있다. 예를 들면, RD 웨이퍼는 서브스트레이트 또는 캐리어 상에 (e.g., 직접 또는 간접적으로) 형성되거나 또는 연결된 하나 이상의 도전성 층들 또는 트레이스들을 포함할 수 있다. 캐리어 또는 서브스트레이트의 예들은 반도체(e.g., 실리콘) 웨이퍼 또는 글래스 서브스트레이트를 포함할 수 있다. 반도체 웨이퍼 상에 도전성 층들(e.g., 카파, 알루미늄, 텅스텐 등)을 형성하는데 이용된 공정들의 예들은 반도체 웨이퍼 제조 공정들을 이용하는 단계를 포함하고, 이는 또한 여기서 백앤드오브라인(back end of line:BEOL) 공정으로 지칭될 수 있다. 예시적인 실시예로서, 도전성 층들은 스퍼터링 및/또는 전해 도금 공정을 이용하여 서브스트레이트 상에 또는 서브스트레이트를 따라 증착될 수 있다. 도전성 층들은 재배선층들로 지칭될 수 있다. 재배선층들은 두개 이상의 전기적 연결 구조들 사이에 전기적 신호를 라우팅(routing)하거나 또는 넓거나 좁은 피치에 전기적 연결 구조들을 라우팅(routing)하는데 이용될 수 있다.The RD wafer may include, for example, an interposer wafer, a wafer of a package substrate, and the like. The RD wafer may include, for example, a redistribution structure formed on a semiconductor (e.g., silicon) wafer (e.g., on a die-by-die basis). An RD wafer may include, for example, electrical pathways as well as electronic devices (e.g., semiconductor devices). An RD wafer may also include, for example, passive electronic devices as well as active semiconductor devices. For example, an RD wafer may include one or more conductive layers or traces formed or connected (e.g., directly or indirectly) on a substrate or carrier. Examples of a carrier or substrate may include a semiconductor (e.g., silicon) wafer or glass substrate. Examples of processes used to form conductive layers (e.g., kappa, aluminum, tungsten, etc.) on a semiconductor wafer include using semiconductor wafer fabrication processes, which also include back end of line (BEOL) herein. ) can be referred to as a process. As an exemplary embodiment, the conductive layers may be deposited on or along the substrate using a sputtering and/or electrolytic plating process. The conductive layers may be referred to as redistribution layers. The redistribution layers may be used to route an electrical signal between two or more electrical connection structures or to route electrical connection structures in a wide or narrow pitch.

예시적인 실시예로서, (전자 디바이스들)에 부착될 수 있는 재배선 구조(e.g., 상호 연결 구조들(e.g., 랜드들, 트레이스들 등))의 다양한 영역들이 서브-마이크론 피치(또는 중심과 중심 사이의 간격) 및/또는 2마이크론보다 작은 피치를 가지며 형성될 수 있다. 다양한 다른 실시예로서, 2-5 마이크론의 피치가 이용될 수 있다.As an illustrative embodiment, various regions of a redistribution structure (e.g., interconnect structures (e.g., lands, traces, etc.)) that may be attached to (electronic devices) are of sub-micron pitch (or center-to-center). spacing) and/or with a pitch of less than 2 microns. In various other embodiments, pitches of 2-5 microns may be used.

예시적인 실시예로서, 재배선 구조가 형성된 실리콘 웨이퍼는 궁극적으로 재배선 구조에 부착되는 반도체 다이를 형성하는데 적절하게 이용될 수 있는 것보다 더 낮은 등급의 실리콘을 포함할 수 있다. 다른 실시예로서, 실리콘 웨이퍼는 실패한 반도체 디바이스 웨이퍼 제조 공정에서 회수된 실리콘 웨이퍼일 수 있다. 추가적인 예시적 실시예로서, 실리콘 웨이퍼는 궁극적으로 재배선 구조에 부착되는 반도체 다이를 형성하는데 적절하게 이용될 수 있는 것보다 더 얇은 실리콘층을 포함할 수 있다. 블록(210)은 또한 제조 설비에서 근접하거나 상류 제조 스테이션으로부터, 또는 다른 지리적 위치 등으로부터 RD 웨이퍼를 수신하는 단계를 포함할 수 있다. RD 웨이퍼가, 예를 들면, 이미 준비되거나 또는 추가적인 준비 단계들이 수행될 수 있다.As an exemplary embodiment, the silicon wafer on which the redistribution structure is formed may include a lower grade of silicon than may be suitably used to form a semiconductor die that is ultimately attached to the redistribution structure. As another example, the silicon wafer may be a silicon wafer recovered from a failed semiconductor device wafer fabrication process. As a further exemplary embodiment, the silicon wafer may include a layer of silicon that is thinner than may be suitably used to form a semiconductor die that is ultimately attached to the redistribution structure. Block 210 may also include receiving the RD wafer from an upstream manufacturing station or proximate to the manufacturing facility, or from another geographic location, or the like. The RD wafer, for example, may already be prepared or additional preparation steps may be performed.

도 1a는 블록(210)의 다양한 양태들의 예시적 도면을 제공한다. 도 1a를 참조하면, RD 웨이퍼(100A)는, 예를 들면, 지지층(105)(e.g., 실리콘 또는 다른 반도체 층, 글래스 층 등)을 포함한다. 재배선(RD) 구조(110)는 지지층(105) 위에 형성될 수 있다. RD 구조(110)는, 예를 들면, 베이스 유전층(111), 제1유전층(113), 제1도전성 트레이스들(112), 제2유전층(116), 제2도전성 트레이스들(115), 및 상호 연결 구조들(117)을 포함할 수 있다.1A provides an exemplary diagram of various aspects of block 210 . Referring to FIG. 1A , the RD wafer 100A includes, for example, a support layer 105 (e.g., a silicon or other semiconductor layer, a glass layer, etc.). The redistribution (RD) structure 110 may be formed on the support layer 105 . The RD structure 110 may include, for example, a base dielectric layer 111 , a first dielectric layer 113 , first conductive traces 112 , a second dielectric layer 116 , second conductive traces 115 , and interconnect structures 117 .

베이스 유전층(111)은, 예를 들면, 지지층(105) 위에 있을 수 있다. 베이스 유전층(111)은, 예를 들면, 산화막, 질화막 등을 포함할 수 있다. 베이스 유전층(111)은, 예를 들면, 기본 사양으로 형성될 수 있고 그리고/또는 자연적인 것일 수 있다. 유전층(111)은 패시베이션층으로 지칭될 수 있다. 유전층(111)은, 예를 들면, 저압 화학 기상 증착(LPCVD) 공정을 이용하여 형성된 실리콘 이산화물층이거나 이를 포함할 수 있다.The base dielectric layer 111 may be over the support layer 105 , for example. The base dielectric layer 111 may include, for example, an oxide film, a nitride film, or the like. The base dielectric layer 111 may be formed to a standard specification and/or may be natural, for example. The dielectric layer 111 may be referred to as a passivation layer. The dielectric layer 111 may be or include, for example, a silicon dioxide layer formed using a low pressure chemical vapor deposition (LPCVD) process.

RD 웨이퍼(100A)는 또한, 예를 들면, 제1도전성 트레이스들(112) 및 제1유전층(113)을 포함할 수 있다. 제1도전성 트레이스들(112)은, 예를 들면, 증착된 도전성 메탈(e.g., 카파, 알루미늄, 텅스텐 등)을 포함할 수 있다. 도전성 트레이스들(112)은 스퍼터링 및/또는 전해 도금에 의해 형성될 수 있다. 도전성 트레이스들(112)은, 예를 들면, 서브 마이크론 또는 서브 투 마이크론 피치(sub-two-micron pitch)(또는 중심과 중심 사이의 간격)으로 형성될 수 있다. 제1유전층(113)은, 예를 들면, 무기 유전 재료(e.g., 실리콘 산화물, 실리콘 질화물 등)를 포함할 수 있다. 다양한 실시예에서, 유전층(113)은 제1도전성 트레이스들(112) 이전에 형성될 수 있는데, 예를 들면, 개구들과 함께 형성되며, 개구들에는 제1도전성 트레이스들(112) 또는 그의 일부 영역이 채워짐을 주목하라. 예시적인 실시예로서, 예를 들면 카파 도전성 트레이스들, 듀얼 다마신 공정은 그 트레이스들을 증착하는데 이용될 수 있다.The RD wafer 100A may also include, for example, first conductive traces 112 and a first dielectric layer 113 . The first conductive traces 112 may include, for example, a deposited conductive metal (eg, kappa, aluminum, tungsten, etc.). The conductive traces 112 may be formed by sputtering and/or electrolytic plating. Conductive traces 112 may be formed, for example, with a sub-micron or sub-two-micron pitch (or center-to-center spacing). The first dielectric layer 113 may include, for example, an inorganic dielectric material (e.g., silicon oxide, silicon nitride, etc.). In various embodiments, the dielectric layer 113 may be formed prior to the first conductive traces 112 , eg, formed with openings in which the first conductive traces 112 or portions thereof are formed. Notice that the area is filled. As an exemplary embodiment, for example kappa conductive traces, a dual damascene process may be used to deposit the traces.

다른 조립체로서, 제1유전층(113)은 유기 유전 재료를 포함할 수 있다. 예를 들어, 제1유전층(113)은 비스말레이미드트리아진(BT), 페놀 수지, 폴리이미드(PI), 벤조사이클로부텐(BCB), 폴리벤즈옥사졸(PBO), 에폭시 및 이들의 균등물 및 이들의 화합물을 포함할 수 있지만, 본 발명의 양태들이 이로서 제한되지 않는다. 유기 유전 재료는 임의의 다양한 방식들, 예를 들면, 화학 기상 증착(CVD)으로 형성될 수 있다. 이러한 다른 조립체로서, 제1도전성 트레이스들(112)은, 예를 들면, 2-5 마이크론 피치(또는 중심과 중심 사이의 간격)일 수 있다.As another assembly, the first dielectric layer 113 may include an organic dielectric material. For example, the first dielectric layer 113 may include bismaleimidetriazine (BT), phenolic resin, polyimide (PI), benzocyclobutene (BCB), polybenzoxazole (PBO), epoxy, and equivalents thereof. and compounds thereof, but embodiments of the present invention are not limited thereto. The organic dielectric material may be formed in any of a variety of ways, for example, chemical vapor deposition (CVD). As another such assembly, the first conductive traces 112 may be, for example, a 2-5 micron pitch (or center-to-center spacing).

RD 웨이퍼(100A)는 또한, 예를 들면, 제2도전성 트레이스들(115) 및 제2유전층(116)을 포함할 수 있다. 제2도전성 트레이스들(115)은, 예를 들면, 증착된 도전성 재료(e.g., 카파 등)를 포함할 수 있다. 제2도전성 트레이스들(115)은, 예를 들면, 각각의 도전성 비아들(114)(e.g., 제1유전층(113)에 있는)을 통하여 각각의 제1도전성 트레이스들(112)에 연결될 수 있다. 제2유전층(116)은, 예를 들면, 무기 유전 재료(e.g., 실리콘 산화물, 실리콘 질화물 등)을 포함할 수 있다. 다른 조립체로서, 제2유전층(116)은 유기 유전 재료를 포함할 수 있다. 예를 들면, 제2유전층(116)은 비스말레이미드트리아진(BT), 페놀 수지, 폴리이미드(PI), 벤조사이클로부텐(BCB), 폴리벤즈옥사졸(PBO), 에폭시 및 이들의 균등물 및 이들의 화합물을 포함할 수 있지만, 본 발명의 양태들이 이로서 제한되지 않는다. 제2유전층(116)은, 예를 들면, CVD 공정을 이용하여 형성될 수 있으나, 본 발명의 범위가 이것으로 제한되지 않는다.The RD wafer 100A may also include, for example, second conductive traces 115 and a second dielectric layer 116 . The second conductive traces 115 may include, for example, a deposited conductive material (e.g., kappa, etc.). The second conductive traces 115 may be connected to each of the first conductive traces 112 through, for example, respective conductive vias 114 (e.g., in the first dielectric layer 113 ). . The second dielectric layer 116 may include, for example, an inorganic dielectric material (e.g., silicon oxide, silicon nitride, etc.). As another assembly, the second dielectric layer 116 may include an organic dielectric material. For example, the second dielectric layer 116 may include bismaleimidetriazine (BT), phenolic resin, polyimide (PI), benzocyclobutene (BCB), polybenzoxazole (PBO), epoxy, and equivalents thereof. and compounds thereof, but embodiments of the present invention are not limited thereto. The second dielectric layer 116 may be formed using, for example, a CVD process, but the scope of the present invention is not limited thereto.

비록 두셋트의 유전층들 및 도전성 트레이스들이 도 1a에 도시되어 있으나, RD 웨이퍼(100A)의 RD 구조(110)는 그와 같은 층들 및 트레이스들의 임의의 개수를 포함할 수 있음을 이해하여야 한다. 예를 들면, RD 구조(110)는 오직 하나의 유전층만을 그리고/또는 한 셋트의 도전성 트레이스들, 세 셋트의 유전층들 및/또는 도전성 트레이스들 등을 포함할 수 있다.Although two sets of dielectric layers and conductive traces are shown in FIG. 1A , it should be understood that the RD structure 110 of the RD wafer 100A may include any number of such layers and traces. For example, RD structure 110 may include only one dielectric layer and/or one set of conductive traces, three sets of dielectric layers and/or conductive traces, and/or the like.

블록(205)에서 로직 웨이퍼 준비 단계와 함께, 블록(210)은 RD 구조(110)의 표면 상에 상호 연결 구조들(e.g., 도전성 범프들, 도전성 볼들, 도전성 필라들, 도전성 랜드들 또는 패드들 등)을 형성하는 단계를 포함할 수 있다. RD 구조(110)가 상호 연결 구조들(117)을 포함하는, 이러한 상호 연결 구조들(117)의 예가 도 1a에 도시되어 있고, 이는 RD 구조(110)의 전방(또는 상부) 측 상에 형성되고 그리고 제2유전층(116)에 있는 도전성 비아들을 통하여 각각의 제2도전성 트레이스들(115)에 전기적으로 연결됨을 보이고 있다. 이러한 상호 연결 구조들(117)은, 예를 들면, RD 구조(110)를 다양한 전자 부품들(e.g., 능동 반도체 부품들 또는 다이, 수동 부품들 등)에 연결하는데 이용될 수 있다.In conjunction with the logic wafer preparation step at block 205 , block 210 includes interconnect structures (e.g., conductive bumps, conductive balls, conductive pillars, conductive lands or pads) on the surface of RD structure 110 . etc.) may be included. An example of such interconnect structures 117 , in which RD structure 110 includes interconnect structures 117 , is shown in FIG. 1A , which is formed on the front (or top) side of RD structure 110 . and electrically connected to respective second conductive traces 115 through conductive vias in the second dielectric layer 116 . These interconnect structures 117 may be used, for example, to connect the RD structure 110 to various electronic components (e.g., active semiconductor components or die, passive components, etc.).

상호 연결 구조들(117)은, 예를 들면, 임의의 다양한 도전성 재료들(e.g., 카파, 니켈, 골드 등의 하나 또는 조합)을 포함할 수 있다. 상호 연결 구조들(117)은 또한, 예를 들면, 솔더를 포함할 수 있다.The interconnect structures 117 may include, for example, any of a variety of conductive materials (e.g., one or a combination of kappa, nickel, gold, etc.). The interconnect structures 117 may also include, for example, solder.

일반적으로, 블록(210)은 재배선 구조 웨이퍼(RD 웨이퍼)를 준비하는 단계를 포함한다. 따라서, 본 발명의 범위가 이러한 준비를 수행하는 임의의 특정한 방식의 특징으로 제한되지 않아야 한다.In general, block 210 includes preparing a redistribution structure wafer (RD wafer). Accordingly, the scope of the present invention should not be limited to the features of any particular manner of carrying out such preparations.

예시적 방법(200)은, 블록(215)에서, RD 웨이퍼 상에 상호 연결 구조들(e.g., 관통 몰드 비아(TMV) 상호 연결 구조들)을 형성하는 단계를 포함한다. 블록(215)은 임의의 다양한 방식으로 그와 같은 상호 연결 구조들을 형성하는 단계를 포함한다.The exemplary method 200 includes, at block 215 , forming interconnect structures (e.g., through mold via (TMV) interconnect structures) on the RD wafer. Block 215 includes forming such interconnect structures in any of a variety of ways.

상호 연결 구조들은 임의의 다양한 특징들을 포함할 수 있다. 예를 들면, 상호 연결 구조들은 솔더 볼들 또는 범프들, 멀티 볼 솔더 컬럼들, 신장된 솔더 볼들, 메탈 코어를 따라 솔더 층이 형성된 메탈(e.g., 카파) 코어 볼들, 도금된 필라 구조들(e.g., 카파 필라들 등), 와이어 구조들(e.g., 와이어 본딩 와이어들) 등을 포함할 수 있다.The interconnect structures may include any of a variety of features. For example, interconnect structures include solder balls or bumps, multi-ball solder columns, elongated solder balls, metal (e.g., kappa) core balls with a solder layer formed along the metal core, plated pillar structures (e.g., kappa pillars, etc.), wire structures (e.g., wire bonding wires), and the like.

상호 연결 구조들은 임의의 다양한 치수를 가질 수 있다. 예를 들면, 상호 연결 구조들은 RD 웨이퍼로부터 RD 웨이퍼(e.g., 블록(220)에서)에 연결된 전자 부품들의 높이보다 작은 높이까지 연장될 수 있다. 또한 예를 들면, 상호 연결 구조들은 RD 웨이퍼로부터 RD 웨이퍼에 연결된 전자 부품들의 높이 이상의 높이까지 연장될 수 있다. 이러한 상대적 높이들의 중요성은 여기의 논의에서 명백하게 될 것이다(e.g., 몰드 박형화, 패키지 스택킹, 상부 서브스트레이트 부착, 상부 재배선 구조 형성 등의 논의에서). 상호 연결 구조들은 또한, 예를 들면, 다양한 피치들(중심과 중심 사이의 간격)로 형성될 수 있다. 예를 들면, 상호 연결 구조들(e.g., 도전성 포스트들 또는 필라들)은 150-250 마이크론 피치 이하로 도금되거나 그리고/또는 본딩될 수 있다. 또한 예를 들면, 상호 연결 구조들(e.g., 신장되거나 그리고/또는 메탈 충진 솔더 구조들)은 250-350 마이크론 피치 이하로 부착될 수 있다. 추가적으로, 예를 들면, 상호 연결 구조들(e.g., 솔더 볼들)은 350-450 마이크론 피치 이하로 부착될 수 있다.The interconnect structures may have any of a variety of dimensions. For example, the interconnect structures may extend from the RD wafer to a height less than the height of electronic components connected to the RD wafer (e.g., at block 220 ). Also for example, interconnect structures may extend from the RD wafer to a height greater than or equal to the height of electronic components connected to the RD wafer. The importance of these relative heights will become apparent in the discussion herein (e.g., in the discussion of mold thinning, package stacking, top substrate attachment, top redistribution structure formation, etc.). The interconnect structures may also be formed at various pitches (center-to-center spacing), for example. For example, interconnect structures (e.g., conductive posts or pillars) may be plated and/or bonded to 150-250 micron pitch or less. Also for example, interconnect structures (e.g., stretched and/or metal filled solder structures) may be attached at a pitch of 250-350 microns or less. Additionally, for example, interconnect structures (e.g., solder balls) can be attached with a pitch of 350-450 microns or less.

블록(215)은 임의의 다양한 방식으로 상호 연결 구조들을 부착하는 단계를 포함한다. 예를 들면, 블록(215)은 RD 웨이퍼 상에 상호 연결 구조들을 리플로우하여 부착하는 단계, RD 웨이퍼 상에 상호 연결 구조들을 도금하는 단계, RD 웨이퍼 상에 상호 연결 구조들을 와이어 본딩하는 단계, 도전성 에폭시로 RD 웨이퍼에 수행된 상호 연결 구조들을 부착하는 단계 등을 포함할 수 있다.Block 215 includes attaching the interconnect structures in any of a variety of ways. For example, block 215 may include reflowing and attaching interconnect structures on the RD wafer, plating the interconnect structures on the RD wafer, wire bonding the interconnect structures on the RD wafer, conductive attaching the performed interconnect structures to the RD wafer with epoxy; and the like.

도 1b는 블록(215)의 다양한 양태들, 예를 들면 상호 연결 구조 형성 양태들을 제공한다. 예시적인 조립체(100B)로서, 상호 연결 구조들(121)(e.g., 솔더 볼들)이 RD 웨이퍼(100A)의 RD 구조(110)에 접속된다(e.g., 리플로우 부착, 솔더 볼 드랍(drop) 공정을 이용한 부착 등). 1B provides various aspects of block 215, for example, aspects of forming an interconnect structure. As an exemplary assembly 100B, interconnect structures 121 (e.g., solder balls) are connected to an RD structure 110 of an RD wafer 100A (e.g., reflow attach, solder ball drop process). attachment, etc.).

비록 두열의 상호 연결 구조들(121)이 도시되었지만, 다양한 실시예들은 단독 열, 세개의 열들, 또는 임의 개수의 열들을 포함할 수 있다. 여기서 논의된 바와 같이, 다양한 예시적 실시예들이 그러한 상호 연결 구조들(121)을 갖지 않을 수 있고 따라서 블록(215)은 예시적 방법(200)으로 포함될 수 있다.Although two rows of interconnect structures 121 are shown, various embodiments may include a single column, three columns, or any number of columns. As discussed herein, various exemplary embodiments may not have such interconnect structures 121 and thus block 215 may be included in exemplary method 200 .

비록 예시적 방법(200)에서, 블록(215)이 블록(230)에서 웨이퍼 몰딩 동작 이후에 수행되지만, 상호 연결 구조들은 대신 웨이퍼 몰딩 동작 이후에 형성될 수 있음을 주목하라(e.g., 몰드 재료에서 개구 비아를 형성하고 난 후 그와 같은 개구들에 도전성 재료들을 채움). 또한 블록(215)이, 예를 들면 다이 부착 이전인 대신에, 도 2에 도시된 바와 같이 다이 부착 동작 이후인 블록(220)에서 수행될 수 있음을 주목하라.Note that although in the exemplary method 200, block 215 is performed after the wafer molding operation in block 230, interconnect structures may instead be formed after the wafer molding operation (e.g., in the mold material). After forming the opening vias, such openings are filled with conductive materials). Also note that block 215 may be performed at block 220 after the die attach operation as shown in FIG. 2 , for example, instead of before die attach.

일반적으로, 블록(215)은 RD 웨이퍼 상에 상호 연결 구조들을 형성하는 단계를 포함한다. 따라서, 본 발명의 범위는 특정한 타입의 상호 연결 구조들의 특징에 의해 또는 그러한 상호 연결 구조들을 형성하는 임의의 특정한 방식의 특징들에 의해 제한되어서는 안 된다.In general, block 215 includes forming interconnect structures on the RD wafer. Accordingly, the scope of the present invention should not be limited by the features of a particular type of interconnect structure or by the features of any particular manner of forming such interconnect structures.

예시적 방법(200)은, 블록(220)에서, RD 구조(e.g., RD 웨이퍼의)에 하나 이상의 반도체 다이를 부착하는 단계를 포함할 수 있다. 블록(220)은, 임의의 다양한 방식으로, 여기에 제공된 비제한적인 예들로, RD 구조에 다이를 부착하는 단계를 포함한다.Exemplary method 200 may include, at block 220 , attaching one or more semiconductor dies to an RD structure (e.g., of an RD wafer). Block 220 includes attaching a die to the RD structure in any of a variety of ways, including non-limiting examples provided herein.

반도체 다이는 임의의 다양한 타입의 반도체 다이의 특징들을 포함할 수 있다. 예를 들면, 반도체 다이는 프로세서 다이, 메모리 다이, 주문형 집적회로 다이, 일반 로직 다이, 능동 반도체 부품들 등을 포함한다. 수동 부품들이 또한 블록(220)에서 부착될 수 있음을 주목하라.A semiconductor die may include features of any of the various types of semiconductor die. For example, semiconductor dies include processor dies, memory dies, application specific integrated circuit dies, general logic dies, active semiconductor components, and the like. Note that passive components may also be attached at block 220 .

블록(220)은 임의의 다양한 방식들로 반도체 다이(e.g., 블록(205)에서 준비된 바와 같이)를 부착하는 단계를 포함할 수 있다. 예를 들면, 블록(220)은 매스 리플로우, 열압착 본딩(TCB), 도전성 에폭시 등을 이용하여 반도체 다이를 부착하는 단계를 포함할 수 있다.Block 220 may include attaching a semiconductor die (e.g., as prepared in block 205) in any of a variety of ways. For example, block 220 may include attaching the semiconductor die using mass reflow, thermocompression bonding (TCB), conductive epoxy, or the like.

도 1b는 블록(220)의 다양한 양태들, 예를 들면, 다이 부착 양태들의 예시적 도면을 제공한다. 예를 들면, 제1다이(125)(e.g., 블록(205)에서 준비된 로직 웨이퍼로부터 절단된 것일 수 있음)가 재배선 구조(110)에 전기적 및 기계적으로 부착된다. 유사하게, 제2다이(126)(e.g., 블록(205)에서 준비된 로직 웨이퍼로부터 절단된 것일 수 있음)가 재배선 구조(110)에 전기적 및 기계적으로 부착된다. 예를 들면, 블록(205)에서 설명한 바와 같이, 로직 웨이퍼(또는 그것의 다이)는 그 표면에 형성된 다양한 상호 연결 구조들(e.g., 도전성 패드들, 랜드들, 범프들, 볼들, 웨이퍼 범프들, 도전성 필라들 등)과 함께 준비될 수 있다. 이러한 구조들이 일반적으로 도 1b에서 부호 119로 도시되어 있다. 블록(220)은, 예를 들면, 임의의 다양한 부착 공정들(e.g., 매스 리플로우, 열압착 본딩(TCB), 도전성 에폭시 등)을 이용하여 그와 같은 상호 연결 구조들을 재배선 구조(110)에 전기적 및 기계적으로 부착하는 단계를 포함할 수 있다.1B provides an exemplary diagram of various aspects of block 220 , eg, die attach aspects. For example, a first die 125 (eg, cut from the logic wafer prepared in block 205 ) is electrically and mechanically attached to the redistribution structure 110 . Similarly, a second die 126 (e.g., cut from the logic wafer prepared in block 205 ) is electrically and mechanically attached to the redistribution structure 110 . For example, as described at block 205, a logic wafer (or a die thereof) may have various interconnect structures (e.g., conductive pads, lands, bumps, balls, wafer bumps, etc.) formed on its surface. conductive pillars, etc.). These structures are shown generally at 119 in FIG. 1B. Block 220 may, for example, connect such interconnect structures to redistribution structure 110 using any of a variety of attachment processes (e.g., mass reflow, thermocompression bonding (TCB), conductive epoxy, etc.) It may include the step of electrically and mechanically attaching to the.

제1다이(125) 및 제2다이(126)는 임의의 다양한 다이 특성들을 포함한다. 예시적 시나리오로서, 제1다이(125)는 프로세서 다이를 포함할 수 있고, 제2다이(126)는 메모리 다이를 포함할 수 있다. 다른 예시적 시나리오로서, 제1다이(125)는 프르세서 다이를 포함할 수 있고, 그리고 제2다이(126)는 코-프로세서 다이(co-process die)를 포함할 수 있다. 또다른 예시적 시나리오로서, 제1다이(125)는 센서 다이를 포함할 수 있고, 그리고 제2다이(126)는 센서 프로세싱 다이를 포함할 수 있다. 비록 도 1b에서 조립체(100B)가 두개의 다이(125,126)로 도시되었으나, 임의 개수의 다이도 가능하다. 예를 들면, 오직 하나의 다이, 세개의 다이, 네개의 다이, 또는 네개 이상의 다이일 수도 있다.The first die 125 and the second die 126 include any of a variety of die characteristics. As an example scenario, the first die 125 may include a processor die and the second die 126 may include a memory die. As another example scenario, the first die 125 may include a processor die, and the second die 126 may include a co-processor die. As another example scenario, the first die 125 may include a sensor die, and the second die 126 may include a sensor processing die. Although assembly 100B is shown with two dies 125 and 126 in FIG. 1B, any number of dies is possible. For example, it may be only one die, three dies, four dies, or four or more dies.

추가적으로, 비록 제1다이(125) 및 제2다이(126)가 상호간 상대적으로 측부 방향으로 재배선 구조(110)에 부착된 것으로 도시되어 있으나, 그들은 또한 수직 조립체로 배열될 수도 있다. 이러한 구조들의 다양한 비제한적 예들이 도시되고 그리고 여기서 논의된다(e.g., 다이 온 다이 스택킹(die-on-die stacking), 대향 서브스트레이트 측들에의 다이 부착 등). 또한, 비록 제1다이(125) 및 제2다이(126)가 일반적으로 유사한 치수로 도시되었으나, 그러한 다이(125,126)는 다른 각각의 특징들(e.g., 다이 높이, 풋 프린트, 연결 피치 등)을 포함할 수 있다.Additionally, although the first die 125 and the second die 126 are shown attached to the redistribution structure 110 laterally relative to each other, they may also be arranged in a vertical assembly. Various non-limiting examples of such structures are shown and discussed herein (e.g., die-on-die stacking, die attach to opposite substrate sides, etc.). Also, although first die 125 and second die 126 are shown with generally similar dimensions, such die 125 and 126 may have different respective characteristics (e.g., die height, footprint, connection pitch, etc.). may include

제1다이(125) 및 제2다이(126)가 일반적으로 일정한 피치로 도시되어 있지만, 이러한 경우일 필요는 없다. 예를 들면, 제2다이(126)에 바로 인접한 제1다이 풋 프린트의 영역에서 제1다이(125) 중 대부분 또는 모든 컨택들(119) 및/또는 제1다이(125)에 바로 인접한 제2다이 풋 프린트의 영역에서 제2다이(126) 중 대부분은 대부분의 또는 모든 다른 컨택들(119)보다 실질적으로 더 가는 피치를 가질 수 있다. 예를 들면, 제2다이(및/또는 제1다이(125)에 가장 가까운 제2다이(126))에 가장 가까운 제1다이(125)의 첫번째 5, 10 또는 n 열들은 30 마이크론 피치를 가질 수 있는 반면, 다른 컨택들(119)은 일반적으로 80 마이크론 및/또는 200 마이크론 피치를 가질 수 있다. RD 구조(110)는 따라서 대응 피치에서 대응 컨택 구조들 및/또는 트레이스들을 가질 수 있다.Although the first die 125 and the second die 126 are shown generally at a constant pitch, this need not be the case. For example, in the region of the first die footprint immediately adjacent to the second die 126 , most or all of the contacts 119 of the first die 125 and/or the second directly adjacent to the first die 125 . Most of the second dies 126 in the area of the die footprint may have a substantially thinner pitch than most or all other contacts 119 . For example, the first 5, 10, or n rows of the first die 125 closest to the second die (and/or the second die 126 closest to the first die 125) may have a 30 micron pitch. while other contacts 119 may generally have a pitch of 80 microns and/or 200 microns. The RD structure 110 may thus have corresponding contact structures and/or traces at a corresponding pitch.

일반적으로, 블록(220)은 하나 이상의 반도체 다이를 재배선 구조(e.g., 재배선 웨이퍼)에 부착하는 단계를 포함한다. 따라서, 본 발명의 범위는 임의의 특정한 다이의 특징들에 의해, 또는 임의의 특정한 멀티 다이 레이아웃의 특징들에 의해, 또는 그러한 다이를 부착하는 임의의 특정한 방식의 특징들에 의해 제한되어서는 안 된다.Generally, block 220 includes attaching one or more semiconductor dies to a redistribution structure (e.g., a redistribution wafer). Accordingly, the scope of the present invention should not be limited by the features of any particular die, or by the features of any particular multi-die layout, or by the features of any particular manner of attaching such a die. .

예시적 방법(200)은, 블록(225)에서, 반도체 다이 및/또는 블록(220)에서 RD 구조에 부착된 다른 부품들을 언더필링하는 단계를 포함할 수 있다. 블록(225)은 임의의 다양한 방식들, 여기에서 설명되는 비제한적인 예들로서 그러한 언더필링을 수행하는 단계를 포함할 수 있다. Exemplary method 200 may include underfilling, at block 225 , the semiconductor die and/or other components attached to the RD structure at block 220 . Block 225 may include performing such underfilling in any of a variety of ways, as non-limiting examples described herein.

예를 들면, 블록(220)에서 다이 부착 단계 이후, 블록(225)은 캐필러리 언더필을 이용하여 반도체 다이를 언더필링하는 단계를 포함할 수 있다. 예를 들면, 언더필은 모세관 작용에 의해 미리 부착된 다이와 RD 웨이퍼 사이를 충분히 흐를 수 있는 점착성 강화 폴리머 재료를 포함할 수 있다.For example, after the die attach step at block 220 , block 225 may include underfilling the semiconductor die using a capillary underfill. For example, the underfill may include a tack-enhancing polymeric material capable of sufficiently flowing between the RD wafer and the pre-attached die by capillary action.

또한 예를 들면, 블록(225)은, 다이가 블록(220)(e.g., 열압착 본딩 공정을 이용함)에서 부착된 반면, 비도전성 페이스트(NCP) 및/또는 비도전성 필름(NCF) 또는 테이프를 이용하여 반도체 다이를 언더필링하는 단계를 포함할 수 있다. 예를 들면, 그러한 언더필 재료는 반도체 다이의 부착 전에 증착(e.g., 인쇄, 스프레이 등)될 수 있다.Also for example, block 225 can be used to attach a non-conductive paste (NCP) and/or a non-conductive film (NCF) or tape while a die is attached at block 220 (e.g., using a thermocompression bonding process). may include underfilling the semiconductor die using For example, such underfill material may be deposited (e.g., printed, sprayed, etc.) prior to attachment of the semiconductor die.

예시적 방법(200)에 도시된 모든 블록들과 마찬가지로, 블록(225)은 다이와 재배선 구조 사이의 공간에 접근할 수 있는 한, 방법(200) 플로우의 임의의 위치에서 수행될 수 있다.Like all blocks shown in example method 200 , block 225 can be performed anywhere in the method 200 flow as long as the space between the die and the redistribution structure is accessible.

언더필링은 또한 예시적 방법(200)의 다른 블록에서 일어날 수 있다. 예를 들면, 언더필링은 웨이퍼 몰딩 블록(230)(e.g., 몰디드 언더필을 이용함)의 부분으로서 수행될 수 있다. Underfilling may also occur in other blocks of the example method 200 . For example, underfilling may be performed as part of the wafer molding block 230 (e.g., using a molded underfill).

도 1b는 블록(225)의 다양한 양태들, 예를 들면 언더필링 양태들의 예시적 도면을 제공한다. 언더필(128)은 제1반도체 다이(125)와 재배선 구조(110) 사이 그리고 제2반도체 다이(126)와 재배선 구조(110) 사이, 예를 들면, 컨택들(119)을 둘러싸면서 위치된다.1B provides an exemplary diagram of various aspects of block 225 , eg, underfilling aspects. The underfill 128 is positioned between the first semiconductor die 125 and the redistribution structure 110 and between the second semiconductor die 126 and the redistribution structure 110 , for example, surrounding the contacts 119 . do.

비록 언더필(128)이 일반적으로 평평하게 도시되어 있으나, 언더필은 솟아 오르고 그리고 반도체 다이 및/또는 다른 부품들의 측면 상에 필렛(fillet)을 형성할 수 있다. 하나의 예시적 시나리오로서, 다이 측부 표면의 적어도 1/4 또는 적어도 1/2이 언더필 재료로 덮일 수 있다. 다른 예시적 시나리오로서, 하나 이상 또는 모든 측부 표면들이 언더필 재료로 덮일 수 있다. 또한 예를 들면, 반도체 다이 사이, 반도체 다이와 다른 부품들 사이, 및/또는 다른 부품들 사이에 직접 공간의 상당 부분이 언더필 재료로 채워질 수 있다. 예를 들면, 측부 방향으로 인접한 반도체 다이 사이, 다이와 다른 부품들 사이, 및/또는 다른 부품들 사이의 공간의 적어도 1/2 또는 공간의 모두가 언더필 재료로 채워질 수 있다. 하나의 예시적 실시예로서, 언더필(128)이 RD 웨이퍼의 전체 재배선 구조(110)를 덮을 수 있다. 그러한 예시적 실시예로서, RD 웨이퍼가 추후에 절단될 경우, 그러한 절단이 언더필(128)을 관통하여 자를 수 있다.Although the underfill 128 is shown generally flat, the underfill may rise and form a fillet on the side of the semiconductor die and/or other components. As one example scenario, at least one-quarter or at least one-half of the die side surface may be covered with an underfill material. As another example scenario, one or more or all of the side surfaces may be covered with an underfill material. Also, for example, a significant portion of the space directly between semiconductor dies, between semiconductor dies and other components, and/or between other components may be filled with underfill material. For example, at least one-half or all of the space between laterally adjacent semiconductor dies, between the die and other components, and/or between other components may be filled with the underfill material. As one exemplary embodiment, the underfill 128 may cover the entire redistribution structure 110 of the RD wafer. As such an exemplary embodiment, if the RD wafer is later cut, such a cut may cut through the underfill 128 .

일반적으로, 블록(225)은 반도체 다이 및/또는 블록(220)에서 RD 구조에 부착된 다른 부품들을 언더필링하는 단계를 포함한다. 따라서, 본 발명의 범위가 임의의 특정한 타입의 언더필의 특징들 또는 그러한 언더필링을 수행하는 임의의 특정한 방식으로 제한되어서는 안 된다.Generally, block 225 includes underfilling the semiconductor die and/or other components attached to the RD structure in block 220 . Accordingly, the scope of the present invention should not be limited to the features of any particular type of underfill or to any particular manner of carrying out such underfilling.

예시적 방법(200)은, 블록(230)에서, RD 웨이퍼(e.g., 또는 RD 구조)를 몰딩하는 단계를 포함한다. 블록(230)은 임의의 다양한 방식으로, 여기에 설명되는 비제한적인 예들로 RD 웨이퍼를 몰딩하는 단계를 포함한다.Exemplary method 200 includes, at block 230 , molding an RD wafer (e.g., or RD structure). Block 230 includes molding the RD wafer in any of a variety of ways, with non-limiting examples described herein.

예를 들면, 블록(230)은 RD 웨이퍼의 상면을 따라, 블록(220)에서 부착된 다이 및/또는 다른 부품들을 따르는, 블록(215)에서 형성된 상호 연결 구조들을 따르는(e.g., 도전성 볼들, 타원체들, 칼럼들 또는 필라들(e.g., 도금된 필라들, 와이어들 또는 외어어 본딩 와이어들 등) 등), 블록(225)에서 형성된 언더필 등을 따르는 몰딩 단계를 포함할 수 있다.For example, block 230 may follow the interconnect structures formed in block 215 (e.g., conductive balls, ellipsoid), along the top surface of the RD wafer, along the die and/or other components attached in block 220 . fields, columns or pillars (e.g., plated pillars, wires or foreign bonding wires, etc.), an underfill formed in block 225, and the like.

블록(230)은, 예를 들면, 컴프레션 몰딩(e.g., 액체, 파우더 및/또는 필름을 이용함) 또는 배큠 몰딩(vacuum molding)을 이용하는 단계를 포함할 수 있다. 또한, 예를 들면, 블록(230)은 트랜스퍼 몰딩 공정(e.g., 웨이퍼 레벨 트랜스퍼 몰딩 공정)을 이용하는 단계를 포함할 수 있다.Block 230 may include, for example, using compression molding (e.g., using liquid, powder and/or film) or vacuum molding. Also, for example, block 230 may include using a transfer molding process (e.g., a wafer level transfer molding process).

몰딩 재료는, 예를 들면, 임의의 다양한 특징들을 포함할 수 있다. 예를 들면, 몰딩 재료(e.g., 에폭시 몰딩 컴파운드(EMC), 에폭시 레진 몰딩 컴파운드 등)는, 예를 들면, 후속 공정에서 웨이퍼 지지를 제공하기 위해, 상대적으로 높은 모듈러스(modulus)를 포함할 수 있다. 또한, 예를 들면, 몰딩 재료는, 후속 공정에서 웨이퍼 유연성을 제공하기 위해, 상대적으로 낮은 모듈러스를 포함할 수 있다.The molding material may include, for example, any of a variety of features. For example, the molding material (e.g., epoxy molding compound (EMC), epoxy resin molding compound, etc.) may have a relatively high modulus, for example to provide wafer support in subsequent processing. . Also, for example, the molding material may include a relatively low modulus to provide wafer flexibility in subsequent processing.

여기에 설명된 바와 같이, 예를 들면 블록(225)와 관련하여, 블록(230)의 몰딩 공정은 다이와 RD 웨이퍼 사이의 언더필을 제공할 수 있다. 이러한 예로서, 반도체 다이를 몰딩하는 몰디드 언더필 재료 및 몰드 재료 사이에 재료의 균일성이 있을 수 있다.As described herein, for example, with respect to block 225 , the molding process of block 230 may provide an underfill between the die and the RD wafer. As an example of this, there may be a uniformity of material between the mold material and the molded underfill material for molding the semiconductor die.

도 1c는 블록(230)의 다양한 양태들, 예를 들면 몰딩 공정의 예시적 도면을 제공한다. 예를 들면, 몰딩된 조립체(100C)가 상호 연결 구조들(121), 제1반도체 다이(125), 제2반도체 다이(126), 언더필(128), 및 재배선 구조(110)의 상부 표면을 덮는 몰드 재료(130)와 함께 도시되어 있다. 여기서 인캡슐란트로 또한 지칭되는, 비록 몰드 재료(130)가 제1반도체 다이(125) 및 제2반도체 다이(126)의 측부들 및 상부들을 완전히 덮는 것으로 도시되어 있으나, 이러한 경우일 필요는 없다. 예를 들면, 블록(230)은 다이 상부가 몰드 재료로부터 노출되도록 필름 어시스트(film assist) 또는 다이 시일 몰딩(die seal molding) 기술을 이용하는 단계를 포함할 수 있다.1C provides an exemplary diagram of various aspects of block 230, eg, a molding process. For example, the molded assembly 100C may be a top surface of the interconnect structures 121 , the first semiconductor die 125 , the second semiconductor die 126 , the underfill 128 , and the redistribution structure 110 . is shown with mold material 130 covering it. Although the mold material 130 , also referred to herein as an encapsulant, is shown completely covering the sides and tops of the first semiconductor die 125 and the second semiconductor die 126 , this need not be the case. For example, block 230 may include using a film assist or die seal molding technique to expose the top of the die from the mold material.

몰딩 재료(130)는 일반적으로, 예를 들면, 언더필(128)로 덮이지 않은 다이(125,126)의 영역들에 직접 접촉하거나 이들을 덮는다. 다이(125,126)의 측부의 적어도 제1영역이 언더필(128)에 의해 덮이는 하나의 예시적 시나리로서, 몰드 재료(130)는 다이(125,126)의 측부들의 제2영역에 직접 접촉하거나 이를 덮을 수 있다. 몰드 재료(130)는, 예를 들면, 다이(125,126)(e.g., 언더필(128)로 이미 충진되지 않은 공간의 적어도 한 영역) 사이의 공간을 채운다.Molding material 130 generally directly contacts or covers areas of die 125 , 126 that are not covered, for example, with underfill 128 . As one exemplary scenario where at least a first area of the sides of the dies 125 and 126 is covered by the underfill 128 , the mold material 130 directly contacts or has a second area of the sides of the dies 125 and 126 . can be covered Mold material 130 fills the space between, for example, dies 125 and 126 (e.g., at least one region of the space not already filled with underfill 128 ).

일반적으로, 블록(230)은 RD 웨이퍼를 몰딩하는 단계를 포함할 수 있다. 따라서, 본 발명의 범위가 임의의 특정한 몰딩 재료, 구조 및/또는 기술의 특징들로 제한되어서는 안 된다.In general, block 230 may include molding the RD wafer. Accordingly, the scope of the present invention should not be limited to the features of any particular molding material, structure, and/or technique.

예시적 방법(200)은, 블록(235)에서, 블록(230)에서 적용된 몰드 재료를 그라인딩(또는 박형화)하는 단계를 포함할 수 있다. 블록(235)은 임의의 다양한 방식들, 여기에 설명되는 비제한적인 예들로, 몰드 재료를 그라인딩(또는 박형화)하는 단계를 포함할 수 있다.Exemplary method 200 may include, at block 235 , grinding (or thinning) the mold material applied at block 230 . Block 235 may include grinding (or thinning) the mold material in any of a variety of ways, including non-limiting examples described herein.

블록(235)은, 예를 들면, 몰드 재료를 얇게 하기 위해 몰드 재료를 기계적으로 그라인딩하는 단계를 포함할 수 있다. 이러한 박형화는, 예를 들면, 오버 몰딩(over molding)된 다이 및/또는 상호 연결 구조들을 남겨 놓거나, 또는 이러한 박형화는 하나 이상의 다이 및/또는 하나 이상의 상호 연결 구조들을 노출시킬 수 있다.Block 235 may include, for example, mechanically grinding the mold material to thin the mold material. Such thinning may, for example, leave over molded die and/or interconnect structures, or such thinning may expose one or more dies and/or one or more interconnect structures.

블록(235)는, 예를 들면, 몰드 컴파운드 이외에 다른 부품들을 그라인딩하는 단계를 포함할 수 있다. 예를 들면, 블록(235)은 블록(220)에서 부착된 다이의 상부 측들(e.g., 백사이드들 또는 비활성 측부들)을 그라인딩하는 단계를 포함할 수 있다. 블록(235)은 또한, 예를 들면, 블록(215)에서 형성된 상호 연결 구조들을 그라인딩하는 단계를 포함할 수 있다. 또한, 블록(225) 또는 블록(230)에서 제공된 언더필이 충분히 상부로 연장되는 시나리오에서, 블록(235)은 또한 그러한 언더필 재료를 그라인딩하는 단계를 포함할 수 있다. 이러한 그라인딩은, 예를 들면, 그라인딩된 재료의 상부에서 평평한 평면을 초래할 수 있다.Block 235 may include, for example, grinding components other than the mold compound. For example, block 235 may include grinding the top sides (e.g., backsides or inactive sides) of the die attached at block 220 . Block 235 may also include, for example, grinding the interconnect structures formed in block 215 . Also, in scenarios where the underfill provided at block 225 or block 230 extends sufficiently upward, block 235 may also include grinding such underfill material. Such grinding may result, for example, in a flat plane on top of the ground material.

블록(235)은, 예를 들면, 몰드 재료의 높이가 원하는 두께로 원래 형성된 시나리오에서, 스킵될 수 있다.Block 235 may be skipped, for example, in a scenario where the height of the mold material was originally formed to a desired thickness.

도 1d는 블록(235)의 다양한 양태들, 예를 들면, 몰드 그라인딩 양태들의 예시적 도면을 제공한다. 조립체(100D)가 다이(125,126)의 상부 면들을 드러내도록 박형화된 몰드 재료(130)(e.g., 도 1c에 도시된 몰드 재료(130)에 대하여)와 함께 도시되어 있다. 이러한 예에서, 다이(125,126)는 또한 그라인딩(또는 박형화) 되어 있을 수 있다.1D provides an exemplary diagram of various aspects of block 235 , eg, mold grinding aspects. Assembly 100D is shown with mold material 130 (e.g., with respect to mold material 130 shown in FIG. 1C ) thinned to expose top surfaces of dies 125 and 126 . In this example, the dies 125 and 126 may also be ground (or thinned).

비록 도 1d에 도시된 바와 같이, 몰드 재료의 상부 면이 상호 연결 구조(121) 위에 있고, 그리고 따라서 상호 연결 구조(121)가 그라인딩되지 않았지만, 상호 연결 구조(121) 역시 그라인딩될 수 있다. 이러한 예시적 실시예는, 예를 들면, 다이(125,126)의 상부 면, 몰드 재료(130)의 상부 면, 및 상호 연결 구조들(121)의 상부 면을 포함하는 이러한 스테이지에서 모두 공통적으로 평평한 상면을 만든다.Although the top surface of the mold material is over the interconnect structure 121 as shown in FIG. 1D , and thus the interconnect structure 121 has not been ground, the interconnect structure 121 may also be ground. This exemplary embodiment has a flat top surface all in common at this stage including, for example, the top surface of the dies 125 and 126 , the top surface of the mold material 130 , and the top surface of the interconnect structures 121 . makes

여기서 설명된 바와 같이, 몰드 재료(130)는 오버 몰드 형상으로 다이(125,126)를 덮으며 잔존할 수 있다. 예를 들면, 몰드 재료(130)는 그라인딩되지 않거나, 또는 몰드 재료(130)는 다이(125,126)를 노출시키는 높이까지는 그라인딩되지 않을 수 있다.As described herein, the mold material 130 may remain covering the dies 125 and 126 in an overmold shape. For example, the mold material 130 may not be ground, or the mold material 130 may not be ground to a height exposing the dies 125 and 126 .

일반적으로, 블록(235)은 블록(230)에서 적용된 몰드 재료를 그라인딩(또는 박형화)하는 단계를 포함한다. 따라서, 본 발명의 범위가 임의의 특정한 양 또는 타입의 그라인딩(또는 박형화)의 특징들에 의해 제한되어서는 안 된다.In general, block 235 includes grinding (or thinning) the mold material applied in block 230 . Accordingly, the scope of the present invention should not be limited by the characteristics of any particular amount or type of grinding (or thinning).

예시적 방법(200)은, 블록(240)에서, 블록(230)에서 적용된 몰드 재료를 제거하는 단계를 포함할 수 있다. 블록(240)은 임의의 다양한 방식들로, 여기에 설명된 비제한적 예들로, 몰드 재료를 제거하는 단계를 포함할 수 있다.Exemplary method 200 may include, at block 240 , removing the mold material applied at block 230 . Block 240 may include removing the mold material in any of a variety of ways, including non-limiting examples described herein.

여기서 논의된 바와 같이, 몰드 재료는 블록(215)에서 형성된 상호 연결 구조들을 덮을 수 있다. 몰드 재료가 상호 연결 구조들을 덮고 그리고 상호 연결 구조들이 드러날 필요가 있다면(e.g., 후속 패키지 부착, 상부측 재배선층 형성, 상부측 라미네이트 서브스트레이트 부착, 전기적 연결, 히트싱크 연결, 전자기 쉴드 연결 등을 위해), 블록(240)은 연결 구조들을 드러내기 위해 몰드 재료를 제거하는 단계를 포함할 수 있다.As discussed herein, the mold material may cover the interconnect structures formed in block 215 . If the mold material covers the interconnect structures and the interconnect structures need to be exposed (e.g., for subsequent package attachment, top side redistribution layer formation, top side laminate substrate attachment, electrical connection, heat sink connection, electromagnetic shield connection, etc.) ), block 240 may include removing the mold material to reveal the connecting structures.

블록(240)은, 예를 들면, 레이저 제거 기술을 이용하여 몰드 재료를 통해 상호 연결 구조들을 노출하는 단계를 포함할 수 있다. 또한 예를 들면, 블록(240)은 소프트 빔 드릴링, 기계적 드릴링, 화학적 드릴링 등을 이용하는 단계를 포함할 수 있다.Block 240 may include exposing the interconnect structures through the mold material using, for example, a laser ablation technique. Also for example, block 240 may include steps using soft beam drilling, mechanical drilling, chemical drilling, or the like.

도 1D는 블록(240)의 다양한 양태들, 예를 들면 제거 양태들의 예시적 도면을 제공한다. 예를 들면, 조립체(100D)가 몰드 재료(130)를 통하여 상호 연결 구조들(121)에까지 연장된 제거된 비아들(140)을 포함하여 도시되어 있다. 제거된 비아들(140)이 수직 측벽들과 함께 도시되어 있지만, 비아들(140)이 임의의 다양한 형태들을 포함할 수 있음을 이해하여야 한다. 예를 들어 측벽들은 경사질 수 있다(e.g., 상호 연결 구조(121)에서보다 몰드 재료(130)의 상부 면에서의 더 큰 오프닝을 가짐).1D provides an exemplary diagram of various aspects of block 240 , eg, removal aspects. For example, assembly 100D is shown with removed vias 140 extending through mold material 130 to interconnect structures 121 . Although removed vias 140 are shown with vertical sidewalls, it should be understood that vias 140 may include any of a variety of shapes. For example, the sidewalls may be beveled (e.g., having a larger opening in the top face of the mold material 130 than in the interconnect structure 121 ).

비록 블록(240)이 블록(230)에서 웨이퍼 몰딩 및 블록(235)에서 몰드 그라인딩 이후에 즉각적으로 있는 것으로 도 2에 도시되어 있으나, 블록(240)은 방법(200)의 임의의 포인트 이후에서 수행될 수 있다.Although block 240 is shown in FIG. 2 as being immediately after wafer molding at block 230 and mold grinding at block 235 , block 240 is performed after any point in method 200 . can be

일반적으로, 블록(240)은 블록(230)에서 몰드 재료를 제거하는 단계를 포함할 수 있다(e.g., 블록(215)에서 형성된 상호 연결 구조들을 노출시키기 위해). 따라서, 본 발명의 범위는 그와 같은 제거를 수행하는 임의의 특정한 방식의 특징들에 의해 또는 임의의 특정한 제거된 비아 구조들의 특징들에 의해 제한되어서는 안 된다.Generally, block 240 may include removing the mold material from block 230 (e.g., to expose the interconnect structures formed at block 215 ). Accordingly, the scope of the present invention should not be limited by the features of any particular manner of performing such removal or by the features of any particular removed via structures.

예시적 방법(200)은, 블록(245)에서, 몰딩된 RD 웨이퍼(e.g., 상부 또는 그의 몰드 측부)를 웨이퍼 지지 구조에 부착하는 단계를 포함할 수 있다. 블록(245)은 임의의 다양한 방식들로, 여기에 설명된 비제한적인 예들로, 몰딩된 RD 웨이퍼를 웨이퍼 지지 구조에 부착하는 단계를 포함할 수 있다.Exemplary method 200 may include, at block 245 , attaching a molded RD wafer (e.g., top or mold side thereof) to a wafer support structure. Block 245 may include attaching the molded RD wafer to the wafer support structure in any of a variety of ways, including non-limiting examples described herein.

웨이퍼 지지 구조는, 예를 들면, 실리콘, 글래스, 또는 다양한 다른 재료들(e.g., 유전 재료들)로 형성된 웨이퍼 또는 픽스처를 포함할 수 있다. 블록(245)은, 예를 들면, 접착제, 배큠 픽스처(vacuum fixture) 등을 이용하여 몰딩된 RD 웨이퍼를 웨이퍼 지지 구조에 부착하는 단계를 포함할 수 있다. 여기서 도시되고 설명된 바와 같이, 재배선 구조는 웨이퍼 지지 구조의 부착 이전에 다이 및 몰드 재료의 상부 측(또는 백사이드)에 형성됨을 주목하라.The wafer support structure may include, for example, a wafer or fixture formed of silicon, glass, or various other materials (e.g., dielectric materials). Block 245 may include attaching the molded RD wafer to the wafer support structure using, for example, an adhesive, a vacuum fixture, or the like. Note that, as shown and described herein, a redistribution structure is formed on the top side (or backside) of the die and mold material prior to attachment of the wafer support structure.

도 1e는 블록(245)의 다양한 양태들, 예를 들면 웨이퍼 지지 부착 양태들의 예시적 도면을 제공한다. 웨이퍼 지지 구조(150)가 몰드 재료(130) 및 다이(125,126)의 상부 측에 부착된다. 웨이퍼 지지 구조(150)는, 예를 들면, 접착제로 접착될 수 있고, 그러한 접착제는 또한 비아들(140) 및 상호 연결 구조들(121)과의 접촉면에 형성될 수 있다. 다이(125,126)의 상부가 몰딩 재료(130)로 덮인 조립체에서, 웨이퍼 지지 구조(150)는 몰드 재료(130)의 상부에만 오직 직접 연결됨을 주목하라.1E provides an exemplary diagram of various aspects of block 245 , for example, wafer support attachment aspects. A wafer support structure 150 is attached to the top side of the mold material 130 and the dies 125 and 126 . Wafer support structure 150 may be adhered, for example, with an adhesive, which may also be formed in contact surfaces with vias 140 and interconnect structures 121 . Note that in an assembly in which the tops of the dies 125 , 126 are covered with the molding material 130 , the wafer support structure 150 is directly connected only to the top of the mold material 130 .

일반적으로, 블록(245)은 몰딩된 RD 웨이퍼(e.g., 상부 또는 그의 몰드 측부)를 웨이퍼 지지 구조에 부착하는 단계를 포함할 수 있다. 따라서, 본 발명의 범위가 임의의 특정한 형태의 웨이퍼 지지 구조의 특징들에 의해 또는 웨이퍼 지지 구조를 부착하는 임의의 특정한 방식의 특징들에 의해 제한되어서는 안 된다.In general, block 245 may include attaching a molded RD wafer (e.g., top or mold side thereof) to a wafer support structure. Accordingly, the scope of the present invention should not be limited by the features of any particular type of wafer support structure or by the features of any particular manner of attaching the wafer support structure.

예시적 방법(200)은, 블록(250)에서, RD 웨이퍼로부터 지지층을 제거하는 단계를 포함할 수 있다. 블록(250)은 임의의 다양한 방식으로, 여기에 설명된 비제한적인 예들로 지지층을 제거하는 단계를 포함할 수 있다.Exemplary method 200 may include, at block 250 , removing the support layer from the RD wafer. Block 250 may include removing the support layer in any of a variety of ways, such as non-limiting examples described herein.

여기에서 논의된 바와 같이, RD 웨이퍼는 RD 구조가 형성 및/또는 옮겨진 지지층을 포함할 수 있다. 지지층은, 예를 들면, 반도체 재료(e.g., 실리콘)를 포함할 수 있다. 지지층이 실리콘 웨이퍼 층을 포함하는 예시적 시나리오로서, 블록(250)은 실리콘(e.g., RD 웨이퍼로부터 모든 실리콘을 제거, RD 웨이퍼로부터, 예를 들면, 적어도 90% 또는 95%의 실리콘 대부분을 제거 등)을 제거하는 단계를 포함할 수 있다. 예를 들면, 블록(250)은 실리콘의 대부분을 기계적으로 그라인딩하는 단계를 포함하고, 이어서 잔존 부분(또는 잔존 부분의 대부분)을 제거하기 위해 건식 또는 습식 화학적 식각이 수행된다. 지지층이 그것 위에 형성된(또는 옮겨진) RD 구조에 느슨하게 부착된 예시적 시나리오로서, 블록(250)은 RD 구조로부터 지지층을 분리하기 위해 분리하거나 박리하는 단계를 포함한다.As discussed herein, the RD wafer may include a support layer on which the RD structure has been formed and/or transferred. The support layer may comprise, for example, a semiconductor material (e.g., silicon). As an exemplary scenario in which the support layer includes a layer of a silicon wafer, block 250 removes silicon (e.g., all silicon from the RD wafer, most of the silicon from the RD wafer, for example at least 90% or 95%, etc.) ) may include the step of removing For example, block 250 may include mechanically grinding a majority of the silicon, followed by a dry or wet chemical etch to remove the remaining portion (or a majority of the remaining portion). As an exemplary scenario in which a support layer is loosely attached to an RD structure formed (or displaced) thereon, block 250 includes separating or peeling to separate the support layer from the RD structure.

도 1f는 블록(250)의 다양한 양태들, 예를 들면 지지층 제거 양태들의 예시적 도면을 제공한다. 예를 들면, 지지층(105)(도 1e에 도시된)이 RD 구조(110)로부터 제거된다. 도시된 예로서, RD 구조(110)는 여전히 여기서 논의된 바와 같이 베이스 유전층(111)(e.g., 산화막, 질화막 등)을 포함할 수 있다.1F provides an exemplary diagram of various aspects of block 250 , for example, support layer removal aspects. For example, the support layer 105 (shown in FIG. 1E ) is removed from the RD structure 110 . As an example shown, RD structure 110 may still include a base dielectric layer 111 (e.g., oxide, nitride, etc.) as discussed herein.

일반적으로, 블록(250)은 RD 웨이퍼로부터 지지층을 제거하는 단계를 포함할 수 있다. 따라서, 본 발명의 범위는 웨이퍼 재료의 임의의 특정한 타입의 특징들로 또는 웨이퍼 재료 제거의 임의의 특정한 방식의 특징들로 제한되어서는 안 된다.In general, block 250 may include removing the support layer from the RD wafer. Accordingly, the scope of the present invention should not be limited to features of any particular type of wafer material or features of any particular manner of wafer material removal.

예시적 방법(200)은, 블록(255)에서, RD 구조의 산화막을 식각하기 위해 제1재배선층(RDL) 유전층을 형성하거나 패터닝하는 단계를 포함할 수 있다. 블록(255)은 임의의 다양한 방식들, 여기에 설명된 비제한적인 예들로 제1RDL 유전층을 형성하고 패터닝하는 단계를 포함한다.The exemplary method 200 may include, at block 255 , forming or patterning a first redistribution layer (RDL) dielectric layer to etch the oxide film of the RD structure. Block 255 includes forming and patterning the first RDL dielectric layer in any of a variety of ways, non-limiting examples described herein.

여기서 일반적으로 논의된 예들로서, RD 웨이퍼의 RD 구조는 일반적으로 산화막(또는 질화막 또는 다른 유전체) 위에 형성된다. 메탈 투 메탈(metal-to-metal) 부착 구조를 RD 구조의 트레이스들(또는 패드들 또는 랜드들)을 덮는 산화막의 RD 구조 영역들에 형성하기 위해, 산화막은 예를 들면 식각에 의해 제거될 수 있다. 산화막은 그것이 허용 가능한 도전성을 갖는 한 제거되거나 또는 완전히 제거될 필요가 없음을 주목하라.As examples generally discussed herein, the RD structure of an RD wafer is typically formed over an oxide (or nitride or other dielectric) film. To form a metal-to-metal attachment structure in the RD structure regions of the oxide film covering the traces (or pads or lands) of the RD structure, the oxide film may be removed, for example, by etching. have. Note that the oxide film need not be removed or completely removed as long as it has acceptable conductivity.

제1RDL 유전층은, 예를 들면, 폴리이미드 또는 폴리벤즈옥사졸(PBO)을 포함할 수 있다. 제1RDL 유전층은, 예를 들면, 라미네이트 필름 또는 다른 재료들을 포함할 수 있다. 제1RDL 유전층은, 예를 들면, 유기 재료를 포함할 수 있다. 그러나, 다양한 예시적 실시예로서, 제1RDL 유전층은 무기 재료를 포함할 수 있다.The first RDL dielectric layer may include, for example, polyimide or polybenzoxazole (PBO). The first RDL dielectric layer may include, for example, a laminate film or other materials. The first RDL dielectric layer may include, for example, an organic material. However, in various exemplary embodiments, the first RDL dielectric layer may include an inorganic material.

예시적 실시예로서, 제1RDL 유전층은 RD 구조의 베이스 유전층의 제1측부 상에 형성된 유기 재료(e.g., 폴리이미드, PBO 등)를 포함할 수 있고, 이는 산화막 또는 질화막 또는 다른 유전 재료를 포함할 수 있다.As an exemplary embodiment, the first RDL dielectric layer may comprise an organic material (e.g., polyimide, PBO, etc.) formed on the first side of the base dielectric layer of the RD structure, which may comprise an oxide or nitride film or other dielectric material. can

예를 들면 제1RDL 유전층은, 예를 들면, 산화막 또는 질화막(e.g., 블록(260)에서)과 같은 베이스 유전층을 식각하기 위한 마스크로 이용될 수 있다. 또한, 예를 들면, 식각 이후, 제1RDL 유전층은, 예를 들면 그것 위에 도전성 RDL을 형성하는데 이용하도록 잔존할 수 있다.For example, the first RDL dielectric layer may be used as a mask to etch a base dielectric layer such as, for example, an oxide or nitride (e.g., at block 260). Also, for example, after etching, the first RDL dielectric layer may remain for use in, for example, forming a conductive RDL thereon.

다른 예시적 시나리오(도시되지 않음)로서, 임시 마스크층(e.g., 임시 포토레지스트층)이 이용될 수 있다. 예를 들면, 식각 이후, 임시 마스크층이 제거될 수 있고 영구적인 RDL 유전층으로 대체될 수 있다.As another exemplary scenario (not shown), a temporary mask layer (e.g., a temporary photoresist layer) may be used. For example, after etching, the temporary mask layer can be removed and replaced with a permanent RDL dielectric layer.

도 1g는 블록(255)의 다양한 양태의 예시적 도면을 제공한다. 예를 들면, 제1RDL 유전층(171)이 베이스 유전층(111) 위에 형성되고 패터닝된다. 패터닝된 제1RDL 유전층(171)은, 예를 들면, 베이스 유전층(111)이 식각(e.g., 블록(260)에서)될 수 있음에 의해, 그리고 제1트레이스들(또는 그의 영역들)이 형성될 수 있는(e.g., 블록(265)에서), 제1RDL 유전층(171)을 관통하는 비아들(172)을 포함할 수 있다..1G provides an exemplary diagram of various aspects of block 255 . For example, a first RDL dielectric layer 171 is formed and patterned over the base dielectric layer 111 . The patterned first RDL dielectric layer 171 may be formed by, for example, the base dielectric layer 111 may be etched (e.g., at block 260 ) and where the first traces (or regions thereof) will be formed. which may (e.g., at block 265 ) include vias 172 through the first RDL dielectric layer 171 .

일반적으로, 블록(255)는, 예를 들면, 베이스 유전층 위에, 제1유전층(e.g., 제1RDL 유전층)을 형성하고 패터닝하는 단계를 포함한다. 따라서, 본 발명의 범위는 특정한 유전층의 특징들에 의해 또는 유전층을 형성하는 특정한 방법의 특징들에 의해 제한되어서는 안 된다.Generally, block 255 includes forming and patterning a first dielectric layer (e.g., a first RDL dielectric layer), for example, over a base dielectric layer. Accordingly, the scope of the present invention should not be limited by the characteristics of a particular dielectric layer or by the features of a particular method of forming the dielectric layer.

예시적 방법(200)은, 블록(260)에서, 예를 들면, RD 구조로부터, 그것의 마스킹되지 않은 영역들과 같은, 베이스 유전층(e.g., 산화막, 질화막 등)을 식각하는 단계를 포함한다. 블록(260)은 임의의 다양한 방식들로, 여기에 설명된 비제한적인 예들로 식각을 수행하는 단계를 포함할 수 있다.Exemplary method 200 includes, at block 260, etching a base dielectric layer (e.g., oxide, nitride, etc.), such as unmasked regions thereof, from, for example, the RD structure. Block 260 may include performing etching in any of a variety of ways, with non-limiting examples described herein.

예를 들면, 블록(260)은, 식각을 위한 마스크로서의 기능을 갖는, 제1유전층을 통한 비아들에 의해 노출된 베이스 유전층(e.g., 산화막, 질화막 등)의 관통 영역들을 식각하기 위해 건식 식각 공정(또는 대안으로서 습식 식각 공정)을 수행하는 단계를 포함할 수 있다.For example, block 260 is a dry etch process to etch through regions of the base dielectric layer (e.g., oxide, nitride, etc.) exposed by vias through the first dielectric layer, which function as a mask for etching. (or alternatively, a wet etching process).

도 1g 는 블록(260)의 다양한 양태들, 예를 들면, 유전층 식각 양태의 예시적 도면을 제공한다. 예를 들면, 도 1f에서 제1도전성 트레이스들(112)의 아래에 도시된 베이스 유전층(111)의 영역들이 도 1g로부터 제거된다. 이것은, 예를 들면, 블록(265)에서 제1도전성 트레이스들(112)과 제1RDL 트레이스들 사이의 메탈-투-메탈 컨택(metal-to-metal contact)을 가능하게 한다.1G provides an exemplary diagram of various aspects of block 260 , for example, an aspect of etching a dielectric layer. For example, regions of the base dielectric layer 111 shown below the first conductive traces 112 in FIG. 1F are removed from FIG. 1G. This enables, for example, a metal-to-metal contact between the first conductive traces 112 and the first RDL traces at block 265 .

일반적으로, 블록(260), 예를 들면, 베이스 유전층을 식각하는 단계를 포함한다. 따라서, 본 발명의 범위는 그러한 식각을 수행하는 임의의 특정한 방식에 의해 제한되어서는 안 된다.In general, it includes etching block 260, eg, a base dielectric layer. Accordingly, the scope of the present invention should not be limited by any particular manner of performing such etching.

예시적 방법(200)은, 블록(265)에서, 제1재배선층(RDL) 트레이스들을 형성하는 단계를 포함할 수 있다. 블록(265)은 임의의 다양한 방식들로, 여기에 설명된 비제한적 예들로, 제1RDL 트레이스들을 형성하는 단계를 포함할 수 있다. The example method 200 may include, at block 265 , forming first redistribution layer (RDL) traces. Block 265 may include forming the first RDL traces in any of a variety of ways, such as non-limiting examples described herein.

여기에서 논의된 바와 같이, 제1RDL 유전층(e.g., 블록(255)에서 형성됨)은 식각(e.g., 블록(260)에서)을 위해 이용될 수 있고 그런 후 제1RDL 트레이스들의 형성을 위해 잔존할 수 있다. 대안으로서, 제1RDL 유전층은 식각 공정 이후 형성되고 패터닝될 수 있다. 여기에서 논의된 또다른 대안적 실시예로서, 베이스 유전층을 위한 식각 공정은 스킵될 수 있다(e.g., 베이스 유전층(e.g., 얇은 산화막 또는 질화막)이 메탈 트레이스들 사이의 도전성 경로로서 적절한 역할을 하는데 충분한 도전성을 갖는 실시예에서).As discussed herein, a first RDL dielectric layer (e.g., formed at block 255) may be used for etching (e.g., at block 260) and then left for formation of first RDL traces. . Alternatively, the first RDL dielectric layer may be formed and patterned after an etching process. As another alternative embodiment discussed herein, the etch process for the base dielectric layer may be skipped (e.g., sufficient for the base dielectric layer (e.g., thin oxide or nitride) to serve adequately as a conductive path between the metal traces. in an embodiment with conductivity).

블록(265)은, 패터닝된 제1RDL 유전층을 관통하여 노출된 RD 구조의 제1도전성 트레이스들에 부착된 제1RDL 트레이스들을 형성하는 단계를 포함한다. 제1RDL 트레이스들은 또한 제1RDL 유전층 위에 형성될 수 있다. 블록(265)은 임의의 다양한 방식으로, 예를 들면 도금 방식으로, 제1RDL 트레이스들을 형성하는 단계를 포함할 수 있으나, 본 발명의 범위는 그러한 트레이스들을 형성하는 임의의 특정한 방식의 특징들에 의해 제한되어서는 안 된다.Block 265 includes forming first RDL traces attached to the first conductive traces of the RD structure exposed through the patterned first RDL dielectric layer. The first RDL traces may also be formed over the first RDL dielectric layer. Block 265 may include forming the first RDL traces in any of a variety of ways, for example, by plating, although the scope of the invention is contemplated by the features of any particular manner of forming such traces. should not be limited.

제1RDL 트레이스들은 임의의 다양한 재료들(e.g., 카파, 골드, 니켈 등)을 포함할 수 있다. 제1RDL 트레이스들은, 예를 들면, 임의의 다양한 치수 특징들을 포함할 수 있다. 예를 들면, RDL 트레이스들을 위한 전형적인 피치는, 예를 들면, 5 마이크론일 수 있다. 예시적인 실시예로서, 제1RDL 트레이스들은, 예를 들면, RD 웨이퍼의 RD 구조의 다양한 트레이스들이 형성되는(e.g., 서브 마이크론 피치, 대략 0.5 마이크론 피치 등에서) 피치보다 대략 또는 적어도 큰 크기의 차수인 중심 대 중심 피치로 형성될 수 있다.The first RDL traces may include any of a variety of materials (e.g., kappa, gold, nickel, etc.). The first RDL traces may include, for example, any of a variety of dimensional characteristics. For example, a typical pitch for RDL traces may be, for example, 5 microns. As an exemplary embodiment, the first RDL traces are, for example, center-to-center on an order of magnitude greater than or equal to the pitch at which the various traces of the RD structure of the RD wafer are formed (e.g., sub-micron pitch, approximately 0.5 micron pitch, etc.). It may be formed with a central pitch.

도 1g 및 도 1h는 블록(265)의 다양한 양태들, 예를 들면 RDL 트레이스 형성 양태들의 예시적 도면을 제공한다. 예를 들면, 제1RDL 트레이스들의 제1영역(181)은 제1RDL 유전층(171)의 비아들(172)에 형성될 수 있고 그리고 그러한 비아들(172)에 의해 노출된 RD 구조(110)의 제1도전성 트레이스들(112)과 접촉한다. 또한, 예를 들면, 제1RDL 트레이스들의 제2영역(182)은 제1RDL 유전층(171) 위에 형성될 수 있다.1G and 1H provide exemplary diagrams of various aspects of block 265 , eg, aspects of RDL trace formation. For example, the first region 181 of the first RDL traces may be formed in the vias 172 of the first RDL dielectric layer 171 and the second region of the RD structure 110 exposed by the vias 172 . 1 makes contact with conductive traces 112 . Also, for example, the second region 182 of the first RDL traces may be formed on the first RDL dielectric layer 171 .

일반적으로, 블록(265)은 제1재배선층(RDL) 트레이스들을 형성하는 단계를 포함할 수 있다. 따라서, 본 발명의 범위는 임의의 특정한 RDL 트레이스들의 특징들 또는 그와 같은 RDL 트레이스들을 형성하는 임의의 특정한 방식의 특징들로 제한되어서는 안 된다.In general, block 265 may include forming first redistribution layer (RDL) traces. Accordingly, the scope of the present invention should not be limited to features of any particular RDL traces or features of any particular manner of forming such RDL traces.

예시적 방법(200)은, 블록(270)에서, 제1RDL 트레이스들(e.g., 블록(265)에서 형성된) 및 제1RDL 유전층(e.g., 블록(255)에서 형성된)에 걸쳐 제2RDL 유전층을 형성하고 패터닝하는 단계를 포함할 수 있다. 블록(270)은 임의의 다양한 방식들로, 여기에 설명된 비제한적인 예들로, 제2유전층을 형성하고 패터닝하는 단계를 포함할 수 있다. The exemplary method 200, at block 270, forms a second RDL dielectric layer over the first RDL traces (e.g., formed at block 265) and the first RDL dielectric layer (e.g., formed at block 255), It may include patterning. Block 270 may include forming and patterning the second dielectric layer in any of a variety of ways, including non-limiting examples described herein.

예를 들면, 블록(270)은 블록(255)의 임의의 또는 모든 특징들을 공유할 수 있다. 제2RDL 유전층은, 예를 들면, 블록(255)에서 형성된 제1RDL 유전층과 같은 재료를 이용하여 형성될 수 있다.For example, block 270 may share any or all features of block 255 . The second RDL dielectric layer may be formed using, for example, the same material as the first RDL dielectric layer formed at block 255 .

제2RDL 유전층은, 예를 들면, 폴리이미드 또는 폴리벤즈옥사졸(PBO) 재료를 포함할 수 있다. 제2RDL유전층은, 예를 들면, 일반적으로 유기 재료를 포함할 수 있다. 다양한 예시적 실시예들로서, 그러나, 제1RDL 유전층은 무기 재료를 포함할 수 있다.The second RDL dielectric layer may comprise, for example, a polyimide or polybenzoxazole (PBO) material. The second RDL dielectric layer may, for example, generally comprise an organic material. As various exemplary embodiments, however, the first RDL dielectric layer may include an inorganic material.

도 1h는 블록(270)의 다양한 양태들의 예시적 도면을 제공한다. 예를 들면, 제2RDL 유전층(183)은 제1RDL 트레이스들(181,182) 위에 그리고 제1RDL 유전층(171) 위에 형성된다. 도 1h에 도시된 바와 같이, 비아들(184)이, 도전성 컨택이 그러한 비아들(184)에 의해 노출된 제1RDL 트레이스들(182)과 함께 만들어질 수 있음을 통하여, 제2RDL유전층(183)에 형성된다.1H provides an exemplary diagram of various aspects of block 270 . For example, a second RDL dielectric layer 183 is formed over the first RDL traces 181 , 182 and over the first RDL dielectric layer 171 . As shown in FIG. 1H , vias 184 may be made with the first RDL traces 182 exposed by such vias 184 through which a conductive contact may be made with the second RDL dielectric layer 183 . is formed in

일반적으로, 블록(270)은 제2RDL 유전층을 형성 및/또는 패터닝하는 단계를 포함한다. 따라서, 본 발명의 범위는 임의의 유전층의 특징들에 의해 또는 유전층을 형성하는 임의의 특정한 방식의 특징들에 의해 제한되어서는 안 된다.Generally, block 270 includes forming and/or patterning a second RDL dielectric layer. Accordingly, the scope of the present invention should not be limited by the characteristics of any dielectric layer or by the characteristics of any particular manner of forming the dielectric layer.

예시적 방법(200)은, 블록(275)에서, 제2재배선층(RDL) 트레이스들을 형성하는 단계를 포함한다. 블록(275)은 임의의 다양한 방식들로, 여기서 설명된 비제한적 예들로, 제2RDL 트레이스들을 형성하는 단계를 포함한다. 블록(275)은, 예를 들면, 블록(265)의 임의의 또는 모든 특징들을 공유할 수 있다.The example method 200 includes, at block 275 , forming second redistribution layer (RDL) traces. Block 275 includes forming the second RDL traces in any of a variety of ways, such as non-limiting examples described herein. Block 275 may share any or all features of block 265 , for example.

블록(275)은 패터닝된 제2RDL 유전층(e.g., 블록(270)에서 형성된)에서 비아들을 통하여 노출된 제1RDL 트레이스들(e.g., 블록(265)에서 형성된)에 부착된 제2RDL 트레이스들을 형성하는 단계를 포함한다. 제2RDL 트레이스들은 또한 제2RDL 유전층 위에 형성될 수 있다. 블록(275)은 임의의 다양한 방식들로, 예를 들면 도금에 의해, 제2RDL 트레이스들을 형성하는 단계를 포함할 수 있으나, 본 발명의 범위는 임의의 특정한 방식의 특징들로 제한되어서는 안 된다.Block 275 forms second RDL traces attached to exposed first RDL traces (e.g., formed at block 265) through vias in the patterned second RDL dielectric layer (e.g., formed at block 270). includes Second RDL traces may also be formed over the second RDL dielectric layer. Block 275 may include forming the second RDL traces in any of a variety of ways, for example by plating, although the scope of the invention should not be limited to features in any particular manner. .

제1RDL 트레이스들과 함께, 제2RDL 트레이스들은 임의의 다양한 재료(e.g., 카파 등)를 포함할 수 있다. 또한, 제2RDL 트레이스들은, 예를 들면, 임의의 다양한 치수 특징들을 포함할 수 있다.Along with the first RDL traces, the second RDL traces may comprise any of a variety of materials (e.g., kappa, etc.). Further, the second RDL traces may include, for example, any of a variety of dimensional characteristics.

도 1h 및 1i는 블록(275)의 다양한 양태들의 예시적 도면을 제공한다. 예를 들면, 제2RDL 트레이스들(191)은, 비아들(184)을 통해 노출된 제1RDL 트레이스들(181)에 접촉하도록 제2RDL유전층(183)에 있는 그러한 비아들(184)에 형성될 수 있다. 또한, 제2RDL 트레이스들(191)은 제2RDL 유전층(183) 위에 형성될 수 있다.1H and 1I provide illustrative views of various aspects of block 275 . For example, second RDL traces 191 may be formed in those vias 184 in the second RDL dielectric layer 183 to contact the first RDL traces 181 exposed through the vias 184 . have. In addition, the second RDL traces 191 may be formed on the second RDL dielectric layer 183 .

일반적으로, 블록(275)은 제2재배선층(RDL) 트레이스들을 형성하는 단계를 포함할 수 있다. 따라서, 본 발명의 범위는 임의의 특정한 RDL 트레이스들의 특징들에 의해 또는 그러한 RDL 트레이스들을 형성하는 특정한 방식의 특징들에 의해 제한되어서는 안 된다.In general, block 275 may include forming second redistribution layer (RDL) traces. Accordingly, the scope of the present invention should not be limited by the features of any particular RDL traces or by the features of the particular manner of forming such RDL traces.

예시적 방법(200)은, 블록(280)에서, 제2RDL 트레이스들(e.g., 블록(275)에서 형성됨) 및 제2RDL 유전층(e.g., 블록(270)에서 형성됨)에 걸쳐 제3RDL 유전층을 형성하고 패터닝하는 단계를 포함할 수 있다. 블록(280)은 임의의 방식들로, 여기서 설명된 비제한적인 예들로, 제3유전층을 형성하고 패터닝하는 단계를 포함할 수 있다.The exemplary method 200, at block 280, forms a third RDL dielectric layer over the second RDL traces (e.g., formed at block 275) and a second RDL dielectric layer (e.g., formed at block 270) and It may include patterning. Block 280 may include forming and patterning a third dielectric layer in any manner, including non-limiting examples described herein.

예를 들면, 블록(280)은 블록(270 및 255)의 임의의 또는 모든 특징들을 공유할 수 있다. 제3RDL 유전층은, 예를 들면, 블록(255)(및/또는 블록(260)에서 식각 그리고 임시 마스크층을 제거한 이후)에서 형성된 제1RDL 유전층과 동일한 재료를 이용하여, 그리고/또는 블록(270)에서 형성된 제2RDL 유전층과 동일한 재료를 이용하여, 형성될 수 있다.For example, block 280 may share any or all features of blocks 270 and 255 . The third RDL dielectric layer is formed, for example, using the same material as the first RDL dielectric layer formed at block 255 (and/or after removal of the etch and temporary mask layer at block 260), and/or block 270; It may be formed using the same material as the second RDL dielectric layer formed in .

제3RDL 유전층은, 예를 들면, 폴리이미드 또는 폴리벤즈옥사졸(PBO)를 포함할 수 있다. 제3RDL유전층은, 예를 들면, 일반적으로 유기 재료를 포함할 수 있다. 다양한 예시적 실시예들로서, 그러나, 제3RDL 유전층은 무기 재료를 포함할 수 있다.The third RDL dielectric layer may include, for example, polyimide or polybenzoxazole (PBO). The third RDL dielectric layer may, for example, generally comprise an organic material. As various exemplary embodiments, however, the third RDL dielectric layer may include an inorganic material.

도 1i는 블록(280)의 다양한 양태들의 예시적 도면을 제공한다. 예를 들면, 제3RDL유전층(185)은 제2RDL 트레이스들(191) 위에 그리고 제2RDL유전층(183) 위에 형성될 수 있다. 도 1i에 도시된 바와 같이, 비아들이, 도전성 컨택이 그러한 비아들에 의해 노출된 제2RDL트레이스들(191)과 함께 만들어짐에 의해, 제3RDL 유전층(185)에 형성될 수 있다.1I provides an exemplary diagram of various aspects of block 280 . For example, the third RDL dielectric layer 185 may be formed over the second RDL traces 191 and over the second RDL dielectric layer 183 . As shown in FIG. 1I , vias may be formed in the third RDL dielectric layer 185 by making conductive contacts with the second RDL traces 191 exposed by those vias.

일반적으로, 블록(280)은 제3RDL 유전층을 형성 및/또는 패터닝하는 단계를 포함할 수 있다. 따라서, 본 발명의 범위는 임의의 특정한 유전층의 특징들에 의해 또는 유전층을 만드는 임의의 특정한 방식의 특징들에 의해 제한되어서는 안 된다.In general, block 280 may include forming and/or patterning a third RDL dielectric layer. Accordingly, the scope of the present invention should not be limited by the characteristics of any particular dielectric layer or by the features of any particular manner in which the dielectric layer is made.

예시적 방법(200)은, 블록(285)에서, 제2RDL 트레이스들 위에 및/또는 제3RDL 유전층 위에 상호 연결 구조들을 형성하는 단계를 포함할 수 있다. 블록(285)은 임의의 다양한 방식들로, 여기에 설명된 비제한적인 예들로, 상호 연결 구조들을 형성하는 단계를 포함할 수 있다.The example method 200 may include, at block 285 , forming interconnect structures over the second RDL traces and/or over the third RDL dielectric layer. Block 285 may include forming interconnect structures in any of a variety of ways, including non-limiting examples described herein.

블록(285)은, 예를 들면, 제3유전층에서 비아들을 통하여 노출된 제2RDL 트레이스들의 영역들 상에 언더범프 메탈을 형성하는 단계를 포함할 수 있다. 블록(285)은 그런 후, 예를 들면, 그 언더범프 메탈에 도전성 범프들 또는 볼들을 부착하는 단계를 포함할 수 있다. 다른 상호 연결 구조들이 물론 이용될 수 있으며, 그러한 예들이 여기에 설명된다(e.g., 도전성 포스트들 또는 필라들, 솔더 볼들, 솔더 범프들 등등).Block 285 may include, for example, forming an underbump metal on regions of the second RDL traces exposed through vias in the third dielectric layer. Block 285 may then include, for example, attaching conductive bumps or balls to the underbump metal. Other interconnect structures may of course be used, examples of which are described herein (e.g., conductive posts or pillars, solder balls, solder bumps, etc.).

도 1i는 블록(285)의 다양한 양태들, 예를 들면 상호 연결 구조 형성 양태들의 예시적 도면을 제공한다. 예를 들면, 상호 연결 구조들(192)은 제3RDL 유전층(185)에 형성된 비아들을 통하여 제2RDL 트레이스들(191)에 부착된다. 비록 상호 연결 구조들(192)이 상호 연결 구조들(121)보다 작게 도시되어 있으나, 본 발명은 이렇게 제한되지 않음을 주목하라. 예를 들면, 상호 연결 구조들(192)은 상호 연결 구조들(121)과 동일한 크기일 수 있거나 또는 상호 연결 구조들(121)보다 클 수 있다. 또한, 상호 연결 구조들(192)은 상호 연결 구조들(121)과 동일한 타입의 상호 연결 구조이거나 또는 다른 타입일 수 있다.1I provides an exemplary diagram of various aspects of block 285 , for example, aspects of forming an interconnect structure. For example, interconnect structures 192 are attached to second RDL traces 191 through vias formed in third RDL dielectric layer 185 . Note that although interconnect structures 192 are shown smaller than interconnect structures 121, the present invention is not so limited. For example, interconnect structures 192 may be the same size as interconnect structures 121 or may be larger than interconnect structures 121 . Also, the interconnect structures 192 may be of the same type as the interconnect structures 121 or different types.

또한 프론트사이드(front side) 재배선층(RDL)으로 지칭될 수 있는 블록(225-285)에서 형성된 재배선층(들)이, 일반적으로 팬-아웃(fan-out) 조립체(e.g., 다이(125,126)의 풋프린트의 외측으로 연장함)로 도 1에 도시되어 있지만, 이는 예를 들면 상호 연결 구조(192)가 일반적으로 다이(125,126)의 풋프린트의 외측으로 연장하지 않는 팬-인(fan-in) 조립체로 형성될 수도 있다. 그러한 조립체의 비제한적인 예들이 여기에서 설명된다.The redistribution layer(s) formed in blocks 225-285, which may also be referred to as a front side redistribution layer (RDL), is generally a fan-out assembly (e.g., die 125,126). 1 ), this is, for example, a fan-in where interconnect structure 192 does not generally extend outside of the footprint of dies 125 , 126 . ) may be formed as an assembly. Non-limiting examples of such assemblies are described herein.

일반적으로, 블록(285)은 예를 들면 제2RDL 트레이스들 위에 그리고/또는 제3RDL 유전층 위에 상호 연결 구조들을 형성하는 단계를 포함할 수 있다. 따라서, 본 발명의 범위는 임의의 특정한 상호 연결 구조들의 특징들에 의해 또는 상호 연결 구조들을 형성하는 임의의 특정한 방식에 의해 제한되어서는 안 된다.In general, block 285 may include forming interconnect structures over the second RDL traces and/or over the third RDL dielectric layer, for example. Accordingly, the scope of the present invention should not be limited by the characteristics of any particular interconnect structures or by any particular manner of forming the interconnect structures.

예시적 방법(200)은, 블록(290)에서, 블록(245)에서 부착된 웨이퍼 지지 구조를 디본딩(debonding)(또는 분리)하는 단계를 포함할 수 있다. 블록(290)은 임의의 다양한 방식들로, 여기에 설명된 비제한적인 양태들로, 그와 같은 디본딩을 수행하는 단계를 포함할 수 있다.Exemplary method 200 may include, at block 290 , debonding (or detaching) the wafer support structure attached at block 245 . Block 290 may include performing such debonding in any of a variety of ways, in non-limiting aspects described herein.

예를 들면, 웨이퍼 지지 구조가 접착제로 부착된 예시적인 시나리오로서, 접착제가 분리될 수 있다(e.g., 열 및/또는 힘을 이용하여). 또한 예를 들면, 화학적 분리제가 이용될 수 있다. 웨이퍼 지지 구조가 배큠 포스(vacuum force)를 이용하여 부착된 다른 예시적인 시나리오로서, 배큠 포스가 해제될 수 있다. 웨이퍼 지지 부착 구조를 위해 접착제들 또는 다른 부재들을 포함하는 시나리오로서, 블록(285)이 디본딩 이후 전기적 조립체로부터 그리고/또는 웨이퍼 지지 구조로부터 잔존물을 클리닝하는 단계를 포함할 수 있음을 주목하라.For example, as an example scenario where a wafer support structure is attached with an adhesive, the adhesive can be released (e.g., using heat and/or force). Also, for example, a chemical separating agent may be used. As another example scenario where the wafer support structure is attached using vacuum force, the vacuum force may be released. Note that as a scenario involving adhesives or other members for a wafer support attachment structure, block 285 may include cleaning residues from the electrical assembly and/or from the wafer support structure after debonding.

도 1i 및 1j는 블록(290)의 다양한 양태들의 예시적 도면을 제공한다. 예를 들어, 도 1i에 도시된 웨이퍼 지지 구조(150)가 도 1j에서 제거된다.1I and 1J provide illustrative views of various aspects of block 290 . For example, the wafer support structure 150 shown in FIG. 1I is removed from FIG. 1J .

일반적으로, 블록(290)은 웨이퍼 지지 구조를 디본딩하는 단계를 포함한다. 따라서, 본 발명의 범위는 웨이퍼 지지 구조의 임의의 특정한 타입의 특징들에 의해 또는 웨이퍼 지지 구조를 디본딩하는 임의의 특정한 방식에 의해 제한되어서는 안 된다.In general, block 290 includes debonding the wafer support structure. Accordingly, the scope of the present invention should not be limited by any particular type of features of the wafer support structure or by any particular manner of debonding the wafer support structure.

예시적 방법(200)은, 블록(295)에서, 웨이퍼를 절단하는 단계를 포함한다. 블록(295)은 임의의 다양한 방식으로, 여기서 설명되는 비제한적 예들로, 웨이퍼를 절단하는 단계를 포함한다.Exemplary method 200 includes, at block 295 , cutting the wafer. Block 295 includes cutting the wafer in any of a variety of ways, including non-limiting examples described herein.

여기서 논의는 일반적으로 RD 웨이퍼의 단독 다이의 공정에 대하여 초점을 맞춰왔다. RD 웨이퍼의 단독 다이에 대한 그러한 초점은 오직 명료성을 위한 것이다. 여기에 논의된 모든 공정 단계들은 전체 웨이퍼 위에서 수행될 수 있음으로 이해되어야 한다. 예를 들면, 여기서 도 1a-1j에 제공된 도면들의 각각 및 다른 도면들은 단독 웨이퍼 상에서 수십 또는 수백번 복제될 수 있다. 예를 들면, 분리 전까지, 도시된 조립체들의 하나와 웨이퍼의 인접한 조립체 사이에 분리가 없을 수도 있다.The discussion here has generally focused on the processing of a single die of an RD wafer. Such a focus on a single die of an RD wafer is for the sake of clarity only. It should be understood that all process steps discussed herein may be performed on an entire wafer. For example, each and other views of the figures presented herein in FIGS. 1A-1J may be replicated tens or hundreds of times on a single wafer. For example, there may be no separation between one of the illustrated assemblies and an adjacent assembly of the wafer until separation.

블록(295)은, 예를 들면, 웨이퍼로부터 개별적인 패키지로 절단(e.g., 기계적 펀치-컷팅, 기계적 소우-컷팅, 레이저 컷팅, 소프트 빔 컷팅, 플라즈마 컷팅 등)하는 단계를 포함할 수 있다. 그러한 절단의 결과가, 예를 들면, 도 1j에 도시된 패키지일 수 있다. 예를 들면, 절단은 패키지의 다수의 부품들의 동일한 평면을 갖는 측부 표면들을 포함하는 패키지의 측부 표면들을 포함할 수 있다. 예를 들면, 몰드 재료(130), RD 구조(110) 유전층들, 다양한 RDL 유전층들, 언더필(128) 등의 임의의 또는 전체 측부 표면이 동일 평면을 이룰 수 있다.Block 295 may include, for example, cutting (e.g., mechanical punch-cutting, mechanical saw-cutting, laser cutting, soft beam cutting, plasma cutting, etc.) from the wafer into individual packages. The result of such cutting may be, for example, the package shown in FIG. 1J . For example, the cut may include side surfaces of a package that include coplanar side surfaces of multiple parts of the package. For example, any or all of the side surfaces of mold material 130 , RD structure 110 dielectric layers, various RDL dielectric layers, underfill 128 , etc. may be coplanar.

일반적으로, 블록(295)은 웨이퍼를 절단하는 단계를 포함할 수 있다. 따라서, 본 발명의 범위가 웨이퍼를 절단하는 임의의 특정한 방식의 특징들에 의해 제한되어서는 안 된다.In general, block 295 may include cutting the wafer. Accordingly, the scope of the present invention should not be limited by the features of any particular manner of cutting the wafer.

도 1 및 도 2는 다양한 예시적 방법 양태들 및 그의 변형들을 나타낸다. 다른 예시적 방법 양태들이 추가적인 도면들을 참조하여 설명될 것이다.1 and 2 illustrate various exemplary method aspects and variations thereof. Other exemplary method aspects will be described with reference to additional drawings.

도 1 및 2의 논의에서 여기서 의논된 바와 같이, 블록(235)은 하나 이상의 다이(125,126)를 노출시키기 위해 몰드 재료(130)를 그라인딩(또는 그렇치 않으면 박형화)하는 단계를 포함할 수 있다.As discussed herein in the discussion of FIGS. 1 and 2 , block 235 may include grinding (or otherwise thinning) the mold material 130 to expose one or more dies 125 , 126 .

또한 논의된 바와 같이, 블록(235)에서 몰드 그라인딩(또는 박형화)은 수행될 필요가 없거나 또는 몰드 재료(130)로 덮여진 다이(125,126)의 상부들이 여전히 잔존하는 정도까지 수행될 수 있다. 예가 도 3에 제공된다. 도 3a에 도시된 바와 같이, 몰드 재료(130)는 반도체 다이(125,126)의 상부를 덮는다. 상호 연결 구조들(121)은 다이(125,126)보다 짧거나 클 수 있음을 주목하라. 비교를 계속하면, 도 1j에 도시된 바와 같은 외형을 갖는 결과적인 패키지(100J)보다는, 결과적인 패키지(300B)가 도 3b에 도시된 바와 같이 나타날 수 있다.As also discussed, at block 235 mold grinding (or thinning) need not be performed or may be performed to the extent that the tops of dies 125 , 126 covered with mold material 130 still remain. An example is provided in FIG. 3 . As shown in FIG. 3A , mold material 130 covers the top of semiconductor die 125 , 126 . Note that interconnect structures 121 may be shorter or larger than dies 125 and 126 . Continuing the comparison, the resulting package 300B may appear as shown in FIG. 3B , rather than the resulting package 100J having an appearance as shown in FIG. 1J .

또한, 도 1 및 2의 논의에서 여기서 의논된 바와 같이, TMV 상호 연결 구조들을 형성하는 블록(215), 및 TMV 몰드를 제거하는 블록(240)은 스킵될 수 있다. 예가 도 4에 제공된다. 도 4a에 도시된 바와 같이, 블록(215) 및 도 1b와 반대로, 형성된 TMV 상호 연결 구조들(121)이 없다. 도 4b에 도시된 바와 같이, 블록(230) 및 도 1c와 반대로, 몰드 재료(130)는 상호 연결 구조들을 덮지 않는다.Also, as discussed herein in the discussion of FIGS. 1 and 2 , block 215 for forming the TMV interconnect structures, and block 240 for removing the TMV mold may be skipped. An example is provided in FIG. 4 . As shown in FIG. 4A , as opposed to block 215 and FIG. 1B , there are no TMV interconnect structures 121 formed. As shown in FIG. 4B , in contrast to block 230 and FIG. 1C , the mold material 130 does not cover the interconnect structures.

비교를 계속하면, 여기에서 설명된 바와 같이, 블록(235)에서 몰드 그라인딩(또는 박형화)이 몰드 재료(130)로부터 하나 이상의 반도체 다이(125,126)의 상부를 노출시키는 정도까지 수행될 수 있다. 도 4c는 그러한 공정의 예시적 도면을 제공한다. 일반적으로, 도 4c의 조립체(400C)는 상호 연결 구조들(121)과 몰드 재료(130)를 통하여 상호 연결 구조들을 노출시키는 제거된 비아들을 빼고, 도 1j의 조립체(100J)와 유사하다.Continuing the comparison, as described herein, mold grinding (or thinning) may be performed at block 235 to the extent exposing tops of one or more semiconductor dies 125 , 126 from mold material 130 . 4C provides an exemplary diagram of such a process. In general, assembly 400C of FIG. 4C is similar to assembly 100J of FIG. 1J , except for interconnect structures 121 and removed vias exposing the interconnect structures through mold material 130 .

또한, 예를 들면, 여기서 설명된 바와 같이, 블록(235)에서 몰드 그라인딩(또는 박형화)는 스킵되거나 또는 몰드 재료(130)로 덮인 다이(125,126)의 상부를 남기는 정도까지 수행될 수 있다. 도 4d는 그러한 공정의 예시적 도면을 제공한다. 일반적으로, 도 4d의 조립체(400D)는 상호 연결 구조들(121)과 몰드 재료(130)를 통하여 상호 연결 구조들을 노출시키는 제거된 비아들을 빼고, 몰드 재료(130)가 다이(125,126)을 덮는 도 1j의 조립체(100J)와 유사하다.Also, for example, as described herein, mold grinding (or thinning) at block 235 may be skipped or performed to the extent leaving the tops of dies 125 , 126 covered with mold material 130 . 4D provides an exemplary diagram of such a process. In general, assembly 400D of FIG. 4D excludes interconnect structures 121 and removed vias exposing the interconnect structures through mold material 130 , with mold material 130 covering dies 125 and 126 . Similar to assembly 100J of FIG. 1J.

다른 예로서, 블록(215)의 논의에서 여기서 설명된 바와 같이, TMV 상호 연결 구조들은 임의의 다양한 구조들, 예를 들면 도전성 필라들(e.g., 도금된 포스트 또는 필라, 수직 와이어 등)을 포함할 수 있다. 도 5a는 RD 구조(110)에 부착된 도전성 필라들(521)의 예시적 도면을 제공한다. 도전성 필라들(521)은, 예를 들면, RD 구조(110) 위에 도금될 수 있다. 도전성 필라들(521)은 또한, 예를 들면, RD 구조(110)에 부착되고(e.g., 와이어-본드 부착, 솔더링 등) 그리고 수직 방향으로 연장된 와이어들(e.g., 와이어 본드 와이어들)을 포함할 수 있다. 도전성 필라들(521)은, 예를 들면, RD 구조(110)로부터 다이(125,126)의 높이보다 높은, 하나 이상의 다이(125,126)의 높이와 같은, 또는 다이(125,126)의 높이보다 작은 높이로 RD 구조(110)로부터 연장될 수 있다. 예시적 실시예로서, 필라들은 중심 대 중심 사이의 피치가 100-150 마이크론인 상태에서 200 마이크론 이상의 높이를 가질 수 있다. 임의의 필라들(521)의 열의 개수가 형성될 수 있음을 주목하라. 일반적으로, 도 5a의 조립체(500A)는 도전성 볼들(121) 대신 상호 연결 구조들로서 도전성 필라들(521)을 갖는 도 1b의 조립체(100B)와 유사하다.As another example, as described herein in the discussion of block 215 , the TMV interconnect structures may include any of a variety of structures, for example conductive pillars (e.g., plated posts or pillars, vertical wires, etc.). can 5A provides an exemplary view of conductive pillars 521 attached to RD structure 110 . The conductive pillars 521 may be plated over the RD structure 110 , for example. Conductive pillars 521 also include, for example, wires attached to RD structure 110 (e.g., wire-bond attachment, soldering, etc.) and extending in a vertical direction (e.g., wire-bond wires). can do. The conductive pillars 521 may be RD from the RD structure 110 to a height equal to the height of the one or more dies 125 , 126 , or less than the height of the dies 125 , 126 , for example, from the RD structure 110 . It may extend from structure 110 . As an exemplary embodiment, the pillars may have a height of 200 microns or more with a center-to-center pitch of 100-150 microns. Note that any number of columns of pillars 521 may be formed. In general, assembly 500A of FIG. 5A is similar to assembly 100B of FIG. 1B with conductive pillars 521 as interconnecting structures instead of conductive balls 121 .

예를 계속 들면, 도 5b는 몰드 재료(130)로 덮인 RD 구조(110), 도전성 필라들(521), 반도체 다이(125,126), 및 언더필(128)을 도시한다. 몰딩은, 예를 들면, 예시적 방법(200)의 블록(230)에 따라 수행될 수 있다. 일반적으로, 도 5b의 조립체(500B)는 도전성 볼들(121) 대신 상호 연결 구조들로서 도전성 필라들(521)을 갖는 도 1c의 조립체(100C)와 유사하다.Continuing for example, FIG. 5B shows RD structure 110 covered with mold material 130 , conductive pillars 521 , semiconductor dies 125 , 126 , and underfill 128 . Molding may be performed, for example, according to block 230 of example method 200 . In general, assembly 500B of FIG. 5B is similar to assembly 100C of FIG. 1C with conductive pillars 521 as interconnecting structures instead of conductive balls 121 .

예를 계속해서 설명하면, 도 5c는 원하는 두께로 박형화 된(e.g., 그라인딩된) 몰드 재료(130)를 도시한 것이다. 박형화는, 예를 들면, 예시적 방법(200)의 블록(235)에 따라 수행될 수 있다. 예를 들면, 도전성 필라들(521) 및/또는 반도체 다이(125,126) 또한 박형화될 수 있음을 주목하라. 일반적으로 도 5d의 조립체(500D)는 도전성 볼들(121) 대신 상호 연결 구조들로서 도전성 필라들(521)을 갖고, 그리고 또한 도 1d에서 제거된 비아들(140)을 갖지 않는 도 1d의 조립체(100D)와 유사하다. 예를 들면, 몰드 재료(130)의 박형화는 도전성 필라들(521)의 상단부들을 노출시킬 수 있다. 그러나, 몰드 재료(130)의 박형화가 도전성 필라들(521)의 상단부를 노출시키지 않는다면, 몰드 제거 동작(e.g., 블록(240)에 따라)이 수행될 수 있다. 비록 조립체로서 노출되어 있는 반도체 다이(125,126)의 상부가 도시되어 있으나, 상부는 노출될 필요는 없다. 예를 들면, 필라들(521)은 반도체 다이(125,126)보다 크게 세워질 수 있다. 이러한 예시적 구성은, 예를 들면, 몰드 재료(130)가 반도체 다이(125,126)의 백사이드 표면들을 덮도록 하는 반면, 필라들(521)은 몰드 재료(130)로부터 노출되거나 그리고/또는 돌출하도록 하며, 이는, 예를 들면, 반도체 다이(125,126)를 보호하고, 워페이지(warpage) 등을 방지하거나 감소시킬 수 있다.Continuing the example, FIG. 5C shows the mold material 130 thinned (e.g., ground) to a desired thickness. Thinning may be performed, for example, according to block 235 of example method 200 . Note that, for example, the conductive pillars 521 and/or the semiconductor die 125 and 126 may also be thinned. Assembly 500D of FIG. 5D generally has conductive pillars 521 as interconnecting structures instead of conductive balls 121 , and also has no vias 140 removed in FIG. 1D assembly 100D of FIG. 1D . ) is similar to For example, thinning of the mold material 130 may expose the top ends of the conductive pillars 521 . However, if the thinning of the mold material 130 does not expose the top portions of the conductive pillars 521 , a mold removal operation (e.g., according to block 240 ) may be performed. Although the tops of the semiconductor dies 125 and 126 are shown exposed as an assembly, the tops need not be exposed. For example, the pillars 521 may be erected larger than the semiconductor dies 125 and 126 . This exemplary configuration allows, for example, mold material 130 to cover the backside surfaces of semiconductor die 125 , 126 while pillars 521 are exposed and/or protrude from mold material 130 . , which may, for example, protect semiconductor dies 125 and 126, prevent or reduce warpage, and the like.

필라들(521)이 다이(125,126)보다 작은 높이를 가지며 형성된 예시적 실시예에서, 박형화는 먼저 몰드 재료(130)를 그라인딩하고, 그런 후 필라들(521)이 노출될 때까지 몰드 재료(130) 및 다이(125,126)의 백(또는 비활성) 사이드들 둘다 그라인딩함을 포함할 수 있다. 여기서, 박형화는, 예를 들면, 몰드 재료(130), 다이(125,126) 및 필라들(521)의 그라인딩을 정지하거나 계속할 수 있다.In an exemplary embodiment in which the pillars 521 are formed with a smaller height than the dies 125 and 126 , the thinning first grinds the mold material 130 and then the mold material 130 until the pillars 521 are exposed. ) and the back (or inactive) sides of the dies 125 and 126 . Here, thinning may stop or continue grinding of mold material 130 , die 125 , 126 , and pillars 521 , for example.

예를 계속 설명하면, 도 5c에 도시된 조립체(500C)는 몰드 재료(130) 및 다이(125,126)에 걸쳐 재배선층(RDL)(532)을 형성함에 위해 추가 공정이 수행될 수 있다. 도 5d는 그러한 공정의 일례를 도시한다. 재배선층(532)은 또한 여기서 백사이드 재배선(RDL)층(532)으로서 지칭될 수 있다. 비록 그러한 백사이드 RDL 형성이 예시적 방법(200)의 블록 중 하나에 명확하게 도시된 것은 아니지만, 그러한 동작은, 예를 들면 몰드 그라인딩 동작인 블록(235) 이후, 그리고 웨이퍼 지지 구조 부착(e.g., 블록(235)에서, 블록(240)에서, 블록(245)에서, 또는 임의의 그러한 블록들 사이에서)인 블록(245) 이전 중 임의의 블록들에서 수행될 수 있다.Continuing the example, assembly 500C shown in FIG. 5C may be further processed to form a redistribution layer (RDL) 532 over mold material 130 and dies 125 and 126 . 5D shows an example of such a process. The redistribution layer 532 may also be referred to herein as a backside redistribution (RDL) layer 532 . Although such backside RDL formation is not explicitly shown in one of the blocks of the exemplary method 200, such operations may occur, for example, after block 235, which is a mold grinding operation, and after a wafer support structure attachment (e.g., block). at 235 , at block 240 , at block 245 , or in between any such blocks) before block 245 .

도 5d에 도시된 바와 같이, 제1백사이드 유전층(533)이 몰드 재료(130) 및 다이(125,126)의 상부에 형성되고 패터닝될 수 있다. 제1백사이드 유전층(533)은, 예를 들면, 비록 다른 표면이긴 하지만, 블록(260)에서 형성된 제1RDL 유전층(171)과 동일하거나 유사한 방식으로 형성 및 패터닝될 수 있다. 예를 들면, 제1백사이드 유전층(533)은 몰드 재료(130) 위에 그리고 반도체 다이(125,126)(e.g., 다이(125,126)의 노출된 백사이드 표면들 위에 직접, 다이(125,126)의 백사이드 표면들을 덮는 몰드 재료(130) 위에)위에 형성될 수 있고, 비아(534)들이 적어도 도전성 필라들(521)의 상부를 노출하도록 제1백사이드 유전층(533)에서 형성(e.g., 식각, 제거 등에 의해)될 수 있다. 몰드 재료(130)가 반도체 다이(125,126)의 백사이드 표면들을 덮는 예시적 구성에서, 제1백사이드 유전층(533)이 여전히 형성될 수 있으나, 그럴 필요는 없음(e.g., 아래에서 논의될 백사이드 트레이스들(535)이 제1 백사이드 유전층(533)의 상부가 아닌 아닌 몰드 재료(130)의 상부에 직접 형성될 수 있다)을 주목하라.As shown in FIG. 5D , a first backside dielectric layer 533 may be formed and patterned over the mold material 130 and the dies 125 , 126 . The first backside dielectric layer 533 may be formed and patterned, for example, in the same or similar manner as the first RDL dielectric layer 171 formed in block 260, albeit on a different surface. For example, the first backside dielectric layer 533 may be formed over the mold material 130 and directly over the exposed backside surfaces of the semiconductor dies 125 and 126 (e.g., dies 125 and 126) in a mold covering the backside surfaces of the dies 125 and 126 . may be formed over the material 130 , and vias 534 may be formed (e.g., by etching, removing, etc.) in the first backside dielectric layer 533 to expose at least the top of the conductive pillars 521 . . In an exemplary configuration in which mold material 130 covers the backside surfaces of semiconductor dies 125, 126, a first backside dielectric layer 533 may still be formed, but need not be (e.g., backside traces discussed below) Note that 535 may be formed directly on top of mold material 130 rather than on top of first backside dielectric layer 533 ).

백사이드 트레이스들(535)이 제1백사이드 유전층(533) 상에 그리고 제1백사이드 유전층(533)의 비아들(534)의 내측에 형성될 수 있다. 백사이드 트레이스들(535)은 따라서 도전성 필라들(521)에 전기적으로 연결될 수 있다. 백사이드 트레이스들(535)은, 예를 들면, 블록(265)에서 형성된 제1RDL 트레이스들과 같거나 유사한 방식으로 형성될 수 있다. 백사이드 트레이스들(535)의 적어도 몇몇은, 모두 그런 것은 아니지만, 예를 들면, 도전성 필라들(521)로부터 반도체 다이(125,126)의 바로 상부에 있는 위치까지 연장될 수 있다. 백사이드 트레이스들(535)의 적어도 몇몇은 또한, 예를 들면, 도전성 필라들(521)로부터 반도체 다이(125,126)의 바로 상부가 아닌 영역까지 연장될 수 있다.Backside traces 535 may be formed on the first backside dielectric layer 533 and inside the vias 534 of the first backside dielectric layer 533 . The backside traces 535 may thus be electrically connected to the conductive pillars 521 . Backside traces 535 may be formed, for example, in the same or similar manner as the first RDL traces formed in block 265 . At least some, but not all of the backside traces 535 may extend, for example, from the conductive pillars 521 to a location directly over the semiconductor die 125 , 126 . At least some of the backside traces 535 may also extend, for example, from the conductive pillars 521 to a region other than directly over the semiconductor die 125 , 126 .

제2백사이드 유전층(536)이 제1백사이드 유전층(533) 및 백사이드 트레이스들(535) 위에 형성되고 패터닝될 수 있다. 제2백사이드 유전층(536)은, 예를 들면, 비록 다른 표면이기는 하지만, 블록(270)에서 형성된 제2RDL 유전층(183)과 같거나 유사한 방식으로 형성되고 패터닝될 수 있다. 예를 들면, 제2백사이드 유전층(536)은 제1백사이드 유전층(533)에 걸쳐서 그리고 백사이드 트레이스들(535)에 걸쳐서 형성될 수 있고 비아들(537)이 백사이드 트레이스들(535)의 컨택 영역들을 노출하도록 제2백사이드 유전층(536)에 형성(e.g., 식각, 제거 등에 의해)될 수 있다.A second backside dielectric layer 536 may be formed and patterned over the first backside dielectric layer 533 and the backside traces 535 . Second backside dielectric layer 536 may be formed and patterned, for example, in the same or similar manner as second RDL dielectric layer 183 formed in block 270, albeit on a different surface. For example, a second backside dielectric layer 536 may be formed over the first backside dielectric layer 533 and over the backside traces 535 and vias 537 connect the contact regions of the backside traces 535 . It may be formed (e.g., by etching, removing, etc.) in the second backside dielectric layer 536 to expose it.

백사이드 상호 연결 패드들(538)(e.g., 볼 컨택 패드들)이 제2백사이드 유전층(536) 위에 그리고/또는 제2백사이드 유전층(536)의 비아들(537) 내측에 형성될 수 있다. 백사이드 상호 연결 패드들(538)은 따라서 백사이드 트레이스들(535)에 전기적으로 연결된다. 백사이드 상호 연결 패드들(538)은, 예를 들면, 블록(275)에서 형성된 제2RDL 트레이스들과 같거나 유사한 방식으로 형성될 수 있다. 백사이드 상호 연결 패드들(538)은, 예를 들면, 메탈 컨택 패드들의 형성 및/또는 언더 범프 메탈(e.g., 상호 연결 구조들에 의해 백사이드 트레이스들(535)에 후속하는 부착성을 향상시키기 위해)의 형성에 의해 형성될 수 있다. Backside interconnect pads 538 (e.g., ball contact pads) may be formed over the second backside dielectric layer 536 and/or inside the vias 537 of the second backside dielectric layer 536 . Backside interconnect pads 538 are thus electrically connected to backside traces 535 . The backside interconnect pads 538 may be formed, for example, in the same or similar manner as the second RDL traces formed in block 275 . Backside interconnect pads 538 may be formed, for example, by formation of metal contact pads and/or under bump metal (e.g., to improve adhesion following backside traces 535 by interconnect structures). can be formed by the formation of

비록 백사이드 RDL 층(532)이 두개의 백사이드 유전층들(533,536)과 백사이드 트레이스들(535)의 한층으로 도시되어 있으나, 임의 개수의 유전층 및/또는 트레이스 층들이 형성될 수 있음을 이해하여야 한다.Although the backside RDL layer 532 is shown as two backside dielectric layers 533,536 and one layer of backside traces 535, it should be understood that any number of dielectric and/or trace layers may be formed.

도 5e에 예로 도시된 바와 같이, 백사이드 RDL층(532)이 형성된 이후, 웨이퍼 지지 구조(150)가 백사이드 RDL층(532)(e.g., 직접, 중간에 개재된 접착층과 함께, 배큠 포스를 이용하는 것등)에 부착될 수 있다. 웨이퍼 지지 구조(150)는, 예를 들면, 블록(245)에서 부착된 웨이퍼 지지 구조(150)와 같거나 유사한 방식으로 부착될 수 있다. 예를 들면, 도 5e는, 비록 몰드층(130) 및 반도체 다이(125,126)에 부착되는 것이 아니라 RDL층(532)에 부착되기는 하지만, 도 1e의 그것과 유사한 방식의 웨이퍼 지지 구조(150)의 부착을 보여준다.5E, after the backside RDL layer 532 is formed, the wafer support structure 150 uses vacuum force, directly, with an intervening adhesive layer, the backside RDL layer 532 (e.g.) etc.) can be attached. Wafer support structure 150 may be attached, for example, in the same or similar manner as wafer support structure 150 attached at block 245 . For example, FIG. 5E shows a diagram of a wafer support structure 150 in a manner similar to that of FIG. show attachment.

도 5f에서 예로 도시된 바와 같이, 지지층(105)(도 5e에 도시됨)은 RD 웨이퍼로부터 제거될 수 있고, 프론트사이드 재배선층이 다이(125,126)에 대향하는 RD 구조(110)의 측부상에 형성될 수 있고, 상호 연결 구조(192)가 형성될 수 있고, 그리고 웨이퍼 지지 구조(150)가 제거될 수 있다.5F , the support layer 105 (shown in FIG. 5E ) may be removed from the RD wafer, and a frontside redistribution layer is placed on the side of the RD structure 110 opposite the dies 125 and 126 . may be formed, interconnect structure 192 may be formed, and wafer support structure 150 may be removed.

예를 들면, 지지층(105)은 블록(250) 및 도 1e-1f에 관하여 여기서 논의된 것과 같거나 유사한 방식으로 제거될 수 있다. 또한 예를 들면, 프론트사이드 재배선층이 블록들(255-280) 및 도 1g-1h에 관하여 여기서 논의된 것과 같거나 유사한 방식으로 형성될 수 있다. 또한, 예를 들면, 상호 연결 구조들(192)이 블록(285) 및 도 1i에 관하여 여기서 논의된 것과 같거나 유사한 방식으로 형성될 수 있다. 또한, 예를 들면, 웨이퍼 지지 구조(150)가 블록(290) 및 도 1j에 관하여 여기서 논의된 것과 같거나 유사한 방식으로 제거될 수 있다.For example, the support layer 105 may be removed in a manner similar to or similar to that discussed herein with respect to block 250 and FIGS. 1E-1F . Also for example, the frontside redistribution layer may be formed in a manner similar to or similar to that discussed herein with respect to blocks 255 - 280 and FIGS. 1G-1H . Also, for example, interconnect structures 192 may be formed in the same or similar manner as discussed herein with respect to block 285 and FIG. 1I . Also, for example, the wafer support structure 150 may be removed in a manner similar to or similar to that discussed herein with respect to block 290 and FIG. 1J .

또 다른 예시적 실시예로서, 서브스트레이트(e.g., 라미네이트 서브스트레이트, 패키지 서브스트레이트 등)가, 예를 들면, 도 5에 관하여 여기서 논의된 백사이드 RDL 대신 또는 외에, 반도체 다이(125,126) 위에 부착될 수 있다. 예를 들면, 도 6a에 도시된 바와 같이, 상호 연결 구조들(621)이 다이(125,126)의 높이 정도까지 연장될 높이에서 형성될 수 있다. 예를 들면, 백사이드 서브스트레이트가 그 자신의 상호 연결 구조들을 갖거나 또는 추가적인 상호 연결 구조들이 상호 연결 구조들(621)과 백사이드 서브스트레이트 사이에 이용되는 예시적 시나리오에서, 이러한 높이가 반드시 존재할 필요는 없음을 주목하라. 상호 연결 구조들(621)이, 예를 들면, 블록(215) 및 도 1b에 관하여 여기서 논의된 것과 같거나 유사한 방식으로 부착될 수 있다.As another exemplary embodiment, a substrate (e.g., laminate substrate, package substrate, etc.) may be attached over the semiconductor die 125 , 126 , for example, instead of or in addition to the backside RDL discussed herein with respect to FIG. 5 . have. For example, as shown in FIG. 6A , interconnect structures 621 may be formed at a height that will extend to about the height of dies 125 and 126 . For example, in the example scenario where the backside substrate has its own interconnect structures or additional interconnect structures are used between interconnect structures 621 and the backside substrate, this height need not necessarily exist. Note that there is no Interconnect structures 621 may be attached, for example, in a manner similar to or as discussed herein with respect to block 215 and FIG. 1B .

예를 계속 설명하면, 도 6b에 도시된 바와 같이, 조립체(600B)는 몰딩될 수 있고 그리고 그 몰드는 필요하다면 박형화될 수 있다. 그와 같은 몰딩 및/또는 박형화는, 예를 들면, 블록들(230 및 235), 그리고 도 1c 및 1d에 관하여 여기서 논의된 것과 같거나 유사한 방식으로 수행될 수 있다. Continuing the example, as shown in FIG. 6B , assembly 600B can be molded and the mold can be thinned if necessary. Such molding and/or thinning may be performed, for example, in a manner similar to or similar to that discussed herein with respect to blocks 230 and 235, and FIGS. 1C and 1D.

도 6c에 도시된 바와 같이, 웨이퍼 지지 구조(150)가 부착될 수 있고, 지지층(105)이 제거될 수 있으며, 그리고 프론트사이드 RDL이 형성될 수 있다. 예를 들면, 웨이퍼 지지 구조(150)가 블록(245) 및 도 1e에 관하여 여기서 논의된 것과 같거나 유사한 방식으로 부착될 수 있다. 또한, 예를 들면, 지지층(105)이 블록(250) 및 도 1f에 관하여 여기서 논의된 것과 같거나 유사한 방식으로 제거될 수 있다. 또한, 예를 들면, 프론트사이드 RDL이 블록들(225-280) 및 도 1g-1h에 관하여 여기서 논의된 것과 같거나 유사한 방식으로 형성될 수 있다. As shown in FIG. 6C , a wafer support structure 150 may be attached, the support layer 105 may be removed, and a frontside RDL may be formed. For example, wafer support structure 150 may be attached in a manner similar to or similar to that discussed herein with respect to block 245 and FIG. 1E . Also, for example, the support layer 105 may be removed in a manner similar to or similar to that discussed herein with respect to block 250 and FIG. 1F . Also, for example, the frontside RDL may be formed in a manner similar to or similar to that discussed herein with respect to blocks 225-280 and FIGS. 1G-1H.

도 6d에 도시된 바와 같이, 상호 연결 구조(192)가 부착될 수 있고, 웨이퍼 지지 구조(150)가 제거될 수 있으며, 백사이드 서브스트레이트(632)가 부착될 수 있다. 예를 들면, 상호 연결 구조들(192)이 블록(285) 및 도 1i에 관하여 여기서 논의된 것과 같거나 유사한 방식으로 부착될 수 있다. 또한, 예를 들면, 웨이퍼 지지 구조(150)가 블록(290) 및 도 1j에 관하여 여기서 논의된 것과 같거나 유사한 방식으로 제거될 수 있다. 또한 예를 들면, 백사이드 서브스트레이트(632)가 상호 연결 구조들(621)에 전기적으로 부착되거나 그리고/또는 몰드 재료(130) 및/또는 다이(125,126)에 기계적으로 부착될 수 있다. 백사이드 서브스트레이트(632)는, 예를 들면, 웨이퍼(또는 패널) 형태 및/또는 단독 패키지 형태로 부착될 수 있고, 그리고 예를 들면 절단 공정(e.g., 블록(295)에서 논의된 바와 같이) 이전 또는 이후에 부착될 수 있다.As shown in FIG. 6D , interconnect structure 192 may be attached, wafer support structure 150 may be removed, and backside substrate 632 may be attached. For example, interconnect structures 192 may be attached in a manner similar to or similar to that discussed herein with respect to block 285 and FIG. 1I . Also, for example, the wafer support structure 150 may be removed in a manner similar to or similar to that discussed herein with respect to block 290 and FIG. 1J . Also for example, backside substrate 632 may be electrically attached to interconnect structures 621 and/or mechanically attached to mold material 130 and/or die 125 , 126 . Backside substrate 632 may be attached, for example, in wafer (or panel) form and/or in standalone package form, and may be attached, for example, prior to a cutting process (e.g., as discussed in block 295). Or it can be attached later.

도 1-7에 도시되고 여기에서 논의된 예시적 방법들 및 조립체들은 단순히 본 발명의 다양한 양태들을 설명하기 위해 비제한적인 예들로 설명된 것이다. 그러한 방법들 및 조립체들은 또한 다음의 공통으로 계류중인 미국특허출원들에서 도시되고 논의된 방법들 및 조립체들과 함께 임의의 또는 모든 특징들을 공유할 수 있다: 2013년 1월 29일을 출원되고, "반도체 디바이스 및 반도체 디바이스의 제조 방법"의 제목을 갖는 미국 특허 출원 번호 13/753,120; 2013년 4월 16일에 출원되고, "반도체 디바이스 및 그의 제조 방법"의 제목을 갖는 미국 특허 출원 번호 13/863,457; 2013년11월19일에 출원되고, "관통 실리콘 비아가 없는 깊은 웰들을 갖는 반도체 디바이스"의 제목을 갖는 미국 특허 출원 번호 14/083,779; 2014년 3월 18일에 출원되고, "반도체 디바이스 및 그의 제조 방법"의 제목을 갖는 미국 특허 출원 번호 14/218,265; 2014년6월24일에 출원되고, "반도체 디바이스 및 그의 제조 방법"의 제목을 갖는 미국 특허 출원 번호 14/313,724; 2014년7월28일에 출원되고, "얇은 재배선층들을 갖는 반도체 디바이스"의 제목을 갖는 미국 특허 출원 번호 14/444,450; 2014년10월27일에 출원되고, "감소된 두께를 갖는 반도체 디바이스"의 제목을 갖는 미국 특허 출원 번호 14/524,443; 2014년11월4일에 출원되고, "인터포저, 그의 제조 방법, 이를 이용한 반도체 패키지, 및 반도체 패키지의 제조 방법"의 제목을 갖는 미국 특허 출원 번호 14/532,532; 2014년11월18일에 출원되고, "감소된 휨을 갖는 반도체 디바이스"의 제목을 갖는 미국 특허 출원 번호 14/546,484; 및 2015년3월27일에 출원되고, "반도체 디바이스 및 그 제조 방법"의 명칭을 갖는 미국 특허 출원 번호 14/671,095; 여기에 있는 각각의 내용 전체가 본원에 참고로 인용된다.The exemplary methods and assemblies shown in Figures 1-7 and discussed herein have been described by way of non-limiting examples merely to illustrate various aspects of the present invention. Such methods and assemblies may also share any or all features with the methods and assemblies shown and discussed in the following commonly pending US patent applications: filed Jan. 29, 2013; US Patent Application Serial No. 13/753,120, entitled "Semiconductor Device and Method of Manufacturing Semiconductor Device;" U.S. Patent Application No. 13/863,457, filed April 16, 2013, and entitled "Semiconductor Device and Method of Manufacturing Same;" US Patent Application Serial No. 14/083,779, filed on Nov. 19, 2013, and entitled "Semiconductor Device with Deep Wells Without Through Silicon Vias;" U.S. Patent Application No. 14/218,265, filed March 18, 2014, and entitled "Semiconductor Device and Method of Making the Same"; U.S. Patent Application No. 14/313,724, filed June 24, 2014, and entitled "Semiconductor Device and Method of Manufacturing Same;" US Patent Application No. 14/444,450, filed July 28, 2014, and entitled "Semiconductor Device With Thin Redistribution Layers;" US Patent Application Serial No. 14/524,443, filed October 27, 2014, and entitled "Semiconductor Device with Reduced Thickness;" US Patent Application No. 14/532,532, filed on November 4, 2014, and entitled "Interposer, Method of Manufacturing Same, Semiconductor Package Using Same, and Method of Manufacturing Semiconductor Package;" U.S. Patent Application Serial No. 14/546,484, filed November 18, 2014, and entitled "Semiconductor Device with Reduced Warpage;" and U.S. Patent Application Serial No. 14/671,095, filed March 27, 2015, entitled “Semiconductor Device and Method of Manufacturing Same;” The entire contents of each of which are herein incorporated by reference.

여기에 논의된 반도체 패키지들의 임의의 또는 모든 것은, 그럴 필요는 없지만, 패키지 서브스트레이트에 부착될 수 있다. 그러한 반도체 디바이스 패키지들 및 그들의 방법들의 다양한 비제한적 예들이 이제 논의될 것이다.Any or all of the semiconductor packages discussed herein may, but need not, be attached to the package substrate. Various non-limiting examples of such semiconductor device packages and their methods will now be discussed.

도 7a-7l은 본 발명의 다양한 양태들에 따른, 예시적인 반도체 패키지 및 반도체 패키지를 제조하는 예시적인 방법을 도시한 단면도들이다. 도 7a-7l에 도시된 구조들은, 예를 들면, 도 1a-1j, 3a-3b, 4a-4d, 5a-5f, 6a-6d, 9, 10a-10b, 11a-11d, 12a-12b, 13, 및 14에 도시된 유사한 구조들의 임의의 또는 모든 특징들을 공유할 수 있다. 도 8은, 본 발명의 다양한 양태들에 따른, 반도체 패키지를 제조하는 예시적인 방법(800)의 흐름도이다. 예시적인 방법(800)은, 예를 들면, 도 2에 도시되고 여기에서 의논된 예시적 방법(200) 그리고 여기에서 논의된 임의의 방법들이 갖는 임의의 또는 모든 특징들을 공유할 수 있다. 도 7a-7l은, 예를 들면, 도 8의 생산 방법(800)의 다양한 단계들(또는 블록들)에서 예시적 반도체 패키지를 도시할 수 있다. 도 7a-7l 및 도 8이 이제 함께 논의될 것이다.7A-7L are cross-sectional views illustrating an exemplary semiconductor package and an exemplary method of manufacturing the semiconductor package, in accordance with various aspects of the present disclosure. The structures shown in FIGS. 7A-7L are, for example, FIGS. 1A-1J, 3A-3B, 4A-4D, 5A-5F, 6A-6D, 9, 10a-10b, 11a-11d, 12a-12b, 13 , , and 14 may share any or all features of similar structures. 8 is a flow diagram of an exemplary method 800 of manufacturing a semiconductor package in accordance with various aspects of the present invention. Exemplary method 800 may share any or all features of, for example, exemplary method 200 shown in FIG. 2 and discussed herein and any methods discussed herein. 7A-7L may illustrate an example semiconductor package at various stages (or blocks) of the production method 800 of FIG. 8 , for example. 7A-7L and 8 will now be discussed together.

예시적 방법(800)은, 블록(805)에서, 공정(e.g., 패키징을 위한)을 위한 로직 웨이퍼 준비 단계를 포함할 수 있다. 블록(805)은 임의의 다양한 방식으로, 여기에서 설명된 비제한적 예들로, 공정을 위한 로직 웨이퍼를 준비하는 단계를 포함할 수 있다. 블록(805)은, 예를 들면, 도 2에 도시되고 여기에서 논의된 예시적 방법(200)의 블록(205)의 임의의 또는 모든 특징들을 공유할 수 있다.Exemplary method 800 may include, at block 805 , preparing a logic wafer for processing (e.g., for packaging). Block 805 may include preparing the logic wafer for processing in any of a variety of ways, such as non-limiting examples described herein. Block 805 may share any or all features of block 205 of example method 200 shown in FIG. 2 and discussed herein, for example.

예시적 방법(800)은, 블록(810)에서, 재배선 구조 웨이퍼(RD 웨이퍼)를 준비하는 단계를 포함할 수 있다. 블록(810)은 다양한 방식들의, 여기에서 제공된 비제한적인 예들로, 공정을 위한 RD 웨이퍼를 준비하는 단계를 포함한다. 블록(810)은, 예를 들면, 도 2에 도시되고 여기에서 논의된 예시적 방법(200)의 블록(210)의 임의의 또는 모든 특징들을 공유할 수 있다. Exemplary method 800 may include, at block 810 , preparing a redistribution structure wafer (RD wafer). Block 810 includes preparing the RD wafer for processing in various manners, including non-limiting examples provided herein. Block 810 may share any or all features of block 210 of example method 200 shown in FIG. 2 and discussed herein, for example.

도 7a는 블록(810)의 다양한 양태들의 예시적 도면을 제공한다. 도 7a를 참조하면, RD 웨이퍼(700A)는, 예를 들면, 지지층(705)(e.g., 실리콘층)을 포함할 수 있다. 재배선(RD) 구조(710)는 지지층(105) 위에 형성될 수 있다. RD 구조(710)는, 예를 들면, 베이스 유전층(711), 제1유전층(713), 제1도전성 트레이스들(712), 제2유전층(716), 제2도전성 트레이스들(715), 및 상호 연결 구조들(717)을 포함할 수 있다.7A provides an exemplary diagram of various aspects of block 810 . Referring to FIG. 7A , the RD wafer 700A may include, for example, a support layer 705 (e.g., a silicon layer). A redistribution (RD) structure 710 may be formed on the support layer 105 . RD structure 710 includes, for example, base dielectric layer 711 , first dielectric layer 713 , first conductive traces 712 , second dielectric layer 716 , second conductive traces 715 , and interconnect structures 717 .

베이스 유전층(711)은, 예를 들면, 지지층(705) 위에 있을 수 있다. 베이스 유전층(711)은, 예를 들면, 산화막, 질화막 등을 포함할 수 있다. 베이스 유전층(711)은, 예를 들면, 기본 사양으로 형성될 수 있고 그리고/또는 자연적인 것일 수 있다.The base dielectric layer 711 may be over the support layer 705 , for example. The base dielectric layer 711 may include, for example, an oxide film, a nitride film, or the like. Base dielectric layer 711 may be formed to a standard specification and/or may be natural, for example.

RD 웨이퍼(700A)는, 또한, 제1도전성 트레이스들(712) 및 제1유전층(713)을 포함할 수 있다. 제1도전성 트레이스들(712)은, 예를 들면, 증착된 도전성 메탈(e.g., 카파 등)을 포함할 수 있다. 제1유전층(713)은, 예를 들면, 무기 유전 재료(e.g., 실리콘 산화막, 실리콘 질화막 등)를 포함할 수 있다. 다른 조립체로서, 제1유전층(713)은 유기 유전 재료를 포함할 수 있다.The RD wafer 700A may also include first conductive traces 712 and a first dielectric layer 713 . The first conductive traces 712 may include, for example, a deposited conductive metal (eg, kappa). The first dielectric layer 713 may include, for example, an inorganic dielectric material (e.g., a silicon oxide film, a silicon nitride film, etc.). As another assembly, the first dielectric layer 713 may include an organic dielectric material.

RD 웨이퍼(700A)는 또한, 예를 들면, 제2도전성 트레이스들(715) 및 제2유전층(716)을 포함할 수 있다. 제2도전성 트레이스들(715)은, 예를 들면, 증착된 도전성 메탈(e.g., 카파 등)을 포함할 수 있다. 제2도전성 트레이스들(715)은, 예를 들면, 각각의 도전성 비아들(714)(e.g., 제1유전층(713) 내에서)을 통하여 각각의 제1도전성 트레이스들(712)에 연결될 수 있다. 제2유전층(716)은, 예를 들면, 무기 재료(e.g., 실리콘 산화막, 실리콘 질화막 등)을 포함할 수 있다. 다른 조립체로서, 제2유전층(716)은 유기 유전 재료를 포함할 수 있다. RD wafer 700A may also include, for example, second conductive traces 715 and a second dielectric layer 716 . The second conductive traces 715 may include, for example, a deposited conductive metal (eg, kappa). Second conductive traces 715 may be connected to respective first conductive traces 712 through, for example, respective conductive vias 714 (e.g., in first dielectric layer 713 ). . The second dielectric layer 716 may include, for example, an inorganic material (e.g., a silicon oxide film, a silicon nitride film, etc.). As another assembly, the second dielectric layer 716 may include an organic dielectric material.

비록 두셋트의 유전층들 및 도전성 트레이스들이 도 7a에 도시되어 있으나, RD 웨이퍼(700A)의 RD 구조(710)는 그와 같은 층들 및 트레이스들의 임의의 개수를 포함할 수 있음을 이해하여야 한다. 예를 들면, RD 구조(710)는 오직 하나의 유전층만을 그리고/또는 한 셋트의 도전성 트레이스들, 세 셋트의 유전층들 및/또는 도전성 트레이스들 등을 포함할 수 있다.Although two sets of dielectric layers and conductive traces are shown in FIG. 7A , it should be understood that the RD structure 710 of the RD wafer 700A may include any number of such layers and traces. For example, the RD structure 710 may include only one dielectric layer and/or a set of conductive traces, three sets of dielectric layers and/or conductive traces, and/or the like.

블록(205)에서 로직 웨이퍼 준비 단계와 함께, 블록(210)은 RD 구조(710)의 표면 상에 상호 연결 구조들(e.g., 도전성 범프들, 도전성 볼들, 도전성 필라들, 도전성 랜드들 또는 패드들 등)을 형성하는 단계를 포함할 수 있다. RD 구조(710)가 상호 연결 구조들(717)을 포함하는, 이러한 상호 연결 구조들(717)의 예가 도 7a에 도시되어 있고, 이는 RD 구조(710)의 전방(또는 상부) 측 상에 형성되고 그리고 제2유전층(716)에 있는 도전성 비아들을 통하여 각각의 제2도전성 트레이스들(715)에 전기적으로 연결됨을 보이고 있다. 이러한 상호 연결 구조들(717)은, 예를 들면, RD 구조(710)를 다양한 전자 부품들(e.g., 능동 반도체 부품들 또는 다이, 수동 부품들 등)에 연결하는데 이용될 수 있다.In conjunction with the logic wafer preparation step in block 205 , block 210 includes interconnect structures (e.g., conductive bumps, conductive balls, conductive pillars, conductive lands or pads) on the surface of RD structure 710 . etc.) may be included. An example of such interconnect structures 717 , where RD structure 710 includes interconnect structures 717 , is shown in FIG. 7A , which is formed on the front (or top) side of RD structure 710 . and electrically connected to respective second conductive traces 715 through conductive vias in the second dielectric layer 716 . These interconnect structures 717 may be used, for example, to connect the RD structure 710 to various electronic components (eg, active semiconductor components or die, passive components, etc.).

상호 연결 구조들(717)은, 예를 들면, 임의의 다양한 도전성 재료들(e.g., 카파, 니켈, 골드 등의 하나 또는 조합)을 포함할 수 있다. 상호 연결 구조들(717)은 또한, 예를 들면, 솔더를 포함할 수 있다.Interconnect structures 717 may include, for example, any of a variety of conductive materials (e.g., one or a combination of kappa, nickel, gold, etc.). Interconnect structures 717 may also include, for example, solder.

일반적으로, 블록(810)은 재배선 구조 웨이퍼(RD 웨이퍼)를 준비하는 단계를 포함한다. 따라서, 본 발명의 범위가 이러한 준비를 수행하는 임의의 특정한 방식의 특징으로 제한되면 안 된다.In general, block 810 includes preparing a redistribution structure wafer (RD wafer). Accordingly, the scope of the present invention should not be limited to the features of any particular manner of carrying out such preparations.

예시적 방법(800)은, 블록(820)에서, RD 구조(e.g., RD 웨이퍼의)에 하나 이상의 반도체 다이를 부착하는 단계를 포함할 수 있다. 블록(820)은 임의의 다양한 방식으로, 여기에서 제공된 비제한적인 예들로, 다이를 RD 구조에 부착하는 단계를 포함할 수 있다. 블록(820)은, 예를 들면, 도 2에 도시되고 여기에서 논의된 예시적 방법(200)의 임의의 또는 모든 특징들을 공유할 수 있다.Exemplary method 800 may include, at block 820 , attaching one or more semiconductor dies to an RD structure (e.g., of an RD wafer). Block 820 may include attaching the die to the RD structure in any of a variety of ways, including non-limiting examples provided herein. Block 820 may share any or all features of example method 200 shown in FIG. 2 and discussed herein, for example.

도 7b는 블록(820)의 다양한 양태들, 예를 들면 다이 부착 구조의 예시적 도면을 제공한다. 예를 들면, 제1다이(725)(e.g., 블록(805)에서 준비된 로직 웨이퍼로부터 절단되어 있을 수 있음)가 재배선 구조(710)에 전기적 및 기계적으로 부착될 수 있다. 유사하게, 제2다이(726)(e.g., 블록(805)에서 준비된 로직 웨이퍼로부터 절단되어 있을 수 있음)가 재배선 구조(710)에 전기적 및 기계적으로 부착될 수 있다.7B provides an illustrative view of various aspects of block 820 , eg, a die attach structure. For example, a first die 725 (e.g., which may have been cut from the prepared logic wafer at block 805 ) may be electrically and mechanically attached to the redistribution structure 710 . Similarly, a second die 726 (e.g., may have been cut from the prepared logic wafer at block 805 ) may be electrically and mechanically attached to the redistribution structure 710 .

제1다이(725) 및 제2다이(726)는 임의의 다양한 다이 특징들을 포함할 수 있다. 예시적 시나리오로서, 제1다이(725)는 프로세서 다이를 포함할 수 있고, 제2다이(726)는 메모리 다이를 포함할 수 있다. 다른 예시적 시나리로서, 제1다이(725)는 프로세서 다이를 포함할 수 있고, 그리고 제2다이(726)는 코-프로세서 다이를 포함할 수 있다. 다른 예시적 시나리오로서, 제1다이(725)는 센서 다이를 포함할 수 있고, 그리고 제2다이(726)는 센서 프로세싱 다이를 포함할 수 있다. 비록 도 7b에서 조립체(700B)가 두개의 다이(725,726)로 도시되어 있으나, 임의 개수의 다이일 수 있다. 예를 들면, 오직 하나의 다이, 세개의 다이, 네개의 다이, 또는 네개 이상의 다이일 수 있다.The first die 725 and the second die 726 may include any of a variety of die features. As an example scenario, the first die 725 may include a processor die and the second die 726 may include a memory die. As another example scenario, the first die 725 may include a processor die, and the second die 726 may include a co-processor die. As another example scenario, the first die 725 may include a sensor die, and the second die 726 may include a sensor processing die. Although assembly 700B is shown with two dies 725 and 726 in FIG. 7B , any number of dies may be used. For example, it may be only one die, three dies, four dies, or four or more dies.

추가적으로, 비록 제1다이(725) 및 제2다이(726)가 상호간 상대적으로 측부 방향으로 재배선 구조(710)에 부착된 것으로 도시되어 있으나, 그들은 또한 수직 조립체로 배열될 수도 있다. 이러한 구조들의 다양한 비제한적 예들이 도시되고 그리고 여기서 논의된다(e.g., 다이 온 다이 스택킹(die-on-die stacking), 대향 서브스트레이트 측에의 다이 부착 등). 또한, 비록 제1다이(725) 및 제2다이(726)가 일반적으로 유사한 치수로 도시되었으나, 그러한 다이(725,726)는 다른 각각의 특징들(e.g., 다이 높이, 풋 프린트, 연결 피치 등)을 포함할 수 있다.Additionally, although the first die 725 and the second die 726 are shown attached to the redistribution structure 710 laterally relative to each other, they may also be arranged in a vertical assembly. Various non-limiting examples of such structures are shown and discussed herein (e.g., die-on-die stacking, die attach to opposite substrate side, etc.). Also, although first die 725 and second die 726 are shown with generally similar dimensions, such dies 725 and 726 have different respective characteristics (e.g., die height, footprint, connection pitch, etc.). may include

제1다이(725) 및 제2다이(726)가 일반적으로 일정한 피치로 도시되어 있지만, 이러한 경우일 필요는 없다. 예를 들면, 제2다이(726)에 바로 인접한 제1다이 풋 프린트의 영역에서 제1다이(725) 중 대부분 또는 모든 컨택들 및/또는 제1다이(125)에 바로 인접한 제2다이 풋 프린트의 영역에서 제2다이(126) 중 대부분은 대부분의 또는 모든 다른 컨택들보다 실질적으로 더 가는 피치를 가질 수 있다. 예를 들면, 제2다이(및/또는 제1다이(725)에 가장 가까운 제2다이(726))에 가장 가까운 제1다이(725)의 첫번째 5, 10 또는 n 열들은 30 마이크론 피치를 가질 수 있는 반면, 다른 컨택들은 일반적으로 80 마이크론 및/또는 200 마이크론 피치를 가질 수 있다. RD 구조(710)는 따라서 대응 피치에서 대응 컨택 구조들 및/또는 트레이스들을 가질 수 있다. Although the first die 725 and the second die 726 are shown generally at a constant pitch, this need not be the case. For example, most or all of the contacts of the first die 725 in the region of the first die footprint immediately adjacent the second die 726 and/or the second die footprint immediately adjacent the first die 125 . Most of the second dies 126 in the region of may have a substantially thinner pitch than most or all other contacts. For example, the first 5, 10, or n rows of the first die 725 closest to the second die (and/or the second die 726 closest to the first die 725) may have a 30 micron pitch. while other contacts may generally have a pitch of 80 microns and/or 200 microns. The RD structure 710 may thus have corresponding contact structures and/or traces at a corresponding pitch.

일반적으로, 블록(820)은 하나 이상의 반도체 다이를 재배선 구조(e.g., 재배선 웨이퍼)에 부착하는 단계를 포함한다. 따라서, 본 발명의 범위는 임의의 특정한 다이의 특징들에 의해, 또는 임의의 특정한 멀티 다이 레이아웃의 특징들에 의해, 또는 그러한 다이를 부착하는 임의의 특정한 방식의 특징들에 의해 제한되어서는 안 된다.Generally, block 820 includes attaching one or more semiconductor dies to a redistribution structure (e.g., a redistribution wafer). Accordingly, the scope of the present invention should not be limited by the features of any particular die, or by the features of any particular multi-die layout, or by the features of any particular manner of attaching such a die. .

예시적 방법(800)은, 블록(825)에서, 반도체 다이 및/또는 블록(820)에서 RD 구조에 부착된 다른 부품들을 언더필링하는 단계를 포함할 수 있다. 블록(825)은 임의의 다양한 방식들, 여기에서 설명되는 비제한적인 예들로서 그러한 언더필링을 수행하는 단계를 포함할 수 있다. 블록(825)은, 예를 들면, 도 2에 도시되고 여기에서 논의된 예시적 방법(200)의 블록(225)의 임의의 또는 모든 특징들을 공유할 수 있다.The example method 800 may include underfilling the semiconductor die and/or other components attached to the RD structure at block 820 at block 825 . Block 825 may include performing such underfilling in any of a variety of ways, as non-limiting examples described herein. Block 825 may share any or all features of block 225 of example method 200 shown in FIG. 2 and discussed herein, for example.

도 7b는 블록(825)의 다양한 양태들, 예를 들면 언더필링 양태들의 예시적 도면을 제공한다. 언더필(728)은 제1반도체 다이(725)와 재배선 구조(710) 사이 그리고 제2반도체 다이(726)와 재배선 구조(710) 사이에 위치된다.7B provides an exemplary diagram of various aspects of block 825 , eg, underfilling aspects. The underfill 728 is positioned between the first semiconductor die 725 and the redistribution structure 710 and between the second semiconductor die 726 and the redistribution structure 710 .

비록 언더필(728)이 일반적으로 평평하게 도시되어 있으나, 언더필은 솟아 오르고 그리고 반도체 다이 및/또는 다른 부품들의 측면 상에 필렛(fillet)을 형성할 수 있다. 하나의 예시적 시나리오로서, 다이 측부 표면의 적어도 1/4 또는 적어도 1/2이 언더필 재료로 덮일 수 있다. 다른 예시적 시나리오로서, 하나 이상 또는 모든 측부 표면들이 언더필 재료로 덮일 수 있다. 또한 예를 들면, 반도체 다이 사이, 반도체 다이와 다른 부품들 사이, 및/또는 다른 부품들 사이의 직접적인 공간의 상당 부분이 언더필 재료로 채워질 수 있다. 예를 들면, 측부 방향으로 인접한 반도체 다이 사이, 다이와 다른 부품들 사이, 및/또는 다른 부품들 사이의 공간의 적어도 1/2 또는 공간의 모두가 언더필 재료로 채워질 수 있다. 하나의 예시적 실시예로서, 언더필(728)이 RD 웨이퍼의 전체 재배선 구조(710)를 덮을 수 있다. 그러한 예시적 실시예로서, RD 웨이퍼가 추후에 절단될 경우, 그러한 절단이 언더필(728)을 관통하여 자를 수 있다.Although the underfill 728 is shown generally flat, the underfill may rise and form a fillet on the side of the semiconductor die and/or other components. As one example scenario, at least one-quarter or at least one-half of the die side surface may be covered with an underfill material. As another example scenario, one or more or all of the side surfaces may be covered with an underfill material. Also, for example, a significant portion of the direct space between semiconductor dies, between semiconductor dies and other components, and/or between other components may be filled with underfill material. For example, at least one-half or all of the space between laterally adjacent semiconductor dies, between the die and other components, and/or between other components may be filled with the underfill material. As one exemplary embodiment, the underfill 728 may cover the entire redistribution structure 710 of the RD wafer. As such an exemplary embodiment, if the RD wafer is later cut, such a cut may cut through the underfill 728 .

일반적으로, 블록(825)은 반도체 다이 및/또는 블록(820)에서 RD 구조에 부착된 다른 부품들을 언더필링하는 단계를 포함한다. 따라서, 본 발명의 범위가 임의의 특정한 타입의 언더필의 특징들 또는 그러한 언더필링을 수행하는 임의의 특정한 방식으로 제한되어서는 안 된다.Generally, block 825 includes underfilling the semiconductor die and/or other components attached to the RD structure in block 820 . Accordingly, the scope of the present invention should not be limited to the features of any particular type of underfill or to any particular manner of carrying out such underfilling.

예시적 방법(800)은, 블록(830)에서, RD 웨이퍼(e.g., 또는 RD 구조)를 몰딩하는 단계를 포함한다. 블록(830)은 임의의 다양한 방식으로, 여기에 설명되는 비제한적인 예들로 RD 웨이퍼를 몰딩하는 단계를 포함한다. 블록(830)은, 예를 들면, 도 2에 도시되고 여기에서 논의된 예시적 방법(200)의 블록(230)의 임의의 또는 모든 특징들을 공유할 수 있다.Exemplary method 800 includes, at block 830 , molding an RD wafer (e.g., or RD structure). Block 830 includes molding the RD wafer in any of a variety of ways, with non-limiting examples described herein. Block 830 may share any or all features of block 230 of example method 200 shown in FIG. 2 and discussed herein, for example.

도 7c는 블록(830)의 다양한 양태들, 예를 들면 몰딩 공정의 예시적 도면을 제공한다. 예를 들면, 몰딩된 조립체(700C)가 제1반도체 다이(725), 제2반도체 다이(726), 언더필(728), 및 재배선 구조(710)의 상부 표면을 덮는 몰드 재료(730)와 함께 도시되어 있다. 여기서 인캡슐란트로 또한 지칭되는, 비록 몰드 재료(730)가 제1반도체 다이(725) 및 제2반도체 다이(726)의 측부들 및 상부들을 완전히 덮는 것으로 도시되어 있으나, 이러한 경우일 필요는 없다. 예를 들면, 블록(830)은 다이 상부가 몰드 재료로부터 노출되도록 필름 어시스트 또는 다이 시일 몰딩 기술을 이용하는 단계를 포함할 수 있다.7C provides an illustrative view of various aspects of block 830, eg, a molding process. For example, the molded assembly 700C may include a mold material 730 covering the top surface of the first semiconductor die 725 , the second semiconductor die 726 , the underfill 728 , and the redistribution structure 710 ; are shown together. Although the mold material 730, also referred to herein as an encapsulant, is shown completely covering the sides and tops of the first semiconductor die 725 and the second semiconductor die 726, this need not be the case. For example, block 830 may include using a film assist or die seal molding technique to expose the top of the die from the mold material.

몰딩 재료(730)는 일반적으로, 예를 들면, 언더필(728)로 덮이지 않은 다이(725,726)의 영역들에 직접 접촉하거나 덮는다. 다이(725,726)의 측부의 적어도 제1영역이 언더필(728)에 의해 덮이는 하나의 예시적 시나리로서, 몰드 재료(730)는 다이(725,726)의 측부들의 제2영역에 직접 접촉하거나 이를 덮을 수 있다. 몰드 재료(730)는, 예를 들면, 다이(725,726)(e.g., 언더필(728)로 이미 충진되지 않은 공간의 적어도 한 영역) 사이의 공간을 채운다.Molding material 730 generally directly contacts or covers areas of die 725 , 726 that are not covered, for example, with underfill 728 . As one exemplary scenario in which at least a first area of the sides of the dies 725 and 726 is covered by an underfill 728 , the mold material 730 directly contacts or has a second area of the sides of the dies 725 and 726 . can be covered Mold material 730 fills the space between, for example, dies 725 and 726 (e.g., at least one region of the space not already filled with underfill 728 ).

일반적으로, 블록(830)은 RD 웨이퍼를 몰딩하는 단계를 포함할 수 있다. 따라서, 본 발명의 범위가 임의의 특정한 몰딩 재료, 구조 및/또는 기술의 특징들로 제한되어서는 안 된다.In general, block 830 may include molding the RD wafer. Accordingly, the scope of the present invention should not be limited to the features of any particular molding material, structure, and/or technique.

예시적 방법(800)은, 블록(835)에서, 블록(830)에서 적용된 몰드 재료를 그라인딩(또는 박형화)하는 단계를 포함할 수 있다. 블록(835)은 임의의 다양한 방식들, 여기에 설명되는 비제한적인 예들로, 몰드 재료를 그라인딩(또는 박형화)하는 단계를 포함할 수 있다. 블록(835)은, 예를 들면, 도 2에 도시되고 여기에서 논의된 예시적 방법(200)의 블록(235)의 임의의 또는 모든 특징들을 공유할 수 있다.Exemplary method 800 may include, at block 835 , grinding (or thinning) the mold material applied at block 830 . Block 835 may include grinding (or thinning) the mold material in any of a variety of ways, including non-limiting examples described herein. Block 835 may share any or all features of block 235 of example method 200 shown in FIG. 2 and discussed herein, for example.

도 7d는 블록(835)의 다양한 양태들, 예를 들면, 몰드 그라인딩 양태들의 예시적 도면을 제공한다. 조립체(700D)가 다이(725,726)의 상부 면들을 드러내도록 박형화된 몰드 재료(730)(e.g., 도 7c에 도시된 몰드 재료(730)에 대하여)와 함께 도시되어 있다. 이러한 예에서, 다이(725,726)는 또한 그라인딩(또는 박형화) 되어 있을 수 있다.7D provides an exemplary diagram of various aspects of block 835 , eg, mold grinding aspects. Assembly 700D is shown with mold material 730 (e.g., with respect to mold material 730 shown in FIG. 7C) thinned to expose top surfaces of dies 725 and 726. In this example, the dies 725 and 726 may also be ground (or thinned).

여기서 설명된 바와 같이, 몰드 재료(730)는 오버 몰드 조립체로 다이(725,726)를 덮으며 잔존할 수 있다. 예를 들면, 몰드 재료(730)는 그라인딩되지 않거나, 또는 몰드 재료(730)는 다이(725,726)를 노출시키는 높이까지는 그라인딩되지 않을 수 있다As described herein, the mold material 730 may remain covering the dies 725 and 726 with an over mold assembly. For example, the mold material 730 may not be ground, or the mold material 730 may not be ground to a height exposing the dies 725 and 726 .

일반적으로, 블록(835)은 블록(830)에서 적용된 몰드 재료를 그라인딩(또는 박형화)하는 단계를 포함한다. 따라서, 본 발명의 범위가 임의의 특정한 양 또는 타입의 그라인딩(또는 박형화)의 특징들에 의해 제한되어서는 안 된다In general, block 835 includes grinding (or thinning) the mold material applied in block 830 . Accordingly, the scope of the present invention should not be limited by the characteristics of any particular amount or type of grinding (or thinning).

예시적 방법(800)은, 블록(845)에서, 몰딩된 RD 웨이퍼(e.g., 상부 또는 그의 몰드 측부)를 웨이퍼 지지 구조에 부착하는 단계를 포함할 수 있다. 블록(845)은 임의의 다양한 방식으로, 여기에서 제공된 비제한적인 예들로, 몰딩된 RD 웨이퍼를 웨이퍼 지지 구조에 부착하는 단계를 포함할 수 있다. 블록(845)은, 예를 들면, 도 2에 도시되고 여기에서 논의된 예시적 방법(200)의 블록(245)의 임의의 또는 모든 특징들을 공유할 수 있다.Exemplary method 800 may include, at block 845 , attaching a molded RD wafer (e.g., top or mold side thereof) to a wafer support structure. Block 845 may include attaching the molded RD wafer to the wafer support structure in any of a variety of ways, including non-limiting examples provided herein. Block 845 may share any or all features of block 245 of example method 200 shown in FIG. 2 and discussed herein, for example.

도 7e는 블록(845)의 다양한 양태들, 예를 들면 웨이퍼 지지 부착 양태들의 예시적 도면을 제공한다. 웨이퍼 지지 구조(750)가 몰드 재료(730) 및 다이(725,726)의 상부 측에 부착된다. 웨이퍼 지지 구조(750)는, 예를 들면, 접착제로 접착될 수 있다. 다이(725,726)의 상부가 몰딩 재료(730)로 덮인 조립체에서, 웨이퍼 지지 구조(750)는 몰드 재료(730)의 상부에만 오직 직접 연결됨을 주목하라.7E provides an exemplary view of various aspects of block 845 , for example, wafer support attachment aspects. A wafer support structure 750 is attached to the top side of the mold material 730 and the dies 725 and 726 . The wafer support structure 750 may be adhered, for example, with an adhesive. Note that in an assembly in which the tops of the dies 725 and 726 are covered with the molding material 730 , the wafer support structure 750 is directly connected only to the top of the mold material 730 .

일반적으로, 블록(845)은 몰딩된 RD 웨이퍼(e.g., 상부 또는 그의 몰드 측부)를 웨이퍼 지지 구조에 부착하는 단계를 포함할 수 있다. 따라서, 본 발명의 범위가 임의의 특정한 형태의 웨이퍼 지지 구조의 특징들에 의해 또는 웨이퍼 지지 구조를 부착하는 임의의 특정한 방식의 특징들에 의해 제한되어서는 안 된다.In general, block 845 may include attaching a molded RD wafer (e.g., top or mold side thereof) to a wafer support structure. Accordingly, the scope of the present invention should not be limited by the features of any particular type of wafer support structure or by the features of any particular manner of attaching the wafer support structure.

예시적 방법(800)은, 블록(850)에서, RD 웨이퍼로부터 지지층을 제거하는 단계를 포함할 수 있다. 블록(850)은 임의의 다양한 방식으로, 여기에 설명된 비제한적인 예들로 지지층을 제거하는 단계를 포함할 수 있다. 블록(850)은, 예를 들면, 도 2에 도시되고 여기에서 논의된 예시적 방법(200)의 블록(250)의 임의의 또는 모든 특징들을 공유할 수 있다.Exemplary method 800 may include, at block 850 , removing the support layer from the RD wafer. Block 850 may include removing the support layer in any of a variety of ways, such as non-limiting examples described herein. Block 850 may share any or all features of block 250 of example method 200 shown in FIG. 2 and discussed herein, for example.

여기에서 논의된 바와 같이, RD 웨이퍼는 RD 구조가 형성 및/또는 옮겨진 지지층을 포함할 수 있다. 지지층은, 예를 들면, 반도체 재료(e.g., 실리콘)를 포함할 수 있다. 지지층이 실리콘 웨이퍼 층을 포함하는 예시적 시나리오로서, 블록(850)은 실리콘(e.g., RD 웨이퍼로부터 모든 실리콘을 제거, RD 웨이퍼로부터, 예를 들면, 적어도 90% 또는 95%의 실리콘 대부분을 제거 등)을 제거하는 단계를 포함할 수 있다. 예를 들면, 블록(850)은 실리콘의 대부분을 기계적으로 그라인딩하는 단계를 포함하고, 이어서 잔존 부분(또는 잔존 부분의 대부분)을 제거하기 위해 건식 또는 습식 화학적 식각이 수행된다. 지지층이 그것 위에 형성된(또는 옮겨진) RD 구조에 느슨하게 부착된 예시적 시나리오로서, 블록(850)은 RD 구조로부터 지지층을 분리하기 위해 분리하거나 박리하는 단계를 포함한다.As discussed herein, the RD wafer may include a support layer on which the RD structure has been formed and/or transferred. The support layer may comprise, for example, a semiconductor material (e.g., silicon). As an exemplary scenario in which the support layer includes a layer of a silicon wafer, block 850 may remove most of the silicon (e.g., all silicon from the RD wafer, e.g., at least 90% or 95% of the silicon from the RD wafer, etc.). ) may include the step of removing For example, block 850 includes mechanically grinding a majority of the silicon, followed by a dry or wet chemical etch to remove the remaining portion (or a majority of the remaining portion). As an exemplary scenario in which a support layer is loosely attached to an RD structure formed (or displaced) thereon, block 850 includes separating or peeling to separate the support layer from the RD structure.

도 7f는 블록(850)의 다양한 양태들, 예를 들면 지지층 제거 양태들의 예시적 도면을 제공한다. 예를 들면, 지지층(705)(도 7e에 도시된)이 RD 구조(710)로부터 제거된다. 도시된 예로서, RD 구조(710)는 여전히 여기서 논의된 바와 같이 베이스 유전층(711)(e.g., 산화막, 질화막 등)을 포함할 수 있다.7F provides an exemplary diagram of various aspects of block 850 , for example, support layer removal aspects. For example, the support layer 705 (shown in FIG. 7E ) is removed from the RD structure 710 . As an example shown, RD structure 710 may still include a base dielectric layer 711 (e.g., oxide, nitride, etc.) as discussed herein.

일반적으로, 블록(850)은 RD 웨이퍼로부터 지지층을 제거하는 단계를 포함할 수 있다. 따라서, 본 발명의 범위는 웨이퍼 재료의 임의의 특정한 타입의 특징들로 또는 웨이퍼 재료 제거의 임의의 특정한 방식의 특징들로 제한되어서는 안 된다.In general, block 850 may include removing the support layer from the RD wafer. Accordingly, the scope of the present invention should not be limited to features of any particular type of wafer material or features of any particular manner of wafer material removal.

예시적 방법(800)은, 블록(855)에서, RD 구조의 산화막을 식각하기 위해 제1재배선층(RDL) 유전층을 형성하거나 패터닝하는 단계를 포함할 수 있다. 블록(855)은 임의의 다양한 방식들, 여기에 설명된 비제한적인 예들로 제1RDL 유전층을 형성하고 패터닝하는 단계를 포함한다. 블록(855)은, 예를 들면, 도 2에 도시되고 여기에서 설명된 예시적 방법(200)의 블록(255)의 임의의 또는 모든 특징들을 공유할 수 있다.Exemplary method 800 may include, at block 855 , forming or patterning a first redistribution layer (RDL) dielectric layer to etch the oxide film of the RD structure. Block 855 includes forming and patterning the first RDL dielectric layer in any of a variety of ways, non-limiting examples described herein. Block 855 may share any or all features of block 255 of example method 200 shown in FIG. 2 and described herein, for example.

도 7g는 블록(855)의 다양한 양태의 예시적 도면을 제공한다. 예를 들면, 제1RDL 유전층(771)이 베이스 유전층(711) 위에 형성되고 패터닝된다. 패터닝된 제1RDL 유전층(771)은, 예를 들면, 베이스 유전층(711)이 식각(e.g., 블록(860)에서)될 수 있음에 의해, 그리고 제1트레이스들(또는 그의 영역들)이 형성될 수 있는(e.g., 블록(865)에서), 제1RDL 유전층(771)을 관통하는 비아들(772)을 포함할 수 있다.7G provides an exemplary diagram of various aspects of block 855 . For example, a first RDL dielectric layer 771 is formed and patterned over the base dielectric layer 711 . The patterned first RDL dielectric layer 771 may be formed by, for example, the base dielectric layer 711 may be etched (e.g., at block 860 ) and where the first traces (or regions thereof) will be formed. Vias 772 through the first RDL dielectric layer 771 may be included (e.g., at block 865 ).

일반적으로, 블록(855)은, 예를 들면, 베이스 유전층 위에, 제1유전층(e.g., 제1RDL 유전층)을 형성하고 패터닝하는 단계를 포함한다. 따라서, 본 발명의 범위는 특정한 유전층의 특징들에 의해 또는 유전층을 형성하는 특정한 방법의 특징들에 의해 제한되어서는 안 된다.Generally, block 855 includes forming and patterning a first dielectric layer (e.g., a first RDL dielectric layer), eg, over a base dielectric layer. Accordingly, the scope of the present invention should not be limited by the characteristics of a particular dielectric layer or by the features of a particular method of forming the dielectric layer.

예시적 방법(800)은, 블록(860)에서, 예를 들면, RD 구조로부터, 그것의 마스킹되지 않은 영역들과 같은, 베이스 유전층(e.g., 산화막, 질화막 등)을 식각하는 단계를 포함한다. 블록(860)은 임의의 다양한 방식들로, 여기에 설명된 비제한적인 예들로 식각을 수행하는 단계를 포함할 수 있다. 블록(860)은, 예를 들면, 도 2에서 도시되고 여기에서 논의된 예시적 방법(200)의 블록(260)의 임의의 또는 모든 특징들을 공유할 수 있다.Exemplary method 800 includes, at block 860, etching a base dielectric layer (e.g., oxide, nitride, etc.), such as unmasked regions thereof, from, for example, the RD structure. Block 860 may include performing etching in any of a variety of ways, with non-limiting examples described herein. Block 860 may share any or all features of block 260 of example method 200 shown in FIG. 2 and discussed herein, for example.

도 7g 는 블록(860)의 다양한 양태들의 예시적 도면을 제공한다. 예를 들면, 도 7f에서 제1도전성 트레이스들(712)의 아래에 도시된 베이스 유전층(711)의 영역들이 도 7g로부터 제거된다. 이것은, 예를 들면, 블록(865)에서 제1도전성 트레이스들(712)과 제1RDL 트레이스들 사이의 메탈-투-메탈 컨택(metal-to-metal contact)을 가능하게 한다.7G provides an exemplary diagram of various aspects of block 860 . For example, regions of the base dielectric layer 711 shown below the first conductive traces 712 in FIG. 7F are removed from FIG. 7G. This enables, for example, a metal-to-metal contact between the first conductive traces 712 and the first RDL traces at block 865 .

일반적으로, 블록(860)은, 예를 들면, 베이스 유전층을 식각하는 단계를 포함한다. 따라서, 본 발명의 범위는 그러한 식각을 수행하는 임의의 특정한 방식에 의해 제한되어서는 안 된다.In general, block 860 includes, for example, etching the base dielectric layer. Accordingly, the scope of the present invention should not be limited by any particular manner of performing such etching.

예시적 방법(800)은, 블록(865)에서, 재배선층(RDL) 트레이스들을 형성하는 단계를 포함할 수 있다. 블록(865)은 임의의 다양한 방식들로, 여기에 설명된 비제한적 예들로, RDL 트레이스들을 형성하는 단계를 포함할 수 있다. 블록(865)은, 예를 들면, 도 2에 도시되고 여기에서 논의된 예시적 방법(200)의 블록(265)의 임의의 또는 모든 특징들을 공유할 수 있다.The example method 800 may include, at block 865 , forming redistribution layer (RDL) traces. Block 865 may include forming RDL traces in any of a variety of ways, such as non-limiting examples described herein. Block 865 may share any or all features of block 265 of example method 200 shown in FIG. 2 and discussed herein, for example.

도 7g 및 도 7h는 블록(865)의 다양한 양태들, 예를 들면 RDL 트레이스 형성 양태들의 예시적 도면을 제공한다. 예를 들면, RDL 트레이스들의 제1영역(781)은 RDL 유전층(771)의 비아들(772)에 형성될 수 있고 그리고 그러한 비아들(772)에 의해 노출된 RD 구조(710)의 제1도전성 트레이스들(712)과 접촉한다. 또한, 예를 들면, 제1RDL 트레이스들의 제2영역(782)은 제1RDL 유전층(771) 위에 형성될 수 있다.7G and 7H provide exemplary diagrams of various aspects of block 865 , eg, aspects of RDL trace formation. For example, a first region 781 of the RDL traces may be formed in the vias 772 of the RDL dielectric layer 771 and the first conductivity of the RD structure 710 exposed by the vias 772 . contacts traces 712 . Also, for example, the second region 782 of the first RDL traces may be formed on the first RDL dielectric layer 771 .

일반적으로, 블록(865)은 재배선층(RDL) 트레이스들을 형성하는 단계를 포함할 수 있다. 따라서, 본 발명의 범위는 임의의 특정한 RDL 트레이스들의 특징들 또는 그와 같은 RDL 트레이스들을 형성하는 임의의 특정한 방식의 특징들로 제한되어서는 안 된다.In general, block 865 may include forming redistribution layer (RDL) traces. Accordingly, the scope of the present invention should not be limited to features of any particular RDL traces or features of any particular manner of forming such RDL traces.

비록 예시적 방법(800)이 블록(855)에서 하나의 RDL 유전층 및 블록(865)에서 하나의 RDL 트레이스층의 형성을 도시하였으나, 이러한 블록들은 원하는 만큼 여러 번 반복될 수 있음을 주목하라.Note that although exemplary method 800 illustrates the formation of one RDL dielectric layer at block 855 and one RDL trace layer at block 865, these blocks may be repeated as many times as desired.

예시적 방법(800)은, 블록(885)에서, RDL 트레이스들 위에 상호 연결 구조들을 형성하는 단계를 포함할 수 있다. 블록(885)은 임의의 다양한 방식으로, 여기에서 설명된 비제한적인 예들로 상호 연결 구조들을 형성하는 단계를 포함할 수 있다. 예를 들면, 블록(885)은 도 2에 도시되고 여기에서 논의된 예시적 방법(200)의 블록(285)의 임의의 또는 모든 특징들을 공유할 수 있다.The example method 800 may include, at block 885 , forming interconnect structures over the RDL traces. Block 885 may include forming interconnect structures in any of a variety of ways, with non-limiting examples described herein. For example, block 885 may share any or all features of block 285 of example method 200 shown in FIG. 2 and discussed herein.

블록(885)은, 예를 들면, RDL트레이스들 위에 도전성 필라들(e.g., 메탈 필라들, 카파 필라들, 솔더 뚜껑이 덮여진 필라들 등) 및/또는 도전성 범프들(e.g., 솔더 범프들 등)을 형성하는 단계를 포함할 수 있다. 예를 들면, 블록(885)은 도전성 필라들을 도금하거나, 도전성 범프들을 위치시키거나 또는 페이스팅하는 단계를 포함할 수 있다.Block 885 may include, for example, conductive pillars (e.g., metal pillars, kappa pillars, solder capped pillars, etc.) and/or conductive bumps (e.g., solder bumps, etc.) over the RDL traces. ) may include the step of forming For example, block 885 may include plating conductive pillars, positioning or pasting conductive bumps.

도 7i는 블록(885)의 다양한 양태들, 예를 들면 범프 형성 양태들의 예시적 도면을 제공한다. 예를 들면, 상호 연결 구조들(792)(e.g., 솔더 뚜껑이 덮여진 메탈 필라들, 예를 들면 카파 필라들로 도시됨)이 RDL 트레이스들(782)에 부착된다.7I provides an exemplary diagram of various aspects of block 885 , for example, bump forming aspects. For example, interconnect structures 792 (e.g., shown as solder capped metal pillars, eg, kappa pillars) are attached to the RDL traces 782 .

또한 프론트사이드(front side) 재배선층(RDL)으로 지칭될 수 있는 블록(855-885)에서 형성된 재배선층(들)이, 일반적으로 팬-인(fan-in) 조립체(e.g., 일반적으로 다이(725,726)의 풋프린트의 내측으로 연장함)로 도 7에 도시되어 있지만, 이는 예를 들면 상호 연결 구조(792)의 적어도 일부 영역이 일반적으로 다이(725,726)의 풋프린트의 외측으로 연장하는 팬-아웃(fan-out) 조립체로 형성될 수도 있다. 그러한 조립체의 비 한정적인 예들이 여기에서 설명된다.The redistribution layer(s) formed in blocks 855-885, which may also be referred to as a front side redistribution layer (RDL), is generally a fan-in assembly (e.g., generally a die) 725 and 726 (extending inward of the footprint of 725, 726), this may be the case, for example, in that at least some regions of interconnect structure 792 generally extend outwardly of the footprint of die 725, 726. It may also be formed as a fan-out assembly. Non-limiting examples of such assemblies are described herein.

일반적으로, 블록(885)은 예를 들면 RDL 트레이스들 위에 그리고/또는 RDL 유전층 위에 상호 연결 구조들을 형성하는 단계를 포함할 수 있다. 따라서, 본 발명의 범위는 임의의 특정한 상호 연결 구조들의 특징들에 의해 또는 상호 연결 구조들을 형성하는 임의의 특정한 방식에 의해 제한되어서는 안 된다.In general, block 885 may include forming interconnect structures over the RDL traces and/or over the RDL dielectric layer, for example. Accordingly, the scope of the present invention should not be limited by the characteristics of any particular interconnect structures or by any particular manner of forming the interconnect structures.

예시적 방법(800)은, 블록(890)에서, 블록(845)에서 부착된 웨이퍼 지지 구조를 디본딩(debonding)(또는 분리)하는 단계를 포함할 수 있다. 블록(890)은 임의의 다양한 방식들로, 여기에 설명된 비제한적인 양태들로, 그와 같은 디본딩을 수행하는 단계를 포함할 수 있다. 예를 들면, 블록(890)은 도 2에 도시되고 여기에서 논의된 예시적 방법(200)의 블록(290)의 임의의 또는 모든 특징들을 공유할 수 있다..Exemplary method 800 may include, at block 890 , debonding (or detaching) the wafer support structure attached at block 845 . Block 890 may include performing such debonding in any of a variety of ways, in non-limiting aspects described herein. For example, block 890 may share any or all features of block 290 of example method 200 shown in FIG. 2 and discussed herein.

도 7h 및 7i는 블록(890)의 다양한 양태들의 예시적 도면을 제공한다. 예를 들어, 도 7h에 도시된 웨이퍼 지지 구조(750)가 도 7i에서 제거된다.7H and 7I provide exemplary views of various aspects of block 890 . For example, the wafer support structure 750 shown in FIG. 7H is removed in FIG. 7I.

일반적으로, 블록(890)은 웨이퍼 지지 구조를 디본딩하는 단계를 포함한다. 따라서, 본 발명의 범위가 웨이퍼 지지 구조의 임의의 특정한 타입의 특징들에 의해 또는 웨이퍼 지지 구조를 디본딩하는 임의의 특정한 방식으로 제한되어서는 안 된다.In general, block 890 includes debonding the wafer support structure. Accordingly, the scope of the present invention should not be limited by any particular type of features of the wafer support structure or in any particular manner of debonding the wafer support structure.

예시적 방법(800)은, 블록(895)에서, 웨이퍼를 절단하는 단계를 포함한다. 블록(895)은 임의의 다양한 방식으로, 여기서 설명되는 비제한적 예들로, 웨이퍼를 절단하는 단계를 포함한다. 블록(895)은 도 2에 도시되고 여기에서 논의된 예시적 방법(200)의 블록(295)의 임의의 또는 모든 특징들을 공유할 수 있다..Exemplary method 800 includes, at block 895 , cutting the wafer. Block 895 includes cutting the wafer in any of a variety of ways, including non-limiting examples described herein. Block 895 may share any or all features of block 295 of example method 200 shown in FIG. 2 and discussed herein.

여기서 논의는 일반적으로 RD 웨이퍼의 단독 다이의 협의 공정(discussing processing)에 대하여 초점을 맞춰왔다. RD 웨이퍼의 단독 다이에 대한 그러한 초점은 오직 명료성을 위한 것이다. 여기에 논의된 모든 공정 단계들(블록들)은 전체 웨이퍼 위에서 수행될 수 있음으로 이해되어야 한다. 예를 들면, 여기서 도 7a-7l에 제공된 도면들의 각각 및 다른 도면들은 단독 웨이퍼 상에서 수십 또는 수백번 복제될 수 있다. 예를 들면, 분리 전까지, 도시된 디바이스 조립체들의 하나와 웨이퍼의 인접한 디바이스 조립체 사이에 분리가 없을 수도 있다.The discussion here has generally focused on the discussing processing of a single die of an RD wafer. Such a focus on a single die of an RD wafer is for the sake of clarity only. It should be understood that all process steps (blocks) discussed herein may be performed over the entire wafer. For example, each and other views of the figures provided herein in FIGS. 7A-7L may be replicated tens or hundreds of times on a single wafer. For example, there may be no separation between one of the device assemblies shown and an adjacent device assembly of the wafer until separation.

블록(895)은, 예를 들면, 웨이퍼로부터 개별적인 패키지로 절단(e.g., 기계적 펀치-컷팅, 기계적 소우-컷팅, 레이저 컷팅, 소프트 빔 컷팅, 플라즈마 컷팅 등)하는 단계를 포함할 수 있다. 그러한 절단의 결과가, 예를 들면, 도 7i에 도시된 패키지일 수 있다. 예를 들면, 절단은 패키지의 다수의 부품들의 동일한 평면을 갖는 측부 표면들을 포함하는 패키지의 측부 표면들을 포함할 수 있다. 예를 들면, 몰드 재료(730), RD 구조(710) 유전층들, RDL 유전층들(771), 언더필(728) 등의 임의의 또는 전체 측부 표면이 동일 평면을 이룰 수 있다.Block 895 may include, for example, cutting (e.g., mechanical punch-cutting, mechanical saw-cutting, laser cutting, soft beam cutting, plasma cutting, etc.) from the wafer into individual packages. The result of such cutting may be, for example, the package shown in FIG. 7I . For example, the cut may include side surfaces of a package that include coplanar side surfaces of multiple parts of the package. For example, any or all of the side surfaces of mold material 730 , RD structure 710 dielectric layers, RDL dielectric layers 771 , underfill 728 , etc. may be coplanar.

일반적으로, 블록(895)은 웨이퍼를 절단하는 단계를 포함할 수 있다. 따라서, 본 발명의 범위가 웨이퍼를 절단하는 임의의 특정한 방식의 특징들에 의해 제한되어서는 안 된다.In general, block 895 may include cutting the wafer. Accordingly, the scope of the present invention should not be limited by the features of any particular manner of cutting the wafer.

예시적 방법(800)은, 블록(896)에서, 조립체(700I)의 부착을 위한 서브스트레이트, 또는 웨이퍼 또는 그의 패널을 준비하는 단계를 포함한다. 블록(896)은 임의의 다양한 방식들로, 여기에서 설명된 비제한적인 예들로, 서브스트레이트를 준비하는 단계를 포함할 수 있다. 블록(896)은, 예를 들면, 도 2에 도시되고 여기에서 논의된 예시적 방법(200)의 블록들(205 및 210)의 임의의 또는 모든 특징들을 공유할 수 있다.Exemplary method 800 includes, at block 896 , preparing a substrate, or wafer, or panel thereof for attachment of assembly 700I. Block 896 may include preparing the substrate in any of a variety of ways, including non-limiting examples described herein. Block 896 may share any or all features of blocks 205 and 210 of example method 200 shown in FIG. 2 and discussed herein, for example.

서브스트레이트는, 예를 들면, 임의의 다양한 서브스트레이트의 특징들을 포함할 수 있다. 예를 들면, 서브스트레이트는 패키지 서브스트레이트, 마더보드 서브스트레이트, 라미네이트 서브스트레이트, 몰딩된 서브스트레이트, 반도체 서브스트레이트, 글래스 서브스트레이트 등을 포함할 수 있다. 블록(896)은, 예를 들면, 전기적 및/또는 기계적 부착을 위한 프론트 사이드 및/또는 백사이드 표면들을 포함할 수 있다. 블록(896)은, 예를 들면, 이러한 단계에서 패널 형태의 서브스트레이트 등의 패널을 남기고 그리고 후에 개별 패키지들을 절단하거나, 또는 이러한 단계에서 패널로부터 개별 서브스트레이트를 절단할 수 있다.A substrate may include, for example, characteristics of any of a variety of substrates. For example, the substrate may include a package substrate, a motherboard substrate, a laminate substrate, a molded substrate, a semiconductor substrate, a glass substrate, and the like. Block 896 may include, for example, front side and/or backside surfaces for electrical and/or mechanical attachment. Block 896 may, for example, leave a panel, such as a substrate in the form of a panel, at this step and later cut individual packages, or cut individual substrates from the panel at this step.

블록(896)은 또한 제조 시설에서 인접하거나 또는 상류의 제조 스테이션으로부터, 다른 지리적 위치 등으로부터 서브스트레이트를 수신하는 단계를 포함할 수 있다.Block 896 may also include receiving the substrate from a manufacturing station adjacent or upstream of the manufacturing facility, from another geographic location, or the like.

도 7j는 블록(896)의 다양한 양태들의 예시적 도면을 제공한다. 예를 들면, 조립체(700J)는 부착을 위해 준비된 예시적 서브스트레이트(793)를 포함한다.7J provides an exemplary diagram of various aspects of block 896 . For example, assembly 700J includes an exemplary substrate 793 ready for attachment.

일반적으로, 블록(896)은 조립체(700I)의 부착을 위한 서브스트레이트, 또는 웨이퍼 또는 그의 패널을 준비하는 단계를 포함할 수 있다. 따라서, 본 발명의 다양한 양태들의 범위가 특정한 서브스트레이트의 특징들에 의해 또는 서브스트레이트를 준비하는 임의의 특정한 방식의 특징들에 의해 제한되어서는 안 된다.In general, block 896 may include preparing a substrate, or wafer, or panel thereof for attachment of assembly 700I. Accordingly, the scope of the various aspects of the invention should not be limited by the features of a particular substrate or by the features of any particular manner of preparing the substrate.

예시적 방법(800)은, 블록(897)에서, 조립체를 서브스트레이트에 부착하는 단계를 포함한다. 블록(897)은 임의의 다양한 방식들로, 여기에서 설명된 비제한적인 예들로, 조립체(e.g., 도 7i에서 과장된 조립체(700I) 또는 다른 조립체)를 부착하는 단계를 포함할 수 있다. 블록(897)은, 예를 들면, 도 2에 도시되고 여기에서 논의된 예시적 방법(200)의 블록(220)의 임의의 또는 모든 특징들을 공유할 수 있다. Exemplary method 800 includes, at block 897 , attaching the assembly to the substrate. Block 897 may include attaching an assembly (e.g., assembly 700I or other assembly exaggerated in FIG. 7I ) in any of a variety of ways, including non-limiting examples described herein. Block 897 may share any or all features of block 220 of example method 200 shown in FIG. 2 and discussed herein, for example.

조립체는 임의의 다양한 조립체들, 여기에서 설명된 비제한적인 예들, 예를 들면 모든 도면들 및/또는 여기에서의 관련된 논의들의 특징들을 포함할 수 있다. 블록(897)은 임의의 다양한 방식들로 조립체를 부착하는 단계를 포함할 수 있다. 예를 들면, 블록(897)은 매스 리플로우, 열압착본딩(TCB), 도전성 에폭시 등을 이용하여 조립체를 서브스트레이트에 부착하는 단계를 포함할 수 있다.An assembly may include any of a variety of assemblies, non-limiting examples described herein, eg, features of all figures and/or related discussions herein. Block 897 may include attaching the assembly in any of a variety of ways. For example, block 897 may include attaching the assembly to the substrate using mass reflow, thermocompression bonding (TCB), conductive epoxy, or the like.

도 7j는 블록(897)의 다양한 양태들, 예를 들면, 조립체 부착 양태들의 예시적 도면을 제공한다. 예를 들면, 도 7i에 도시된 조립체(700I)는 서브스트레이트(793)에 부착된다.7J provides an exemplary view of various aspects of block 897 , eg, assembly attachment aspects. For example, assembly 700I shown in FIG. 7I is attached to substrate 793 .

비록 도 7j에 도시되어 있지는 않지만, 다양한 예시적 실시예들(e.g., 도 7k 및 7l에 도시된 바와 같이)에서, 상호 연결 구조들, 예를 들면 관통 몰드 상호 연결 구조들은, 서브스트레이트(793) 위에 형성될 수 있다. 이러한 예시적 실시예들에서, 서브스트레이트(793) 위의 상호 연결 구조들을 형성하는 것에 관한 것이기는 하지만, 블록(897)은 도 2에 도시되고 여기에서 논의된 예시적 방법(200)의 블록(215)의 임의의 또는 모든 특징들을 공유할 수 있다. 그러한 상호 연결 구조들은 조립체의 부착 이전 또는 이후에 수행되거나, 또는 블록(898)에서 언더필링 이전 또는 이후에 수행될 수 있음을 주목하라.Although not shown in FIG. 7J , in various exemplary embodiments (e.g., as shown in FIGS. 7K and 71), interconnect structures, e.g., through-mold interconnect structures, are formed by substrate 793 may be formed above. In these exemplary embodiments, although directed to forming interconnect structures over the substrate 793 , block 897 is a block ( ) of the exemplary method 200 shown in FIG. 2 and discussed herein. 215) may share any or all features. Note that such interconnect structures may be performed before or after attachment of the assembly, or may be performed before or after underfilling at block 898 .

일반적으로, 블록(897)은 조립체를 서브스트레이트에 부착하는 단계를 포함한다. 따라서, 본 발명의 범위는 임의의 특정한 조립체, 서브스트레이트의 특징들, 또는 조립체를 서브스트레이트에 부착하는 방식으로 제한되어서는 안 된다.In general, block 897 includes attaching the assembly to the substrate. Accordingly, the scope of the present invention should not be limited to any particular assembly, features of the substrate, or manner of attaching the assembly to the substrate.

예시적 방법(800)은, 블록(898)에서, 서브스트레이트 위에 조립체를 언더필링하는 단계를 포함할 수 있다. 블록(898)은 언더필링하는 임의의 다양한 방식들, 여기에서 설명되는 비제한적인 예들을 포함할 수 있다. 블록(898)은, 예를 들면, 도 2에 도시되고 여기에서 논의된 예시적 방법(200)의 블록(825) 및/또는 블록(225)의 임의의 또는 모든 특징들을 공유할 수 있다.Exemplary method 800 may include, at block 898 , underfilling the assembly over the substrate. Block 898 can include any of a variety of ways of underfilling, including non-limiting examples described herein. Block 898 may share any or all features of block 825 and/or block 225 of example method 200 shown in FIG. 2 and discussed herein, for example.

예를 들면, 블록(897)에서 조립체 부착 단계 이후, 블록(898)은 캐필러리 언더필을 이용하여 조립체를 언더필링하는 단계를 포함할 수 있다. 예를 들면, 언더필은 모세관 작용에 의해 조립체와 서브스트레이트 사이로 충분히 흐를 수 있는 점착성 강화 폴리머 재료를 포함할 수 있다.For example, after attaching the assembly at block 897 , block 898 may include underfilling the assembly using a capillary underfill. For example, the underfill may include a tack-reinforcing polymeric material capable of sufficiently flowing between the assembly and the substrate by capillary action.

또한 예를 들면, 블록(897)은, 조립체가 블록(897)(e.g., 열압착 본딩 공정을 이용함)에서 부착된 반면, 비도전성 페이스트(NCP) 및/또는 비도전성 필름(NCF) 또는 테이프를 이용하여 반도체 다이를 언더필링하는 단계를 포함할 수 있다. 예를 들면, 그러한 언더필 재료는 조립체의 부착 전에 증착(e.g., 인쇄, 스프레이 등)될 수 있다.Also for example, block 897 can be configured to use a non-conductive paste (NCP) and/or a non-conductive film (NCF) or tape while the assembly is attached at block 897 (e.g., using a thermocompression bonding process). may include underfilling the semiconductor die using For example, such underfill material may be deposited (e.g., printed, sprayed, etc.) prior to attachment of the assembly.

예시적 방법(800)에 도시된 모든 블록들과 마찬가지로, 블록(898)은 조립체와 서브스트레이트 사이의 공간에 접근할 수 있는 한 임의의 방법(800) 플로우에서 수행될 수 있다.Like all blocks shown in example method 800, block 898 can be performed in any method 800 flow as long as the space between the assembly and the substrate is accessible.

언더필링은 또한 예시적 방법(800)의 다른 블록에서 일어날 수 있다. 예를 들면, 언더필링은 서브스트레이트 몰딩 블록(899)(e.g., 몰디드 언더필을 이용함)의 부분으로서 수행될 수 있다.Underfilling may also occur in other blocks of example method 800 . For example, underfilling may be performed as part of the substrate molding block 899 (e.g., using a molded underfill).

도 7k는 블록(898)의 다양한 양태들, 예를 들면 언더필링 양태들의 예시적 도면을 제공한다. 언더필(794)은 조립체(700I)와 서브스트레이트(793)의 사이에 위치된다.7K provides an exemplary diagram of various aspects of block 898, eg, underfilling aspects. An underfill 794 is positioned between the assembly 700I and the substrate 793 .

비록 언더필(794)이 일반적으로 평평하게 도시되어 있으나, 언더필은 솟아 오르고 그리고 조립체(700I) 및/또는 다른 부품들의 측면 상에 필렛(fillet)을 형성할 수 있다. 하나의 예시적 시나리오로서, 조립체(700I) 측부 표면의 적어도 1/4 또는 적어도 1/2이 언더필 재료로 덮일 수 있다. 다른 예시적 시나리오로서, 조립체(700I)의 하나 이상 또는 모든 측부 표면들이 언더필 재료로 덮일 수 있다. 또한 예를 들면, 조립체(700I)와 다른 부품들 사이 및/또는 다른 부품들(다양한 도면들에 도시됨) 사이에 직접 공간의 상당 부분이 언더필 재료(794)로 채워질 수 있다. 예를 들면, 조립체(700I)와 측부 방향으로 인접한 부품들 사이에 공간의 적어도 1/2 또는 공간의 전부가 언더필 재료로 채워질 수 있다.Although underfill 794 is shown generally flat, the underfill may rise and form fillets on the sides of assembly 700I and/or other components. As one example scenario, at least one-quarter or at least one-half of the side surface of assembly 700I may be covered with an underfill material. As another example scenario, one or more or all of the side surfaces of assembly 700I may be covered with an underfill material. Also, for example, a significant portion of the space directly between assembly 700I and other components and/or between other components (shown in the various figures) may be filled with underfill material 794 . For example, at least one-half or all of the space between assembly 700I and laterally adjacent components may be filled with an underfill material.

도 7j에 도시된 바와 같이, 조립체(700J)는 다이(725,726)와 RDL 구조(710) 사이의 제1언더필(728), 그리고 RD 구조(710)와 서브스트레이트(793) 사이의 제2언더필(794)을 포함할 수 있다. 그러한 언더필(728,794)은, 예를 들면, 다를 수 있다. 예를 들면, 다이(725,726)와 RD 구조(710) 사이의 거리가 RD 구조(710)와 서브스트레이트(793) 사이의 거리에 보다 작은 예시적 시나리오에서, 제1언더필(728)은 제2언더필(794)보다 작은 필러 사이즈(filler size)(또는 높은 점도)를 일반적으로 포함할 수 있다. 다른 말로, 제2언더필(794)이 제1언더필(728)보다 덜 비싸다.As shown in FIG. 7J , assembly 700J includes a first underfill 728 between the dies 725 and 726 and the RDL structure 710 , and a second underfill 728 between the RD structure 710 and the substrate 793 . 794) may be included. Such underfills 728 and 794 may be different, for example. For example, in an exemplary scenario in which the distance between the dies 725 and 726 and the RD structure 710 is less than the distance between the RD structure 710 and the substrate 793 , the first underfill 728 is the second underfill (794) may generally include a smaller filler size (or higher viscosity). In other words, the second underfill 794 is less expensive than the first underfill 728 .

또한, 블록(898 및 825)에서 수행된 각각의 언더필링 공정들은 다를 수 있다. 예를 들면, 블록(898)은 비-전도성 페이스트(NCP) 언더필 방식의 이용을 포함할 수 있으나, 블록(825)은 캐필러리 언더필 방식의 이용을 포함할 수 있다.Also, each of the underfilling processes performed in blocks 898 and 825 may be different. For example, block 898 may include the use of a non-conductive paste (NCP) underfill scheme, while block 825 may include the use of a capillary underfill scheme.

다른 예로, 블록(825 및 898)은, 예를 들면 블록(897) 이후에, 같은 언더필링 공정에서 동시에 수행됨을 포함할 수 있다. 또한, 여기에서 논의된 바와 같이, 몰디드 언더필이 또한 이용될 수 있다. 그러한 예시적 시나리오로서, 서브스트레이트 몰딩 공정 동안 블록들(825 및/또는 898)의 어느 한쪽 또는 두군데에서 모두 언더필링을 수행하는 단계를 포함할 수 있다. 예를 들면, 블록(898)이 몰드 언더필 공정으로서 블록(899)에서 수행되는 반면, 블록(825)은 캐필러리 언더필을 수행하는 단계를 포함할 수 있다.As another example, blocks 825 and 898 may include concurrently performed in the same underfilling process, eg, after block 897 . Also, as discussed herein, a molded underfill may also be used. As such an example scenario, it may include performing underfilling in either or both of blocks 825 and/or 898 during the substrate molding process. For example, block 898 may be performed at block 899 as a mold underfill process, while block 825 may include performing a capillary underfill process.

일반적으로, 블록(898)은 블록(897)에서 서브스트레이트에 부착된 조립체 및/또는 부품들을 언더필링하는 단계를 포함할 수 있다. 또한, 본 발명의 범위는 언더필의 임의의 특정한 타입으로 또는 언더필링을 수행하는 임의의 특정한 방식에 의해 제한되어서는 안 된다.In general, block 898 may include underfilling the assembly and/or components attached to the substrate at block 897 . Furthermore, the scope of the present invention should not be limited by any particular type of underfill or by any particular manner of performing underfilling.

예시적 방법(800)이, 블록(899)에서, 서브스트레이트를 몰딩하는 단계를 포함할 수 있다. 블록(899)은 임의의 다양한 방식들로, 여기에서 설명된 비제한적인 예들로, 그와 같은 몰딩을 수행하는 단계를 포함할 수 있다. 블록(899)은, 예를 들면, 도 2에 도시되고 여기에서 논의된 예시적 방법(200)의 블록(830) 및/또는 블록(230)의 임의의 또는 모든 특징들을 공유할 수 있다.Exemplary method 800 may include, at block 899 , molding the substrate. Block 899 may include performing such molding in any of a variety of ways, including non-limiting examples described herein. Block 899 may share any or all features of block 830 and/or block 230 of example method 200 shown in FIG. 2 and discussed herein, for example.

예를 들면, 블록(899)은 만약 서브스트레이트 위에 형성된다면(e.g., 도전성 볼들, 타원체들, 컬럼들 또는 필라들(e.g., 도금된 필라들, 와이어들 또는 와이어 본드 와이어들 등) 등), 서브스트레이트의 상부 표면을 따라, 블록(897)에서 부착된 조립체를 따라서, TMV 상호 연결 구조들을 따라서 몰딩하는 단계를 포함할 수 있다.For example, block 899, if formed over a substrate (e.g., conductive balls, ellipsoids, columns or pillars (e.g., plated pillars, wires or wire bond wires, etc.), etc.), sub molding along the top surface of the straight, along the assembly attached at block 897, along the TMV interconnect structures.

블록(899)은, 예를 들면, 트랜스퍼 몰딩, 컴프레션 몰딩 등을 이용하는 단계를 포함할 수 있다. 블록(899)은, 예를 들면, 다수의 서브스트레이트가 패널 형태로 연결되고 함께 몰딩된 패널-몰딩 공정을 이용하는 단계를 포함할 수 있거나, 또는 블록(899)은 개별적으로 서브스트레이트를 몰딩하는 단계를 포함할 수 있다. 패널-몰딩 시나리오에서, 패널 몰딩 이후, 블록(899)이 개별 서브스트레이트가 서브스트레이트로부터 분리되는 절단 공정을 수행하는 단계를 포함할 수 있다.Block 899 may include, for example, using transfer molding, compression molding, or the like. Block 899 may include, for example, using a panel-molding process in which multiple substrates are connected to form a panel and molded together, or block 899 may include molding the substrates individually. may include. In a panel-molding scenario, after panel molding, block 899 may include performing a cutting process whereby the individual substrates are separated from the substrates.

몰딩 재료는, 예를 들면, 임의의 다양한 특징들을 포함할 수 있다. 예를 들면, 몰딩 재료(e.g., 에폭시 몰딩 컴파운드(EMC), 에폭시 레진 몰딩 컴파운드 등)는 예를 들면, 후속 공정에서 패키지 지지를 제공하기 위해, 상대적으로 높은 모듈러스를 포함할 수 있다. 또한, 예를 들면, 몰딩 재료는, 후속 공정에서 패키지 유연성을 제공하기 위해, 상대적으로 낮은 모듈러스를 포함할 수 있다.The molding material may include, for example, any of a variety of features. For example, a molding material (e.g., an epoxy molding compound (EMC), an epoxy resin molding compound, etc.) may comprise a relatively high modulus, eg, to provide package support in subsequent processing. Also, for example, the molding material may include a relatively low modulus to provide package flexibility in subsequent processing.

블록(899)은, 예를 들면, 블록(830)에서 이용된 몰드 재료와 다른 몰드 재료를 이용하는 단계를 포함할 수 있다. 예를 들면, 블록(899)은 블록(830)에서 이용된 몰드 재료보다 낮은 모듈러스를 갖는 몰드 재료를 이용할 수 있다. 그와 같은 시나리오로서, 조립체의 더욱 강건한 영역들에 다양한 힘들의 흡수를 위한 것이라면, 조립체의 주변 영역들보다 상대적으로 더 강할 수 있다. Block 899 may include, for example, using a mold material different from the mold material used in block 830 . For example, block 899 may use a mold material having a lower modulus than the mold material used in block 830 . As such a scenario, the more robust regions of the assembly may be relatively stronger than the surrounding regions, provided that they are for absorption of various forces.

조립체(700K)의 몰드 재료(735) 및 조립체(700I)의 몰드 재료(730)가 다르고 그리고/또는 다른 스테이지에서 형성되고 그리고/또는 다른 타입들의 공정들을 이용하여 형성되는 예시적 시나리오로서, 블록(899)(또는 다른 블록)은 몰드 재료(735)에 대한 접착을 위한 몰드 재료(730)를 준비하는 단계를 포함할 수 있다. 예를 들면, 몰드 재료(730)는 물리적으로 또는 화학적으로 식각될 수 있다. 몰드 재료(730)는, 예를 들면, 플라즈마로 식각될 수 있다. 또한 예를 들면, 그르브들(grooves), 홈들(indentations), 돌기들(protrusions), 또는 다른 물리적 특징들이 몰드 재료(730)에 형성될 수 있다. 또한, 예를 들면, 접착제가 몰드 재료(730) 상에 위치될 수 있다.As an example scenario in which mold material 735 of assembly 700K and mold material 730 of assembly 700I are formed at different and/or different stages and/or formed using different types of processes, block ( 899 (or other block) may include preparing the mold material 730 for adhesion to the mold material 735 . For example, the mold material 730 may be physically or chemically etched. Mold material 730 may be etched with plasma, for example. Also, for example, grooves, indentations, protrusions, or other physical features may be formed in the mold material 730 . Also, for example, an adhesive may be placed on the mold material 730 .

블록(899)은, 예를 들면, 블록(830)에서 이용된 것과 다른 타입의 몰딩 공정을 이용할 수 있다. 예시적인 시나리오로서, 블록(899)이 트랜스퍼 몰딩 공정을 이용하는 반면, 블록(830)은 컴프레션 몰딩 공정을 이용할 수 있다. 이와 같은 예시적 시나리오로서, 블록(830)은 컴프레션 몰딩에 특별히 적응된 몰드 재료를 이용할 수 있고, 블록(899)은 트랜스퍼 몰딩에 특별히 적응된 몰드 재료를 이용할 수 있다. 이러한 몰드 재료들은, 예를 들면, 분명히 다른 재료 특성들(흐름 특성들, 경화 특성들, 경도 특성들, 입자 크기 특징들, 화학적 화합물 특징들)을 가질 수 있다.Block 899 may use, for example, a different type of molding process than that used in block 830 . As an exemplary scenario, block 899 may use a transfer molding process, while block 830 may use a compression molding process. As such an exemplary scenario, block 830 may use a mold material specifically adapted for compression molding, and block 899 may use a mold material specifically adapted for transfer molding. Such mold materials may, for example, have distinctly different material properties (flow properties, curing properties, hardness properties, particle size properties, chemical compound properties).

여기서 설명된 바와 같이, 예를 들면, 블록(898)에 관련하여, 블록(899)의 몰딩 공정이 조립체(700I)와 서브스트레이트(793)의 사이에 언더필을 제공할 수 있고 그리고/또는 다이(725,726)와 RD 구조(710) 사이에 언더필을 제공할 수 있다. 그러한 예로서, 서브스트레이트(793) 및 조립체(700I)를 인캡슐레이팅하는 몰디드 언더필 재료 및/또는 RD 구조(710)와 반도체 다이(725,726)를 인캡슐레이팅하는 몰드 재료 사이에 재료 균일성이 있을 수 있다.As described herein, for example, with respect to block 898 , the molding process of block 899 may provide an underfill between assembly 700I and substrate 793 and/or die ( An underfill may be provided between 725 and 726 and the RD structure 710 . As such, the material uniformity between the molded underfill material encapsulating the substrate 793 and assembly 700I and/or the mold material encapsulating the RD structure 710 and the semiconductor dies 725 and 726 is there may be

도 7k는 블록(899)의 다양한 양태들, 예를 들면 몰딩 양태들의 예시적 도면을 제공한다. 예를 들면, 몰딩된 조립체(700K)가 상호 연결 구조들(795) 및 조립체(700I)를 덮는 몰드 재료(735)와 함께 도시되어 있다. 여기서 인캡슐란트로 지칭될 수 있는 몰드 재료(735)가 노출된 조립체(700I)의 상부를 남겨 놓는 것으로 도시되어 있으나, 이러한 경우일 필요는 없다. 예를 들면, 블록(899)은 조립체(700I)를 완전히 덮고 이후 조립체(700I)의 상부를 노출시키도록 박형화(또는 그라인딩) 동작을 할 필요가 없다.7K provides an exemplary diagram of various aspects of block 899 , eg, molding aspects. For example, a molded assembly 700K is shown with interconnect structures 795 and mold material 735 covering assembly 700I. Although mold material 735 , which may be referred to herein as an encapsulant, is shown leaving the top of assembly 700I exposed, this need not be the case. For example, block 899 does not require a thinning (or grinding) operation to completely cover assembly 700I and then expose the top of assembly 700I.

몰드 재료(735)는 일반적으로, 예를 들면, 언더필(794)로 덮이지 않은 조립체(700I)의 영역들에 직접 접촉하고 이를 덮을 수 있다. 예를 들면 조립체(700I)의 측부들의 적어도 제1영역이 언더필(794)로 덮인 시나리오에서, 몰드 재료(735)는 조립체(700I)의 측부들의 제2영역에 직접 접촉하고 이를 덮을 수 있다. 또한, 몰드 재료(735)는 측부 방향으로 서브스트레이트(793)의 엣지로 확장될 수 있고 따라서 서브스트레이트(793)와 동일 평면인 측부 표면을 포함할 수 있다. 그러한 조립체는, 예를 들면, 패널-몰딩 방식으로 형성될 수 있으며, 이후 패널로부터 패키지들을 분리하는 싱귤레이션이 수행될 수 있다.Mold material 735 may generally directly contact and cover areas of assembly 700I that are not covered, for example, with underfill 794 . For example, in a scenario where at least a first area of the sides of assembly 700I is covered with underfill 794 , mold material 735 may directly contact and cover a second area of the sides of assembly 700I. Further, the mold material 735 may extend to the edge of the substrate 793 in a lateral direction and thus may include a side surface that is coplanar with the substrate 793 . Such an assembly may be formed, for example, in a panel-molding manner, and then singulation to separate the packages from the panel may be performed.

일반적으로, 블록(899)은 서브스트레이트를 몰딩하는 단계를 포함한다. 따라서, 본 발명의 범위는 임의의 특정한 몰딩 재료, 구조 및/또는 기술로 제한되어서는 안 된다.In general, block 899 includes molding the substrate. Accordingly, the scope of the present invention should not be limited to any particular molding material, structure, and/or technique.

예시적 방법(800)은, 블록(886)에서 예를 들면 조립체가 블록(897)에서 부착된 대향되는 측부의 서브스트레이트의 측부 상에, 서브스트레이트 상에 상호 연결 구조들을 형성하는 단계를 포함한다. 상호 연결 구조들은 상호 연결 구조들의 임의의 다양한 타입들의 특징들, 예를 들면 반도체 패키지를 다른 패키지 또는 마더보드에 연결하기 위해 이용되는 구조들을 포함할 수 있다. 예를 들면, 상호 연결 구조들은 도전성 볼들(e.g., 솔더 볼들) 또는 범프들, 도전성 포스트들 등을 포함할 수 있다. Exemplary method 800 includes forming interconnect structures on the substrate at block 886 , for example on the side of the substrate on the opposite side to which the assembly is attached at block 897 . . The interconnect structures may include features of any of the various types of interconnect structures, for example structures used to connect a semiconductor package to another package or motherboard. For example, the interconnect structures may include conductive balls (e.g., solder balls) or bumps, conductive posts, and the like.

도 7k는 블록(886)의 다양한 양태들, 예를 들면 상호 연결 형성 양태들의 예시적 도면을 제공한다. 예를 들면, 상호 연결 구조들(792)은 서브스트레이트(793)의 랜드(791)에 부착되어 도시되어 있다.7K provides an exemplary diagram of various aspects of block 886, eg, aspects of forming interconnections. For example, interconnect structures 792 are shown attached to lands 791 of substrate 793 .

일반적으로, 블록(886)은 서브스트레이트 상의 상호 연결 구조들을 형성하는 단계를 포함한다. 따라서, 본 발명의 범위는 특정한 상호 연결 구조들의 특징들에 의해 또는 그러한 구조들을 형성하는 임의의 특정한 방식으로 제한되어서는 안 된다.In general, block 886 includes forming interconnect structures on the substrate. Accordingly, the scope of the present invention should not be limited by the characteristics of or in any particular manner of forming such structures.

여기에서 논의된 바와 같이, 언더필(728)은 다이(725,726)의 측부들 중 적어도 일 영역을 덮을 수 있고, 그리고/또는 언더필(794)은 조립체(700I)의 측부들 중 적어도 일 영역을 덮을 수 있다. 도 7l은 그러한 적용의 예시적 예를 제공한다. 예를 들면, 조립체(700I)가 다이(725,726)의 측부들 중 일 영역에 접촉하는 언더필(728)과 함께 도시되어 있다. 여기서 논의된 바와 같이, 절단 공정 중, 언더필(728)이 절단될 수 있고, RDL 구조(710)의 측부 표면, 몰드 재료(730)의 측부 표면 그리고 언더필(728)의 측부 표면을 포함하는 평평한 측부 표면을 포함하는 조립체(700I)를 형성한다.As discussed herein, underfill 728 may cover at least one of the sides of die 725 , 726 , and/or underfill 794 may cover at least one of the sides of assembly 700I. have. 7L provides an illustrative example of such an application. For example, assembly 700I is shown with underfill 728 contacting one of the sides of die 725 and 726 . As discussed herein, during the cutting process, the underfill 728 may be cut and a flat side including the side surface of the RDL structure 710 , the side surface of the mold material 730 and the side surface of the underfill 728 . An assembly 700I comprising a surface is formed.

또한 패키지로 지칭될 수 있는 조립체(700L)가 조립체(700I)의 측부들 중 한 영역(e.g., RD 구조(710)의 측부들, 언더필(728)의 측부들, 및 몰드 재료(730)의 측부들)에 접촉하는 언더필(794)과 함께 도시되어 있다. 여기에서 논의된 바와 같이, 언더필(794)은, 다양한 실시예들에서, 몰드 재료(735)와 동일한 재료인 몰디드 언더필을 포함할 수 있음을 주목하라. 몰드 재료(735)가 서브스트레이트(793), 상호 연결 구조들(795), 언더필(794), 및 조립체(700I)를 인캡슐레이션하는 것으로 도시되어 있다. 비록 예시적 실시예에서, 조립체(700I) 및 상호 연결 구조들(795)의 상부들이 몰드 재료(735)로부터 노출되어 있으나, 이러한 경우일 필요는 없다.Assembly 700L, which may also be referred to as a package, includes a region of one of the sides of assembly 700I (e.g., sides of RD structure 710 , sides of underfill 728 , and sides of mold material 730 ). ) are shown with an underfill 794 in contact. Note that, as discussed herein, the underfill 794 may include a molded underfill that, in various embodiments, is the same material as the mold material 735 . Mold material 735 is shown encapsulating substrate 793 , interconnect structures 795 , underfill 794 , and assembly 700I. Although in the exemplary embodiment, the tops of assembly 700I and interconnect structures 795 are exposed from mold material 735, this need not be the case.

도 7 및 8은 다양한 예시적 방법 양태들과 그들의 변형들을 도시하고 있다. 다른 예시적 방법 양태들이 이제 추가적인 도면을 참조하여 설명될 것이다.7 and 8 illustrate various exemplary method aspects and variations thereof. Other exemplary method aspects will now be described with reference to additional drawings.

도 7 및 8의 논의에서 여기서 논의된 바와 같이, 블록(835)은 하나 이상의 다이(725,726)를 노출시키기 위해 몰드 재료(730)를 그라인딩(또는 다른 박형화)하는 단계를 포함할 수 있다. 예가 도 7d에 제공된다.As discussed herein in the discussion of FIGS. 7 and 8 , block 835 may include grinding (or other thinning) the mold material 730 to expose one or more dies 725 , 726 . An example is provided in FIG. 7D .

또한 논의된 바와 같이, 블록(835)에서 몰드 그라인딩(또는 박형화)이 수행될 필요 없거나 또는 몰드 재료(730)로 덮인 다이(725,726)의 상부들을 여전히 남겨 놓는 정도까지 수행될 수 있다. 예가 도 9에 제공되며, 이는 몰드 재료(735)가 조립체(700I)의 다이(725,726)의 상부들을 덮는다.As also discussed, at block 835 mold grinding (or thinning) need not be performed or may be performed to the extent that it still leaves the tops of dies 725 and 726 covered with mold material 730 . An example is provided in FIG. 9 , in which mold material 735 covers the tops of dies 725 and 726 of assembly 700I.

또한, 여기에서 논의된 바와 같이, 예를 들면 다양한 예시적 실시예들에서, 블록(897) 및 도 7k 및 7l에 관하여, 상호 연결 구조들이 서브스트레이트 위에 형성될 수 있다. 예가 도 9에 제공된다. 예를 들면, 비록 상호 연결 구조들(795)의 상부들이 초기에 몰드 재료(735)에 의해 덮이지만, 비아들(940)이 상호 연결 구조들(795)을 드러내도록 몰드 재료(735)에서 제거되어 형성된다.Also, as discussed herein, interconnect structures may be formed over the substrate, for example with respect to block 897 and FIGS. 7K and 71 , in various exemplary embodiments. An example is provided in FIG. 9 . For example, although the tops of interconnect structures 795 are initially covered by mold material 735 , vias 940 are removed from mold material 735 to reveal interconnect structures 795 . is formed

또한, 다양한 예시적 실시예들에서, 도 7 및 8의 논의에서 여기에서 논의된 바와 같이, TMV 상호 연결 구조들이 서브스트레이트 위에 형성될 필요는 없다. 예가 도 10a에 제공된다. 도 10a에 도시된 바와 같이, 도 7k와 반대로, 형성된 TMV 상호 연결 구조들(795)이 없다. 또한, 도 10a에 도시된 바와 같이, 도 1k의 블록과 반대로, 몰드 재료(735)가 상호 연결 구조들을 덮지 않는다.Also, in various exemplary embodiments, the TMV interconnect structures need not be formed over the substrate, as discussed herein in the discussion of FIGS. 7 and 8 . An example is provided in FIG. 10A . As shown in FIG. 10A , as opposed to FIG. 7K , there are no TMV interconnect structures 795 formed. Also, as shown in FIG. 10A , in contrast to the block in FIG. 1K , the mold material 735 does not cover the interconnect structures.

또한, 예를 들면, 여기에서 설명된 바와 같이, 블록(899)의 몰드 그라인딩(또는 박형화)이 스킵되거나 또는 몰드 재료(735)로 덮인 조립체(700I) 및/또는 적어도 하나의 다이(725,726)의 상부를 남겨 놓는 정도까지 수행될 수 있다. 도 10a는 이러한 공정의 예시적 도면을 제공한다. 일반적으로, 도 10a의 조립체(1000A)는 상호 연결 구조들(795) 없이 조립체(700I)를 덮는 몰드 재료(735)와 함께, 도 7k의 조립체(700K)와 유사하다.Also, for example, as described herein, mold grinding (or thinning) of block 899 is skipped or covered with mold material 735 of assembly 700I and/or of at least one die 725, 726. It can be done to the extent that it leaves the top. 10A provides an exemplary diagram of such a process. In general, assembly 1000A of FIG. 10A is similar to assembly 700K of FIG. 7K , with mold material 735 covering assembly 700I without interconnect structures 795 .

또한, 여기에서 설명된 바와 같이, 블록(899)에서의 몰드 그라인딩(또는 박형화)이, 몰드 재료(735)(및/또는 몰드 재료(730))로부터 조립체(700I) 및/또는 조립체 중 다이(725,726)의 하나 이상의 상부들을 노출시키는 정도로 수행될 수 있다. 도 10b가 그러한 공정의 예시적 도면을 제공한다. 일반적으로, 도 10b의 조립체(1000B)는, 상호 연결 구조들(795)을 제외하고, 도 7k의 조립체(700K)와 유사하다.Also, as described herein, mold grinding (or thinning) at block 899 may result in assembly 700I and/or die of assembly from mold material 735 (and/or mold material 730). 725,726) to the extent of exposing one or more tops. 10B provides an exemplary diagram of such a process. In general, assembly 1000B of FIG. 10B is similar to assembly 700K of FIG. 7K , except for interconnect structures 795 .

다른 예로, 블록(897)의 논의에서 여기에서 설명된 바와 같이, TMV 상호 연결 구조들은 임의의 다양한 구조들, 예를 들면, 도전성 필라(e.g., 도금된 포스트 또는 필라, 수직 와이어 등)을 포함할 수 있다. 도 11a는 기판(793)에 부착된 도전성 필라들(1121)의 예시적 도면을 제공한다. 도전성 필라들(1121)은, 예를 들면, 서브스트레이트(793) 위에 도금되어 형성될 수 있다. 도전성 필라들(1121)은 또한, 예를 들면, 서브스트레이트(793)에 부착되고(e.g., 와이어-본드 부착, 솔더링 등) 수직 방향으로 연장된 와이어들(e.g., 와이어-본드 와이어들)을 포함할 수 있다. 도전성 필라들(1121)은, 예를 들면, 서브스트레이트(793)로부터 다이(725,726)의 높이보다 크거나, 하나 이상의 다이(725,726)의 높이와 같거나, 다이(725,726)의 높이보다 작은 높이로 연장될 수 있다. 임의의 필라들(1121)의 열의 개수가 형성될 수 있음을 주목하라. 일반적으로, 도 11a의 조립체(1100A)는 상호 연결 구조들로서 연장된 도전성 볼들(795) 대신 도전성 필라들(1121)을 갖는 도 7k의 조립체(700K)(몰드 컴파운드(735)를 제외하고)와 유사하다.As another example, as described herein in the discussion of block 897 , the TMV interconnect structures may include any of a variety of structures, eg, conductive pillars (e.g., plated posts or pillars, vertical wires, etc.). can 11A provides an exemplary view of conductive pillars 1121 attached to a substrate 793 . The conductive pillars 1121 may be formed by plating on the substrate 793 , for example. The conductive pillars 1121 also include, for example, wires attached to the substrate 793 (e.g., wire-bond attachment, soldering, etc.) and extending in the vertical direction (e.g., wire-bond wires). can do. The conductive pillars 1121 may be, for example, from the substrate 793 to a height greater than the height of the dies 725 and 726 , equal to the height of one or more dies 725 , 726 , or less than the height of the dies 725 , 726 . can be extended Note that any number of columns of pillars 1121 may be formed. In general, assembly 1100A of FIG. 11A is similar to assembly 700K (except mold compound 735) of FIG. 7K with conductive pillars 1121 instead of conductive balls 795 extending as interconnect structures. do.

예를 계속해서 설명하면, 도11b는 몰드 재료(735)로 덮여진 서브스트레이트(793), 도전성 필라들(1121), 조립체(700I)(e.g., 반도체 다이(725,726)), 및 언더필(794)을 도시한다. 몰딩은, 예를 들면, 예시적 방법(800)의 블록(899)에 따라 수행될 수 있다. 일반적으로, 도 11b 의 조립체(1100B)는 상호 연결 구조들로서 연장된 도전성 볼들(795) 대신 도전성 필라들(1121)과, 그리고 박형화가 되지 않거나 또는 조립체(700I)를 노출시킬 정도로 충분히 박형화되지 않은 몰드 재료(735)를 갖는 도 7k의 조립체(700K)와 유사하다. Continuing the example, FIG. 11B shows a substrate 793 covered with mold material 735 , conductive pillars 1121 , assembly 700I (e.g., semiconductor dies 725 and 726 ), and an underfill 794 . shows Molding may be performed, for example, according to block 899 of example method 800 . In general, assembly 1100B of FIG. 11B has conductive pillars 1121 instead of conductive balls 795 extending as interconnect structures, and a mold that is not thinned or not thinned enough to expose assembly 700I. Similar to assembly 700K of FIG. 7K with material 735 .

예를 여전히 계속해서 설명하면, 도 11c는 원하는 두께만큼 박형화된(e.g., 그라인딩된) 몰드 재료(735)를 도시한다. 박형화는, 예를 들면, 예시적 방법(800)의 블록(899)에 따라 수행될 수 있다. 예를 들어, 도전성 필라들(1121) 및/또는 조립체(700I)(e.g., 몰드 재료(730) 및/또는 반도체 다이(725,726)를 포함)가 박형화될 수 있음을 주목하라. 예를 들면, 몰드 재료(735)의 박형화가 도전성 필라들(1121)의 상부 단부들을 노출시킬 수 있다. 그러나, 만약 몰드 재료(735)가 도전성 필라들(1121)의 상부 단부들을 노출시키지 않는 대신, 몰드 제거 동작이 수행될 수 있다. 비록 조립체(1100C)가 노출된 조립체(700I)의 반도체 다이(725,726)의 상부들로 도시되어 있지만, 상부들이 노출될 필요는 없음을 주목하라.Still continuing the example, FIG. 11C shows the mold material 735 thinned (e.g., ground) to a desired thickness. Thinning may be performed, for example, according to block 899 of example method 800 . Note that, for example, conductive pillars 1121 and/or assembly 700I (e.g., including mold material 730 and/or semiconductor die 725, 726) may be thinned. For example, thinning of the mold material 735 may expose the upper ends of the conductive pillars 1121 . However, if the mold material 735 does not expose the upper ends of the conductive pillars 1121 , a mold removal operation may be performed. Note that although assembly 1100C is shown with the tops of semiconductor die 725 and 726 of assembly 700I exposed, the tops need not be exposed.

일반적으로, 도 11c 의 조립체(1100C)는 상호 연결 구조들로서 연장된 도전성 볼들(795) 대신 도전성 필라들(1121)을 갖는 도 7k의 조립체(700K)와 유사하다.In general, assembly 1100C of FIG. 11C is similar to assembly 700K of FIG. 7K with conductive pillars 1121 instead of conductive balls 795 extending as interconnect structures.

예를 계속 설명하면, 도 11c에 도시된 조립체(1100C)는 몰드 재료(735) 및 조립체(700I)(e.g., 몰드 재료(730) 및/또는 반도체 다이(725,726)를 포함하여)를 따라 재배선층(RDL)(1132)을 형성하는 추가적인 공정이 수행될 수 있다. 도 11d는 그와 같은 공정의 예를 도시한다. 재배선층(1132)은 여기서 백사이드 재배선(RDL)층(1132)으로 또한 지칭될 수 있다. 비록 이러한 백사이드 RDL 형성이 예시적 방법(800)의 블록들 중의 하나에 명시적으로 도시되어 있지는 않지만, 그와 같은 동작이, 예를 들면 몰드 그라인딩 동작(만약 수행된다면) 블록(899) 이후인, 임의의 블록들에서 수행될 수 있다.Continuing the example, assembly 1100C shown in FIG. 11C includes redistribution layers along mold material 735 and assembly 700I (e.g., including mold material 730 and/or semiconductor dies 725 and 726). An additional process of forming (RDL) 1132 may be performed. 11D shows an example of such a process. The redistribution layer 1132 may also be referred to herein as a backside redistribution (RDL) layer 1132 . Although this backside RDL formation is not explicitly shown in one of the blocks of the exemplary method 800 , such an operation is, for example, after a mold grinding operation (if performed) block 899 , It can be performed in any blocks.

도 11D에 도시된 바와 같이, 제1백사이드 유전층(1133)이 몰드 재료(735) 및 조립체(700I)(e.g., 몰드 재료(730) 및/또는 반도체 다이(725,726)를 포함) 위에 형성되고 패터닝될 수 있다. 제1백사이드 유전층(1133)이, 예를 들면, 비록 다른 표면 상이기는 하지만, 블록(855)에서 형성된 RDL 유전층(771)과 같거나 유사한 방식으로 형성되고 패터닝될 수 있다. 예를 들면, 제1백사이드 유전층(1133)이 몰드 재료(735) 위에 그리고/또는 조립체(700I)(e.g., 몰드 재료(730) 및/또는 반도체 다이(725,726)을 포함) 위에, 예를 들면 다이(725,726)의 노출된 백사이드 표면들 위에 직접, 다이(725,726)의 백사이드 표면들을 덮는 몰드 재료(730 또는 735) 위에 형성될 수 있고, 그리고 비아들(1134)이 도전성 필라들(1121)의 적어도 상부들을 노출시키기 위해 제1백사이드 유전층(1133)에 형성될 수 있다(e.g., 식각, 제거에 의해).11D, a first backside dielectric layer 1133 is to be formed and patterned over mold material 735 and assembly 700I (e.g., including mold material 730 and/or semiconductor dies 725, 726). can First backside dielectric layer 1133 may be formed and patterned, for example, in the same or similar manner as RDL dielectric layer 771 formed in block 855, albeit on a different surface. For example, first backside dielectric layer 1133 over mold material 735 and/or over assembly 700I (e.g., including mold material 730 and/or semiconductor dies 725 and 726), for example a die Directly over the exposed backside surfaces of 725 , 726 , over mold material 730 or 735 covering the backside surfaces of die 725 , 726 , and vias 1134 at least a top of conductive pillars 1121 . may be formed on the first backside dielectric layer 1133 (e.g., by etching, ablation) to expose them.

백사이드 트레이스들(1135)이 제1백사이드 유전층(1133) 위에 그리고 제1백사이드 유전층(1133)의 비아들(1134) 내측에 형성될 수 있다. 백사이드 트레이스들(1135)이 따라서 도전성 필라들(1121)에 전기적으로 연결될 수 있다. 백사이드 트레이스들(1135)은, 예를 들면, 블록(865)에서 형성된 RDL 트레이스들(782)와 같거나 유사한 방식으로 형성될 수 있다. 백사이드 트레이스들(1135)의 모두는 아니지만 적어도 몇몇은, 예를 들면, 도전성 필라들(1121)로부터 조립체(700I)(e.g., 몰드 재료(730) 및/또는 반도체 다이(725,726))의 바로 상부 영역까지 연장될 수 있다. 백사이드 트레이스들(1135)의 적어도 몇몇은 또한, 예를 들면, 도전성 필라들(1121)로부터 조립체(700I)(e.g., 몰드 재료(730) 및/또는 반도체 다이(725,726))의 바로 상부 위치가 아닌 영역까지 연장될 수 있다.Backside traces 1135 may be formed over the first backside dielectric layer 1133 and inside the vias 1134 of the first backside dielectric layer 1133 . Backside traces 1135 may thus be electrically connected to conductive pillars 1121 . Backside traces 1135 may be formed, for example, in the same manner as or similar to RDL traces 782 formed at block 865 . At least some, but not all, of the backside traces 1135 are, for example, the region immediately above the assembly 700I (e.g., the mold material 730 and/or the semiconductor die 725 , 726 ) from the conductive pillars 1121 . can be extended up to At least some of the backside traces 1135 are also not located directly above the assembly 700I (e.g., the mold material 730 and/or the semiconductor die 725, 726), for example, from the conductive pillars 1121. area can be extended.

제2백사이드 유전층(1136)이 제1백사이드 유전층(1133) 및 백사이드 트레이스들(1135) 위에 형성되고 패터닝될 수 있다. 제2백사이드 유전층(1136)은, 에를 들면, 비록 다른 표면이기는 하지만, 블록(855)에서 형성된 RDL 유전층(771)과 같거나 유사한 방식으로 형성되고 패터닝될 수 있다. 예를 들면, 제2백사이드 유전층(1136)이 제1백사이드 유전층(1133)에 걸쳐서 그리고 백사이드 트레이스들(1135)에 걸쳐서 형성될 수 있고, 그리고 비아들(1137)이 백사이드 트레이스들(1135)의 컨택 영역들을 노출시키기 위해 제2백사이드 유전층(1136)에 형성될 수 있다(e.g., 식각, 제거 등에 의해).A second backside dielectric layer 1136 may be formed and patterned over the first backside dielectric layer 1133 and the backside traces 1135 . Second backside dielectric layer 1136 may be formed and patterned, for example, in the same or similar manner as RDL dielectric layer 771 formed in block 855, albeit on a different surface. For example, a second backside dielectric layer 1136 may be formed over the first backside dielectric layer 1133 and over the backside traces 1135 , and vias 1137 are the contacts of the backside traces 1135 . A second backside dielectric layer 1136 may be formed (e.g., by etching, ablation, etc.) to expose regions.

백사이드 상호 연결 패드들(1138)(e.g., 볼 컨택 패드들, 랜드들, 단자들 등)이 제2백사이드 유전층(1136) 위에 그리고/또는 제2백사이드 유전층(1136)의 비아들(1137)에 형성될 수 있다. 백사이드 상호 연결 패드들(1138)은 따라서 백사이드 트레이스들(1135)에 전기적으로 연결될 수 있다. 백사이드 상호 연결 패드들(1138)은, 예를 들면, 블록(865)에서 형성된 RDL 트레이스들과 같거나 유사한 방식으로 형성될 수 있다. 백사이드 상호 연결 패드들(1138)은, 따라서, 메탈 컨택 패드들을 형성하거나 그리고/또는 언더 범프 메탈(e.g., 다른 상호 연결 구조들에 의해 백사이드 트레이스들(1135)에 대한 후속하는 부착성을 향상시키기 위해)을 형성하여 형성될 수 있다.Backside interconnect pads 1138 (e.g., ball contact pads, lands, terminals, etc.) are formed over the second backside dielectric layer 1136 and/or in the vias 1137 of the second backside dielectric layer 1136 . can be Backside interconnect pads 1138 may thus be electrically connected to backside traces 1135 . Backside interconnect pads 1138 may be formed, for example, in the same or similar manner as the RDL traces formed in block 865 . The backside interconnect pads 1138, thus, form metal contact pads and/or improve subsequent adhesion to the backside traces 1135 by under bump metal (e.g., other interconnect structures). ) can be formed by forming

비록 백사이드 RDL층(1132)이 2개의 백사이드 유전층들(1133,1136) 그리고 백사이드 트레이스들(1135)의 한층으로 도시되어 있으나, 임의 개수의 유전층 및/또는 트레이스층들이 형성될 수 있음을 이해하여야 한다.Although the backside RDL layer 1132 is shown as two backside dielectric layers 1133, 1136 and one layer of backside traces 1135, it should be understood that any number of dielectric and/or trace layers may be formed. .

도 11d에 도시되어 있지 않지만, 상호 연결 구조들이 서브스트레이트(793)에, 예를 들면, 블록(886) 및 도 7k에 관하여 예를 들면 여기서 논의된 바와 같이, 조립체(700I) 및 몰드 재료(735)의 반대인 서브스트레이트(793)의 측부상에 형성될 수 있다.Although not shown in FIG. 11D , interconnect structures are attached to the substrate 793 , for example, as discussed herein with respect to block 886 and FIG. 7K , for example, assembly 700I and mold material 735 . ) on the opposite side of the substrate 793 .

다른 예시적 실시예로서, 서브스트레이트(e.g., 라미네이트 서브스트레이트, 패키지 서브스트레이트 등)가, 예를 들면 도11a-11d에 관하여 여기서 논의된 백사이드 RDL 대신에 또는 추가적으로, 조립체(700I)(e.g., 반도체 다이(725,726), 및 몰드 재료(730)를 포함)와 몰드 재료(735) 위에 부착될 수 있다.As another illustrative embodiment, a substrate (e.g., laminate substrate, package substrate, etc.) may be used, for example, instead of or in addition to the backside RDL discussed herein with respect to FIGS. 11A-11D , assembly 700I (e.g., semiconductor). dies 725 and 726 , and mold material 730 ) and mold material 735 .

예를 들면, 도 12a에 도시된 바와 같이, 상호 연결 구조들(795)이 적어도 조립체(700I)의 높이까지 연장된 높이로 형성될 수 있다. 예를 들면, 백사이드 서브스트레이트가 자신의 상호 연결 구조를 갖거나 또는 추가적인 상호 연결 구조들이 상호 연결 구조들(795)과 백사이드 서브스트레이트 사이에 이용되는 시나리오에서, 이러한 높이가 반드시 있을 필요는 없음을 주목하라. 상호 연결 구조들(795)은, 예를 들면, 블록(897)과 도 7k에 관하여 여기에서 논의된 것과 같거나 유사한 방식으로 부착될 수 있다.For example, as shown in FIG. 12A , interconnect structures 795 can be formed with a height that extends at least to the height of assembly 700I. Note that this height need not necessarily be, for example, in a scenario where the backside substrate has its own interconnect structure or additional interconnect structures are used between interconnect structures 795 and the backside substrate. do it. Interconnect structures 795 may be attached, for example, in a manner similar to or similar to that discussed herein with respect to block 897 and FIG. 7K .

예를 계속하여 설명하면, 도 12a에 도시된 바와 같이, 조립체(1200A)가 몰드 재료(735)로 몰딩될 수 있고 그리고 몰드 재료(735)가 필요하다면 박형화될 수 있다. 그러한 몰딩 및/또는 박형화는, 예를 들면, 블록(899), 및 도 7k에 관하여 여기에서 논의된 것과 같거나 유사한 방식으로 수행될 수 있다.Continuing the example, as shown in FIG. 12A , assembly 1200A can be molded with mold material 735 and can be thinned if desired. Such molding and/or thinning may be performed, for example, in a manner as or similar to that discussed herein with respect to block 899, and FIG. 7K.

도 12b에 도시된 바와 같이, 백사이드 서브스트레이트(1232)가 부착될 수 있다. 예를 들면, 백사이드 서브스트레이트(1232)가 상호 연결 구조들(795)에 전기적으로 연결되고 그리고/또는 몰드 재료(735) 및/또는 조립체(700I)(e.g., 몰드 재료(730) 및/또는 반도체 다이(725,726))에 기계적으로 부착될 수 있다. 백사이드 서브스트레이트(1232)는, 예를 들면, 패널 형태 및/또는 단독 패키지 형태로 부착될 수 있고, 그리고 예를 들면 싱귤레이션 이전 또는 이후에 부착될 수 있다.As shown in FIG. 12B , a backside substrate 1232 may be attached. For example, backside substrate 1232 is electrically connected to interconnect structures 795 and/or mold material 735 and/or assembly 700I (e.g., mold material 730 and/or semiconductor dies 725 and 726). The backside substrate 1232 may be attached, for example, in the form of a panel and/or in a single package, and may be attached, for example, before or after singulation.

여기에서 논의된 바와 같이, 조립체(700I)가 서브스트레이트(793)에 부착된 이후, 서브스트레이트(793) 및/또는 조립체(700I)가 몰드 재료로 덮일 수 있다. 대안으로서, 또는 추가적으로, 서브스트레이트(793) 및/또는 조립체(700I)가 뚜껑 또는 스티프너(stiffener)에 의해 덮일 수도 있다. 도 13은 예시적 예를 제공한다. 도 13은 일반적으로, 뚜껑(1310)(또는 스티프너)의 추가와 함께, 도 7j의 조립체(700J)를 도시하고 있다.As discussed herein, after assembly 700I is attached to substrate 793 , substrate 793 and/or assembly 700I may be covered with a mold material. Alternatively, or in addition, the substrate 793 and/or assembly 700I may be covered by a lid or stiffener. 13 provides an illustrative example. 13 generally shows assembly 700J of FIG. 7J with the addition of a lid 1310 (or stiffener).

뚜껑(1310)은, 예를 들면, 메탈을 포함하고, 그리고 전자기파 쉴딩(shielding) 및/또는 열 방출을 제공한다. 예를 들면, 뚜껑(1310)은 쉴딩을 제공하기 위해 서브스트레이트(793) 상에 접지 트레이스에 전기적으로 접속될 수 있다. 뚜껑(1310)은, 예를 들면, 솔더 및/또는 도전성 에폭시로 서브스트레이트(793)에 연결될 수 있다. 비록 도시하지는 않았지만, 열적 인터페이스 재료가 조립체(700I)와 뚜껑(1310) 사이의 갭(1315)에 형성될 수 있다.Lid 1310 includes, for example, metal and provides electromagnetic shielding and/or heat dissipation. For example, lid 1310 may be electrically connected to a ground trace on substrate 793 to provide shielding. Lid 1310 may be connected to substrate 793 with, for example, solder and/or conductive epoxy. Although not shown, a thermal interface material may be formed in the gap 1315 between the assembly 700I and the lid 1310 .

비록 여기에 도시되고 논의된 대부분의 예들이 일반적으로 서브스트레이트(793)에 부착된 조립체(700I)만을 도시하였지만, 다른 부품들(e.g., 능동 및/또는 수동 부품들)이 서브스트레이트(793)에 또한 부착될 수 있다. 예를 들면, 도 14에 도시된 바와 같이, 반도체 다이(1427)가 서브스트레이트(793)에 부착될 수 있다(e.g., 플립 칩 본딩, 와이어 본딩 등에 의해). 반도체 다이(1427)가 조립체(700I)에 수평 방향으로 인접한 방식으로 서브스트레이트(793)에 부착된다. 이러한 부착 이후, 여기에서 논의된 임의의 패키징 구조들(e.g., 상호 연결 구조들, 몰딩들, 뚜껑들 등)이 형성될 수 있다.Although most of the examples shown and discussed herein generally only show assembly 700I attached to substrate 793 , other components (e.g., active and/or passive components) are attached to substrate 793 . It can also be attached. For example, as shown in FIG. 14 , a semiconductor die 1427 may be attached to a substrate 793 (e.g., by flip chip bonding, wire bonding, etc.). A semiconductor die 1427 is attached to the substrate 793 in a horizontally adjacent manner to assembly 700I. After this attachment, any of the packaging structures discussed herein (e.g., interconnect structures, moldings, lids, etc.) may be formed.

다른 예시적 실시예로서, 다른 부품들이, 수직 스택킹 조립체로, 조립체(700I)의 상부 측에 연결될 수 있다. 도 15는 그와 같은 조립체(1500C)의 일례를 도시한다. 제3다이(1527)와 제4다이(1528)(e.g., 비활성 측부들)이 조립체(700I)의 상부에 부착될 수 있다. 그와 같은 부착은, 예를 들면, 접착제를 이용하여 수행될 수 있다. 제3다이(1527) 및 제4다이(1528)의 활성 측부들 상의 본드 패드들은 그런 후 서브스트레이트(793)에 와이어 본딩될 수 있다. RDL 및/또는 서브스트레이트가 조립체(700I)를 따라 부착된 시나리오에서, 제3다이(1527) 및/또는 제4다이(1528)가 그와 같은 RDL 및/또는 서브스트레이트에 플립칩 방식으로 부착될 수 있음을 주목하라. 그와 같은 부착 이후, 여기에서 논의된 임의의 패키징 구조들(e.g., 상호 연결 구조들, 몰딩들, 뚜껑들 등)이 형성될 수 있다.As another exemplary embodiment, other components may be connected to the upper side of assembly 700I, in a vertical stacking assembly. 15 shows an example of such an assembly 1500C. A third die 1527 and a fourth die 1528 (e.g., inactive sides) may be attached to the top of the assembly 700I. Such attachment can be effected, for example, using an adhesive. The bond pads on the active sides of the third die 1527 and the fourth die 1528 may then be wire bonded to the substrate 793 . In a scenario where RDLs and/or substrates are attached along assembly 700I, a third die 1527 and/or a fourth die 1528 may be flip-chip attached to such RDLs and/or substrates. Note that you can After such attachment, any of the packaging structures discussed herein (e.g., interconnect structures, moldings, lids, etc.) may be formed.

또다른 예시적 실시예로서, 다른 부품이 서브스트레이트의 바닥에 연결될 수 있다. 도 16은 그러한 조립체의 예를 도시한다. 제3다이(1699)가, 예를 들면 서브스트레이트(793)의 바닥 측부 상에 있는 상호 연결 구조들 사이의 갭 사이인, 서브스트레이트(793)의 바닥 측부에 부착된다. 이러한 부착 이후, 여기에서 논의된 임의의 패키징 구조들(e.g., 상호 연결 구조들, 몰딩들, 뚜껑들 등)이 형성될 수 있다.As another exemplary embodiment, other components may be connected to the bottom of the substrate. 16 shows an example of such an assembly. A third die 1699 is attached to the bottom side of the substrate 793 , for example between gaps between interconnect structures on the bottom side of the substrate 793 . After this attachment, any of the packaging structures discussed herein (e.g., interconnect structures, moldings, lids, etc.) may be formed.

도 8-16에 도시된 예시적 방법들 및 조립체들 그리고 여기에서 논의된 것들은 단지 본 발명의 다양한 양태들을 예시하기 위해 도시된 비제한적 예들에 불과하다. 그러한 방법들 및 조립체들은 다음의 공통 계류중인 미국 특허 출원들에서 도시되고 의논된 방법들과 조립체들의 임의의 또는 모든 특징들을 공유할 수 있다: 2013년 1월 29일을 출원되고, "반도체 디바이스 및 반도체 디바이스의 제조 방법"의 제목을 갖는 미국 특허 출원 번호 13/753,120; 2013년 4월 16일에 출원되고, "반도체 디바이스 및 그의 제조 방법"의 제목을 갖는 미국 특허 출원 번호 13/863,457; 2013년11월19일에 출원되고, "관통 실리콘 비아가 없는 깊은 웰들을 갖는 반도체 디바이스"의 제목을 갖는 미국 특허 출원 번호 14/083,779; 2014년 3월 18일에 출원되고, "반도체 디바이스 및 그의 제조 방법"의 제목을 갖는 미국 특허 출원 번호 14/218,265; 2014년6월24일에 출원되고, "반도체 디바이스 및 그의 제조 방법"의 제목을 갖는 미국 특허 출원 번호 14/313,724; 2014년7월28일에 출원되고, "얇은 재배선층들을 갖는 반도체 디바이스"의 제목을 갖는 미국 특허 출원 번호 14/444,450; 2014년10월27일에 출원되고, "감소된 두께를 갖는 반도체 디바이스"의 제목을 갖는 미국 특허 출원 번호 14/524,443; 2014년11월4일에 출원되고, "인터포저, 그의 제조 방법, 이를 이용한 반도체 패키지, 및 반도체 패키지의 제조 방법"의 제목을 갖는 미국 특허 출원 번호 14/532,532; 2014년11월18일에 출원되고, "감소된 휨을 갖는 반도체 디바이스"의 제목을 갖는 미국 특허 출원 번호 14/546,484; 및 2015년3월27일에 출원되고, "반도체 디바이스 및 그 제조 방법"의 명칭을 갖는 미국 특허 출원 번호 14/671,095; 여기에 있는 각각의 내용 전체가 본원에 참고로 인용된다.The exemplary methods and assemblies shown in Figures 8-16 and discussed herein are merely non-limiting examples shown to illustrate various aspects of the present invention. Such methods and assemblies may share any or all features of the methods and assemblies shown and discussed in the following common pending US patent applications: filed Jan. 29, 2013, "Semiconductor Device and U.S. Patent Application Serial No. 13/753,120, entitled "Method of Manufacturing Semiconductor Device;" U.S. Patent Application No. 13/863,457, filed April 16, 2013, and entitled "Semiconductor Device and Method of Manufacturing Same;" U.S. Patent Application Serial No. 14/083,779, filed November 19, 2013, and entitled "Semiconductor Device with Deep Wells Without Through Silicon Vias;" U.S. Patent Application No. 14/218,265, filed March 18, 2014, and entitled "Semiconductor Device and Method of Making the Same"; U.S. Patent Application No. 14/313,724, filed June 24, 2014, and entitled "Semiconductor Device and Method of Manufacturing Same;" US Patent Application No. 14/444,450, filed July 28, 2014, and entitled "Semiconductor Device With Thin Redistribution Layers;" US Patent Application Serial No. 14/524,443, filed October 27, 2014, and entitled "Semiconductor Device with Reduced Thickness;" US Patent Application No. 14/532,532, filed on November 4, 2014, and entitled "Interposer, Method of Manufacturing Same, Semiconductor Package Using Same, and Method of Manufacturing Semiconductor Package;" U.S. Patent Application Serial No. 14/546,484, filed November 18, 2014, and entitled "Semiconductor Device with Reduced Warpage;" and U.S. Patent Application Serial No. 14/671,095, filed March 27, 2015, entitled “Semiconductor Device and Method of Manufacturing Same;” The entire contents of each of which are herein incorporated by reference.

여기에서의 논의는 반도체 패키지 조립체의 다양한 영역들을 도시한 수많은 예시적인 도면들을 포함한다. 예시적으로 명확성을 위해, 그러한 도면들은 각 예시적 조립체의 모든 양태들을 도시하지 않는다. 여기에 설명된 임의의 예시적 조립체들은 여기에 설명된 임의의 또는 다른 모든 조립체들의 임의의 또는 모든 특징들을 공유한다. 예를 들면 그리고 제한 없이, 도 1-7, 또는 그의 영역들에 관하여 도시되고 논의된 임의 예시적 조립체들이 도 8-16에 관하여 논의된 임의의 예시적 조립체들에 결합될 수 있다. 반대로, 도 8-16에 관하여 도시되고 논의된 임의의 조립체들이 도 1-7에 관하여 도시되고 논의된 조립체들에 결합될 수 있다. The discussion herein includes numerous illustrative figures showing various regions of a semiconductor package assembly. For illustrative clarity, such drawings do not depict all aspects of each illustrative assembly. Any example assemblies described herein share any or all features of any or all assemblies described herein. For example and without limitation, any example assemblies shown and discussed with respect to FIGS. 1-7, or regions thereof, may be coupled to any example assemblies discussed with respect to FIGS. 8-16. Conversely, any assemblies shown and discussed with respect to FIGS. 8-16 may be coupled to the assemblies shown and discussed with respect to FIGS. 1-7.

요약하면, 본 발명의 다양한 양태들은 반도체 디바이스 또는 패키지 구조 및 그 제조 방법을 제공한다. 이는 특정 양태 및 예들을 참조하여 설명되었지만, 본 발명의 범위를 벗어나지 않은 채 다양한 변경이 이뤄질 수 있고 균등물들이 대체할 수 있음을 당업자는 이해할 것이다. 또한, 많은 변형이 본 발명의 범위를 벗어나지 않고 본 발명의 교시에 특정 상황 또는 재료에 적합하도록 이루어질 수 있다. 따라서, 본 발명은 개시된 특정한 예(들)에 제한되지 않고, 본 발명이 첨부된 청구범위의 범위 내에 있는 모든 예들을 포함하도록 의도된다.In summary, various aspects of the present invention provide a semiconductor device or package structure and a method of manufacturing the same. While this has been described with reference to specific embodiments and examples, it will be understood by those skilled in the art that various changes may be made and equivalents may be substituted without departing from the scope of the present invention. In addition, many modifications may be made to adapt a particular situation or material to the teachings of the present invention without departing from the scope of the present invention. Accordingly, it is intended that the invention not be limited to the particular example(s) disclosed, but that the invention will include all examples falling within the scope of the appended claims.

Claims (30)

제1 FSRDS 유전층을 포함하는 전면 재분배 구조("FSRDS");
전면 다이 측면 및 후면 다이 측면을 포함하고, 전면 다이 측면이 전면 재분배 구조의 상부 측면에 결합된 반도체 다이;
상부 측면과 하부 측면을 포함하는 적층 부품 상호접속 구조 - 적층 부품 상호접속 구조의 하부 측면이 전면 재분배 구조의 상부 측면에 결합되고, 적층 부품 상호접속 구조의 상부 측면이 적어도 후면 다이 측면만큼 높게 수직으로 연장됨 - ;
전면 재분배 구조의 상부 측면에 있고 반도체 다이를 측방향으로 둘러싸는 캡슐화 재료; 및
후면 재분배 구조("BSRDS")를 포함하고, 후면 재분배 구조는:
캡슐화 재료의 상부 측면 상의 제1 BSRDS 유전층;
제1 BSRDS 유전층을 통해 완전히 연장되고 적층 부품 상호접속 구조의 상부 측면에 결합된 제1 BSRDS 도전성 비아;
제1 BSRDS 유전층의 상부 측면 상의 제1 BSRDS 트레이스;
제1 BSRDS 유전층의 상부 측면 상의 제2 BSRDS 유전층 - 제2 BSRDS 유전층은 제1 BSRDS 트레이스의 측부 측면 및 제1 BSRDS 트레이스의 상부 측면을 덮음 - ;
제1 BSRDS 트레이스의 상부 측면에 결합되고 제1 BSRDS 트레이스의 상부 측면으로부터 제2 BSRDS 유전층의 상부 측면까지 수직으로 연장되는 제2 BSRDS 도전성 비아; 및
제2 BSRDS 도전성 비아에 결합된 상부 측면 부품 연결 패드를 포함하는, 반도체 장치.
a front redistribution structure (“FSRDS”) comprising a first FSRDS dielectric layer;
a semiconductor die comprising a front die side and a back die side, the front die side coupled to a top side of the front redistribution structure;
a stacked part interconnect structure comprising an upper side and a lower side, wherein a lower side of the stacked part interconnect structure is coupled to an upper side of the front redistribution structure, the upper side of the stacked part interconnect structure vertically at least as high as a rear die side extended - ;
an encapsulating material on the upper side of the front redistribution structure and laterally surrounding the semiconductor die; and
a rear redistribution structure (“BSRDS”), wherein the rear redistribution structure includes:
a first BSRDS dielectric layer on the top side of the encapsulating material;
a first BSRDS conductive via extending completely through the first BSRDS dielectric layer and coupled to a top side of the stacked component interconnect structure;
a first BSRDS trace on a top side of the first BSRDS dielectric layer;
a second BSRDS dielectric layer on a top side of the first BSRDS dielectric layer, the second BSRDS dielectric layer covering side sides of the first BSRDS trace and a top side of the first BSRDS trace;
a second BSRDS conductive via coupled to the top side of the first BSRDS trace and extending vertically from the top side of the first BSRDS trace to the top side of the second BSRDS dielectric layer; and
and a top side component connection pad coupled to a second BSRDS conductive via.
제1항에 있어서,
제1 BSRDS 도전성 비아는 제1 BSRDS 유전층을 통해 완전히 연장되는 단일 금속층을 포함하는, 반도체 장치.
According to claim 1,
and the first BSRDS conductive via comprises a single metal layer extending completely through the first BSRDS dielectric layer.
제2항에 있어서,
제1 BSRDS 도전성 비아는 제1 BSRDS 유전층을 통해 실질적으로 수직 방향으로만 이어지는, 반도체 장치.
3. The method of claim 2,
and the first BSRDS conductive via runs only in a substantially vertical direction through the first BSRDS dielectric layer.
제1항에 있어서,
전면 재분배 구조는 제2 FSRDS 유전층 및 제3 FSRDS 유전층을 포함하고; 그리고
제1 FSRDS 유전층, 제2 FSRDS 유전층, 및 제3 FSRDS 유전층 각각은 복수의 도전층을 측부 방향으로 둘러싸는, 반도체 장치.
According to claim 1,
the front redistribution structure includes a second FSRDS dielectric layer and a third FSRDS dielectric layer; and
wherein each of the first FSRDS dielectric layer, the second FSRDS dielectric layer, and the third FSRDS dielectric layer laterally surrounds the plurality of conductive layers.
제1항에 있어서,
전면 재분배 구조는:
하부 FSRDS 유전층; 및
하부 FSRDS 유전층에 매립된 도금된 패드층을 포함하는 볼 접촉부;를 포함하고, 그리고
도금된 패드층의 하부 측면은 하부 FSRDS 유전층의 하부 측면보다 높은, 반도체 장치.
According to claim 1,
The front redistribution structure is:
bottom FSRDS dielectric layer; and
a ball contact comprising a plated pad layer embedded in an underlying FSRDS dielectric layer; and
and a lower side of the plated pad layer is higher than a lower side of the lower FSRDS dielectric layer.
제1 FSRDS 유전층;
제2 FSRDS 유전층; 및
제3 FSRDS 유전층을 포함하되,
제1 FSRDS 유전층, 제2 FSRDS 유전층, 및 제3 FSRDS 유전층 각각은 복수의 FSRDS 도전층을 측부 방향으로 둘러싸는 전면 재분배 구조("FSRDS");
전면 다이 측면 및 후면 다이 측면을 포함하고, 전면 다이 측면이 전면 재분배 구조의 상부 측면에 결합된 제1 반도체 다이;
상부 측면 및 하부 측면을 포함하는 제1 적층 부품 상호접속 구조 - 제1 적층 부품 상호접속 구조의 하부 측면이 전면 재분배 구조의 상부 측면에 결합되고, 제1 적층 부품 상호접속 구조의 상부 측면이 적어도 후면 다이 측면만큼 높게 수직으로 연장됨 - ;
상부 측면 및 하부 측면을 포함하는 제2 적층 부품 상호접속 구조 - 제2 적층 부품 상호접속 구조의 하부 측면이 전면 재분배 구조의 상부 측면에 결합되고, 제2 적층 부품 상호접속 구조의 상부 측면이 적어도 후면 다이 측면만큼 높게 수직으로 연장됨 - ;
전면 재분배 구조의 상부 측면 상에 있고 제1 반도체 다이를 측부 방향으로 둘러싸는 캡슐화 재료; 및
후면 재분배 구조("BSRDS")를 포함하고, 후면 재분배 구조는:
캡슐화 재료의 상부 측면 상의 제1 BSRDS 유전층;
제1 BSRDS 유전층을 완전히 관통하여 연장되고 제1 적층 부품 상호접속 구조의 상부 측면에 결합된 제1 BSRDS 도전성 비아;
제1 BSRDS 유전층의 상부 측면 상의 제1 BSRDS 트레이스;
제1 BSRDS 유전층의 상부 측면 상의 제2 BSRDS 유전층 - 제2 BSRDS 유전층은 제1 BSRDS 트레이스의 측부 측면 및 제1 BSRDS 트레이스의 상부 측면을 덮음 - ; 및
제2 BSRDS 유전층의 상부 측면으로부터 제1 BSRDS 트레이스의 상부 측면까지 제2 BSRDS 유전층을 통해 연장되는 상부 비아를 포함하는, 반도체 장치.
a first FSRDS dielectric layer;
a second FSRDS dielectric layer; and
a third FSRDS dielectric layer;
Each of the first FSRDS dielectric layer, the second FSRDS dielectric layer, and the third FSRDS dielectric layer includes a front surface redistribution structure (“FSRDS”) that laterally surrounds a plurality of FSRDS conductive layers;
a first semiconductor die comprising a front die side and a back die side, the front die side coupled to a top side of the front redistribution structure;
a first laminated part interconnect structure comprising an upper side and a lower side, wherein a lower side of the first laminated part interconnect structure is coupled to an upper side of the front redistribution structure, and wherein the upper side of the first laminated part interconnect structure is at least a rear surface vertically extended as high as the side of the die - ;
a second laminated part interconnect structure comprising an upper side and a lower side, wherein a lower side of the second laminated part interconnect structure is coupled to an upper side of the front redistribution structure, and wherein the upper side of the second laminated part interconnect structure is at least a rear surface vertically extended as high as the side of the die - ;
an encapsulating material on a top side of the front redistribution structure and laterally surrounding the first semiconductor die; and
a rear redistribution structure (“BSRDS”), wherein the rear redistribution structure includes:
a first BSRDS dielectric layer on the top side of the encapsulating material;
a first BSRDS conductive via extending completely through the first BSRDS dielectric layer and coupled to a top side of the first stacked component interconnect structure;
a first BSRDS trace on a top side of the first BSRDS dielectric layer;
a second BSRDS dielectric layer on a top side of the first BSRDS dielectric layer, the second BSRDS dielectric layer covering side sides of the first BSRDS trace and a top side of the first BSRDS trace; and
a top via extending through the second BSRDS dielectric layer from a top side of the second BSRDS dielectric layer to a top side of the first BSRDS trace.
제6항에 있어서,
제2 반도체 다이를 포함하고, 제1 및 제2 반도체 다이는 제1 및 제2 적층 부품 상호접속 구조들 사이에 측부 방향으로 위치되는, 반도체 장치.
7. The method of claim 6,
A semiconductor device comprising: a second semiconductor die; wherein the first and second semiconductor dies are laterally positioned between the first and second stacked component interconnect structures.
제7항에 있어서,
제1 및 제2 반도체 다이 사이에 직접 위치된 언더필을 포함하는, 반도체 장치.
8. The method of claim 7,
A semiconductor device comprising an underfill positioned directly between the first and second semiconductor dies.
제6항에 있어서,
전면 재분배 구조의 측부 측면과 동일 평면에 있는 측부 측면을 갖는 언더필을 포함하는, 반도체 장치.
7. The method of claim 6,
A semiconductor device comprising: an underfill having a side side that is coplanar with a side side of the front redistribution structure.
제1 FSRDS 유전층을 포함하는 전면 재분배 구조("FSRDS")를 제공하고;
전면 다이 측면 및 후면 다이 측면을 포함하고, 전면 다이 측면이 전면 재분배 구조의 상부 측면에 결합된 반도체 다이를 제공하며;
상부 측면과 하부 측면을 포함하는 적층 부품 상호접속 구조를 제공하고 - 적층 부품 상호접속 구조의 하부 측면이 전면 재분배 구조의 상부 측면에 결합되고, 적층 부품 상호접속 구조의 상부 측면이 적어도 후면 다이 측면만큼 높게 수직으로 연장됨 - ;
전면 재분배 구조의 상부 측면에 있고 반도체 다이를 측방향으로 둘러싸는 캡슐화 재료를 제공하며; 그리고
후면 재분배 구조("BSRDS")를 제공하되, 후면 재분배 구조는:
캡슐화 재료의 상부 측면 상의 제1 BSRDS 유전층;
제1 BSRDS 유전층을 통해 완전히 연장되고 적층 부품 상호접속 구조의 상부 측면에 결합된 제1 BSRDS 도전성 비아;
제1 BSRDS 유전층의 상부 측면 상의 제1 BSRDS 트레이스;
제1 BSRDS 유전층의 상부 측면 상의 제2 BSRDS 유전층 - 제2 BSRDS 유전층은 제1 BSRDS 트레이스의 측부 측면 및 제1 BSRDS 트레이스의 상부 측면을 덮음 - ;
제1 BSRDS 트레이스의 상부 측면에 결합되고 제1 BSRDS 트레이스의 상부 측면으로부터 제2 BSRDS 유전층의 상부 측면까지 수직으로 연장되는 제2 BSRDS 도전성 비아; 및
제2 BSRDS 도전성 비아에 결합된 상부 측면 부품 연결 패드를 포함하는, 반도체 장치의 제조 방법.
providing a front surface redistribution structure (“FSRDS”) comprising a first FSRDS dielectric layer;
providing a semiconductor die comprising a front die side and a back die side, the front die side coupled to a top side of the front redistribution structure;
providing a laminated part interconnect structure comprising an upper side and a lower side, wherein a lower side of the laminated part interconnect structure is coupled to an upper side of the front redistribution structure, wherein the upper side of the laminated part interconnect structure is at least as large as a rear die side vertically extended high - ;
providing an encapsulating material on the upper side of the front redistribution structure and laterally surrounding the semiconductor die; and
Provide a rear redistribution structure (“BSRDS”), wherein the rear redistribution structure includes:
a first BSRDS dielectric layer on the top side of the encapsulating material;
a first BSRDS conductive via extending completely through the first BSRDS dielectric layer and coupled to a top side of the stacked component interconnect structure;
a first BSRDS trace on a top side of the first BSRDS dielectric layer;
a second BSRDS dielectric layer on a top side of the first BSRDS dielectric layer, the second BSRDS dielectric layer covering side sides of the first BSRDS trace and a top side of the first BSRDS trace;
a second BSRDS conductive via coupled to the top side of the first BSRDS trace and extending vertically from the top side of the first BSRDS trace to the top side of the second BSRDS dielectric layer; and
and a top side component connection pad coupled to a second BSRDS conductive via.
제10항에 있어서,
제1 BSRDS 유전층에는 수평 방향으로 이어지는 임의의 매립 트레이스가 없는, 반도체 장치의 제조 방법.
11. The method of claim 10,
A method of manufacturing a semiconductor device, wherein the first BSRDS dielectric layer is free of any buried traces running in the horizontal direction.
제10항에 있어서,
전면 재분배 구조는 제2 FSRDS 유전층 및 제3 FSRDS 유전층을 포함하고; 그리고
제1 FSRDS 유전층, 제2 FSRDS 유전층, 및 제3 FSRDS 유전층 각각은 복수의 도전층을 측부 방향으로 둘러싸는, 반도체 장치의 제조 방법.
11. The method of claim 10,
the front redistribution structure includes a second FSRDS dielectric layer and a third FSRDS dielectric layer; and
wherein each of the first FSRDS dielectric layer, the second FSRDS dielectric layer, and the third FSRDS dielectric layer laterally surrounds the plurality of conductive layers.
상부 FSRDS 측면;
하부 FSRDS 측면;
상부 FSRDS 측면과 하부 FSRDS 측면 사이의 측부 FSRDS 측면;
제1 FSRDS 유전층; 및
제1 FSRDS 도전성 트레이스를 포함하는 제1 FSRDS 도전층;을 포함하는 전면 재분배 구조("FSRDS");
전면 다이 측면 및 후면 다이 측면을 포함하고, 전면 다이 측면이 상부 FSRDS 측면을 향하여 결합되고, 전면 다이 측면이 제1 FSRDS 도전층에 전기적으로 결합된 도전성 패드를 포함하는 반도체 다이;
상부 SCIS 측면과 하부 SCIS 측면을 포함하는 적층 부품 상호접속 구조("SCIS") - 하부 SCIS 측면이 상부 FSRDS 측면에 결합되고 제1 FSRDS 도전층에 전기적으로 결합되며, 상부 SCIS 측면이 적어도 후면 다이 측면만큼 높게 수직으로 연장됨 - ;
상부 FSRDS 측면 상에 있고 반도체 다이를 측부 방향으로 둘러싸는 캡슐화 재료를 포함하되, 캡슐화 재료는:
상부 캡슐화 재료 측면;
전면 재분배 구조를 향하는 하부 캡슐화 재료 측면; 및
상부 캡슐화 재료 측면과 하부 캡슐화 재료 측면 사이의 측부 캡슐화 재료 측면;을 포함하고, 그리고
후면 재분배 구조("BSRDS")를 포함하되, 후면 재분배 구조는:
상부 BSRDS 측면;
상부 SCIS 측면에 결합된 하부 BSRDS 측면;
후면 다이 측면 및 상부 캡슐화 재료 측면 상의 제1 BSRDS 유전층; 및
적층 부품 상호접속 구조에 전기적으로 결합된 제1 BSRDS 도전성 트레이스를 포함하는 제1 BSRDS 도전층;을 포함하고,
전면 재분배 구조는 빌드업 재분배 구조를 포함하고, 후면 재분배 구조는 라미네이트 기판을 포함하는, 반도체 장치.
upper FSRDS side;
lower FSRDS side;
side FSRDS side between upper FSRDS side and lower FSRDS side;
a first FSRDS dielectric layer; and
a front surface redistribution structure (“FSRDS”) comprising a first FSRDS conductive layer comprising a first FSRDS conductive trace;
a semiconductor die comprising a front die side and a back die side, the front die side coupled toward the top FSRDS side, the semiconductor die comprising a conductive pad electrically coupled to the first FSRDS conductive layer;
Stacked Component Interconnect Structure (“SCIS”) comprising an upper SCIS side and a lower SCIS side, the lower SCIS side being coupled to the upper FSRDS side and electrically coupled to the first FSRDS conductive layer, the upper SCIS side being at least a rear die side vertically extended as high as - ;
An encapsulating material on the upper FSRDS side and laterally surrounding the semiconductor die, the encapsulating material comprising:
upper encapsulating material side;
a lower encapsulating material side facing the front redistribution structure; and
a side encapsulating material side between the upper encapsulating material side and the lower encapsulating material side; and
a rear redistribution structure (“BSRDS”), wherein the rear redistribution structure includes:
upper BSRDS side;
lower BSRDS side joined to upper SCIS side;
a first BSRDS dielectric layer on the back die side and the top encapsulation material side; and
a first BSRDS conductive layer comprising a first BSRDS conductive trace electrically coupled to the stacked component interconnect structure;
wherein the front redistribution structure comprises a build-up redistribution structure and the back redistribution structure comprises a laminate substrate.
제13항에 있어서,
적층 부품 상호접속 구조는 구리-코어 솔더 구조를 포함하는, 반도체 장치.
14. The method of claim 13,
The laminated component interconnect structure comprises a copper-core solder structure.
제13항에 있어서,
적층 부품 상호접속 구조는 가늘고 긴(elongated) 도전성 볼을 포함하는, 반도체 장치.
14. The method of claim 13,
The laminated component interconnect structure comprises an elongated conductive ball.
제13항에 있어서,
적층 부품 상호접속 구조는 제2 금속에 의해 둘러싸인 제1 금속의 코어를 포함하고; 그리고
캡슐화 재료는 코어 전체를 측부 방향으로 둘러싸는, 반도체 장치.
14. The method of claim 13,
the laminated part interconnect structure includes a core of a first metal surrounded by a second metal; and
The encapsulating material laterally surrounds the entire core.
제13항에 있어서,
후면 다이 측면이 캡슐화 재료로부터 노출되는, 반도체 장치.
14. The method of claim 13,
wherein the back die side is exposed from the encapsulating material.
제13항에 있어서,
후면 재분배 구조는 상부 BSRDS 측면과 하부 BSRDS 측면 사이의 측부 BSRDS 측면을 포함하고; 그리고
측부 FSRDS 측면, 측부 캡슐화 재료 측면 및 측부 BSRDS 측면은 동일 평면에 있는, 반도체 장치.
14. The method of claim 13,
the rear redistribution structure includes a side BSRDS side between the upper BSRDS side and the lower BSRDS side; and
wherein the side FSRDS side, the side encapsulation material side, and the side BSRDS side are coplanar.
제13항에 있어서,
반도체 다이와 전면 재분배 구조 사이에 직접 수직으로 위치된 언더필 재료를 포함하는, 반도체 장치.
14. The method of claim 13,
A semiconductor device comprising an underfill material positioned directly vertically between the semiconductor die and the front surface redistribution structure.
제1 FSRDS 측면;
제1 FSRDS 측면과 대향하는 제2 FSRDS 측면;
제1 FSRDS 측면과 제2 FSRDS 측면 사이의 측부 FSRDS 측면;
제1 FSRDS 유전층; 및
제1 FSRDS 도전성 트레이스를 포함하는 제1 FSRDS 도전층;을 포함하는 제1 측면 재분배 구조("FSRDS");
제1 다이 측면과 제1 다이 측면과 대향하는 제2 다이 측면을 포함하고, 제2 다이 측면이 제1 FSRDS 측면을 향하고 제1 FSRDS 측면에 결합되는 반도체 다이;
제1 SCIS 측면 및 제1 SCIS 측면 반대편에 있는 제2 SCIS 측면을 포함하는 적층 부품 상호접속 구조("SCIS") - 제2 SCIS 측면은 제1 FSRDS 측면에 결합되고 제1 FSRDS 도전층에 전기적으로 결합되며, 반도체 다이 전체를 수직으로 가로지름 - ;
제1 FSRDS 측면 상에 있고 반도체 다이를 측부 방향으로 둘러싸는 캡슐화 재료를 포함하고, 캡슐화 재료는:
제1 캡슐화 재료 측면;
제1 캡슐화 재료 측면과 대향하고 제1 측면 재분배 구조를 마주하는 제2 캡슐화 재료 측면; 및
제1 캡슐화 재료 측면과 제2 캡슐화 재료 측면 사이의 측부 캡슐화 재료 측면;을 포함하고, 그리고
제2 측면 재배포 구조("SSRDS")를 포함하고, 제2 측면 재배포 구조는:
제1 SSRDS 측면;
제1 SSRDS 측면과 마주하고 제1 SCIS 측면과 결합되는 제2 SSRDS 측면;
제1 SSRDS 측면과 제2 SSRDS 측면 사이의 측부 SSRDS 측면;
제1 SSRDS 유전층; 및
적층 부품 상호접속 구조에 전기적으로 결합된 제1 SSRDS 도전성 트레이스를 포함하는 제1 SSRDS 도전층을 포함하며,
제1 측면 재분배 구조 및 제2 측면 재분배 구조 중 하나는 빌드업 재분배 구조를 포함하고; 그리고
제1 측면 재분배 구조 및 제2 측면 재분배 구조 중 다른 하나는 라미네이트 기판을 포함하는, 반도체 장치.
a first FSRDS side;
a second FSRDS side opposite the first FSRDS side;
a side FSRDS side between the first FSRDS side and the second FSRDS side;
a first FSRDS dielectric layer; and
a first side redistribution structure (“FSRDS”) comprising a first FSRDS conductive layer comprising a first FSRDS conductive trace;
a semiconductor die comprising a first die side and a second die side opposite the first die side, the second die side facing the first FSRDS side and coupled to the first FSRDS side;
A stacked part interconnect structure (“SCIS”) comprising a first SCIS side and a second SCIS side opposite the first SCIS side, the second SCIS side being coupled to the first FSRDS side and electrically connected to the first FSRDS conductive layer coupled, vertically across the entire semiconductor die - ;
an encapsulating material on the first FSRDS side and laterally surrounding the semiconductor die, the encapsulating material comprising:
a first encapsulating material side;
a second encapsulating material side opposite the first encapsulating material side and facing the first side redistribution structure; and
a side encapsulating material side between the first encapsulating material side and the second encapsulating material side; and
a second side redistribution structure (“SSRDS”), wherein the second side redistribution structure comprises:
a first SSRDS side;
a second SSRDS side facing the first SSRDS side and coupled to the first SCIS side;
a side SSRDS side between the first SSRDS side and the second SSRDS side;
a first SSRDS dielectric layer; and
a first SSRDS conductive layer comprising a first SSRDS conductive trace electrically coupled to the stacked component interconnect structure;
one of the first side redistribution structure and the second side redistribution structure includes a build-up redistribution structure; and
and the other of the first side redistribution structure and the second side redistribution structure comprises a laminate substrate.
제20항에 있어서,
제2 측면 재분배 구조는 라미네이트 기판을 포함하는, 반도체 장치.
21. The method of claim 20,
and the second side redistribution structure comprises a laminate substrate.
제20항에 있어서,
반도체 다이는 제2 다이 측면 상의 다이 패드를 포함하는, 반도체 장치.
21. The method of claim 20,
wherein the semiconductor die includes a die pad on a second die side.
제20항에 있어서, 측면 FSRDS 측면, 측면 캡슐화 재료 측면 및 측부 SSRDS 측면은 동일 평면에 있는, 반도체 장치.The semiconductor device of claim 20 , wherein the side FSRDS side, the side encapsulation material side, and the side SSRDS side are coplanar. 제20항에 있어서,
적층 부품 상호접속 구조는 구리-코어 솔더 구조를 포함하는, 반도체 장치.
21. The method of claim 20,
The laminated component interconnect structure comprises a copper-core solder structure.
제20항에 있어서,
반도체 다이와 제1 측면 재분배 구조 사이에 직접 수직으로 위치된 언더필 재료를 포함하는, 반도체 장치.
21. The method of claim 20,
A semiconductor device comprising: an underfill material positioned directly vertically between the semiconductor die and the first side redistribution structure.
제20항에 있어서,
제2 SSRDS 측면은 제1 다이 측면에 기계적으로 부착되는, 반도체 장치.
21. The method of claim 20,
and the second SSRDS side is mechanically attached to the first die side.
제1 FSRDS 측면;
제1 FSRDS 측면과 대향하는 제2 FSRDS 측면;
제1 FSRDS 측면과 제2 FSRDS 측면 사이의 측부 FSRDS 측면;
제1 FSRDS 유전층; 및
제1 FSRDS 도전성 트레이스를 포함하는 제1 FSRDS 도전층;을 포함하는 제1 측면 재분배 구조("FSRDS")를 제공하고;
제1 다이 측면과 제1 다이 측면과 대향하는 제2 다이 측면을 포함하고, 제2 다이 측면이 제1 FSRDS 측면을 향하고 제1 FSRDS 측면에 결합되는 반도체 다이를 제공하며;
제1 SCIS 측면 및 제1 SCIS 측면 반대편에 있는 제2 SCIS 측면을 포함하는 적층 부품 상호접속 구조("SCIS")를 제공하고 - 제2 SCIS 측면은 제1 FSRDS 측면에 결합되고 제1 FSRDS 도전층에 전기적으로 결합되며, 반도체 다이 전체를 수직으로 가로지름 - ;
제1 FSRDS 측면 상에 있고 반도체 다이를 측부 방향으로 둘러싸는 캡슐화 재료를 제공하고, 캡슐화 재료는:
제1 캡슐화 재료 측면;
제1 캡슐화 재료 측면과 대향하고 제1 측면 재분배 구조를 마주하는 제2 캡슐화 재료 측면; 및
제1 캡슐화 재료 측면과 제2 캡슐화 재료 측면 사이의 측부 캡슐화 재료 측면;을 포함하고, 그리고
제2 측면 재배포 구조("SSRDS")를 제공하고, 제2 측면 재배포 구조는:
제1 SSRDS 측면;
제1 SSRDS 측면과 마주하고 제1 SCIS 측면과 결합되는 제2 SSRDS 측면;
제1 SSRDS 측면과 제2 SSRDS 측면 사이의 측부 SSRDS 측면;
제1 SSRDS 유전층; 및
적층 부품 상호접속 구조에 전기적으로 결합된 제1 SSRDS 도전성 트레이스를 포함하는 제1 SSRDS 도전층을 포함하며,
제1 측면 재분배 구조 및 제2 측면 재분배 구조 중 하나는 빌드업 재분배 구조를 포함하고; 그리고
제1 측면 재분배 구조 및 제2 측면 재분배 구조 중 다른 하나는 라미네이트 기판을 포함하는, 반도체 장치의 제조 방법.
a first FSRDS side;
a second FSRDS side opposite the first FSRDS side;
a side FSRDS side between the first FSRDS side and the second FSRDS side;
a first FSRDS dielectric layer; and
providing a first lateral redistribution structure (“FSRDS”) comprising; a first FSRDS conductive layer comprising a first FSRDS conductive trace;
providing a semiconductor die comprising a first die side and a second die side opposite the first die side, the second die side facing the first FSRDS side and coupled to the first FSRDS side;
providing a laminated part interconnect structure (“SCIS”) comprising a first SCIS side and a second SCIS side opposite the first SCIS side, the second SCIS side coupled to the first FSRDS side and a first FSRDS conductive layer is electrically coupled to the - and vertically traverses the entire semiconductor die;
An encapsulating material is provided on the first FSRDS side and laterally surrounding the semiconductor die, the encapsulating material comprising:
a first encapsulating material side;
a second encapsulating material side opposite the first encapsulating material side and facing the first side redistribution structure; and
a side encapsulating material side between the first encapsulating material side and the second encapsulating material side; and
A second side redistribution structure (“SSRDS”) is provided, wherein the second side redistribution structure comprises:
a first SSRDS side;
a second SSRDS side facing the first SSRDS side and coupled to the first SCIS side;
a side SSRDS side between the first SSRDS side and the second SSRDS side;
a first SSRDS dielectric layer; and
a first SSRDS conductive layer comprising a first SSRDS conductive trace electrically coupled to the stacked component interconnect structure;
one of the first side redistribution structure and the second side redistribution structure includes a build-up redistribution structure; and
and the other of the first side redistribution structure and the second side redistribution structure comprises a laminate substrate.
제27항에 있어서,
제2 측면 재분배 구조는 라미네이트 기판을 포함하는, 반도체 장치의 제조 방법.
28. The method of claim 27,
and the second side redistribution structure comprises a laminate substrate.
제27항에 있어서,
측부 FSRDS 측면, 측부 캡슐화 재료 측면, 및 측부 SSRDS 측면이 동일 평면이 되도록 형성함을 포함하는, 반도체 장치의 제조 방법.
28. The method of claim 27,
A method of manufacturing a semiconductor device, comprising: forming a side FSRDS side surface, a side encapsulating material side surface, and a side SSRDS side side to be flush.
제27항에 있어서,
반도체 다이와 제1 측면 재분배 구조 사이에 수직으로 언더필 재료를 직접 제공하는, 반도체 장치의 제조 방법.

28. The method of claim 27,
A method of manufacturing a semiconductor device, comprising providing an underfill material directly between the semiconductor die and the first lateral redistribution structure.

KR1020220090195A 2012-11-20 2022-07-21 Semiconductor package and fabricating method thereof KR20220110673A (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
KR20120131967A KR101366461B1 (en) 2012-11-20 2012-11-20 Semiconductor device and manufacturing method thereof
US14/083,779 US9799592B2 (en) 2013-11-19 2013-11-19 Semicondutor device with through-silicon via-less deep wells
US14/823,689 US9543242B1 (en) 2013-01-29 2015-08-11 Semiconductor package and fabricating method thereof
US14/823,689 2015-08-11
KR1020190058964A KR102425720B1 (en) 2013-11-19 2019-05-20 Semiconductor package and fabricating method thereof

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020190058964A Division KR102425720B1 (en) 2012-11-20 2019-05-20 Semiconductor package and fabricating method thereof

Publications (1)

Publication Number Publication Date
KR20220110673A true KR20220110673A (en) 2022-08-09

Family

ID=82848847

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220090195A KR20220110673A (en) 2012-11-20 2022-07-21 Semiconductor package and fabricating method thereof

Country Status (1)

Country Link
KR (1) KR20220110673A (en)

Similar Documents

Publication Publication Date Title
KR102425720B1 (en) Semiconductor package and fabricating method thereof
KR102586078B1 (en) Semiconductor device and method of manufacturing thereof
CN107180814B (en) Electronic device
TWI811191B (en) Semiconductor device and method of manufacturing thereof
KR101643471B1 (en) Semiconductor device having recessed edges and method of manufacture
KR101802570B1 (en) Packaging device for semiconductor device and method for manufacturing the same
US9633939B2 (en) Semiconductor package and manufacturing method thereof
CN106449611B (en) Semiconductor device with a plurality of semiconductor chips
KR20210028092A (en) Package structure and method of fabricating the same
KR20220110673A (en) Semiconductor package and fabricating method thereof
TWI726867B (en) Semiconductor package and manufacturing method thereof
TW202407917A (en) Semiconductor package and fabricating method thereof

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E90F Notification of reason for final refusal