KR20220062163A - Semiconductor device including high dielectric constant aluminium oxide layer - Google Patents

Semiconductor device including high dielectric constant aluminium oxide layer Download PDF

Info

Publication number
KR20220062163A
KR20220062163A KR1020200147548A KR20200147548A KR20220062163A KR 20220062163 A KR20220062163 A KR 20220062163A KR 1020200147548 A KR1020200147548 A KR 1020200147548A KR 20200147548 A KR20200147548 A KR 20200147548A KR 20220062163 A KR20220062163 A KR 20220062163A
Authority
KR
South Korea
Prior art keywords
aluminum precursor
chamber
aluminum
substrate
oxidizing agent
Prior art date
Application number
KR1020200147548A
Other languages
Korean (ko)
Other versions
KR102430533B1 (en
Inventor
성명모
유성호
김종찬
Original Assignee
한양대학교 산학협력단
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 한양대학교 산학협력단 filed Critical 한양대학교 산학협력단
Priority to KR1020200147548A priority Critical patent/KR102430533B1/en
Priority to PCT/KR2020/015511 priority patent/WO2022097790A1/en
Publication of KR20220062163A publication Critical patent/KR20220062163A/en
Application granted granted Critical
Publication of KR102430533B1 publication Critical patent/KR102430533B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66969Multistep manufacturing processes of devices having semiconductor bodies not comprising group 14 or group 13/15 materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L27/11582
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Memories (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Provided is a method for manufacturing a semiconductor device having an aluminum oxide layer with a high dielectric constant. To this end, firstly, a gate electrode may be formed on a substrate. The present invention further comprises: an aluminum precursor pressurized dosing step of inserting the substrate with the gate electrode into a chamber, and supplying an aluminum precursor on the substrate in a state in which a gas outlet of the chamber is closed in order to increase a reaction pressure within the chamber, thereby allowing the aluminum precursor to be absorbed over the surface of the substrate; an aluminum precursor purging step of purging the chamber after the aluminum precursor pressurized dosing step; and an oxidizing agent supply step of, after the aluminum precursor purging step, supplying an oxidizing agent into the chamber to allow the same to react with the aluminum precursor absorbed on the substrate, wherein, after the oxidizing agent supply step, a unit cycle, including an oxidizing agent purging step for purging the chamber, may be performed by multiple times so as to form a gate insulating film over the substrate, which is an aluminum oxide film. A channel layer is formed on the gate insulating film. Source/drain electrodes are formed to be connected to both side end portions of the channel layer.

Description

고유전율 알루미늄 산화막을 구비하는 반도체 소자 제조방법 {Semiconductor device including high dielectric constant aluminium oxide layer}{Semiconductor device including high dielectric constant aluminum oxide layer}

본 발명은 반도체 소자에 관한 것으로, 보다 상세하게는 트랜지스터에 관한 것이다.The present invention relates to a semiconductor device, and more particularly, to a transistor.

박막 트랜지스터(Thin Film Transistor, TFT)는 유기 발광 표시장치(Organic Light Emitting Display, OLED) 또는 액정 표시장치(Liquid Crystal Display, LCD) 등의 표시장치에서 각각의 화소(pixel)를 동작시키는 스위칭 소자로써 광범위하게 사용되고 있다. 이에 따라 박막 트랜지스터의 제조에 많은 관심이 기울여지고 있으며, 더 효율적인 박막 트랜지스터 및 그 제조 방법들이 고안되고 있다. A thin film transistor (TFT) is a switching element that operates each pixel in a display device such as an organic light emitting display (OLED) or a liquid crystal display (LCD). It is used extensively. Accordingly, much attention is paid to the manufacture of thin film transistors, and more efficient thin film transistors and manufacturing methods thereof have been devised.

특히, 표시장치의 해상도가 높아지는 등 집적도의 향상이 가속화됨에 따라 박막트랜지스터의 구동전압을 감소시키는 것이 요구되고 있다. 이를 위해, 박막트랜지스터의 게이트 절연막의 두께를 감소시켜 대응할 수 있다. 그러나, 이 경우 누설전류가 증가되는 등의 단점이 나타날 수 있다. 따라서, 박막트랜지스터의 게이트 절연막을 하프늄 산화막 등의 고유전율 절연막으로 대체하고자 하는 시도가 있다. 하지만, 하프늄 산화막의 경우 박막트랜지스터의 채널층과 반응성이 있어 게이트 절연막과 채널층 사이에 불안정한 계면을 형성할 수 있다. In particular, as the resolution of the display device is increased and the degree of integration is accelerated, it is required to reduce the driving voltage of the thin film transistor. To this end, it is possible to respond by reducing the thickness of the gate insulating film of the thin film transistor. However, in this case, there may be disadvantages such as an increase in leakage current. Accordingly, there is an attempt to replace the gate insulating film of the thin film transistor with a high dielectric constant insulating film such as a hafnium oxide film. However, in the case of the hafnium oxide layer, since it is reactive with the channel layer of the thin film transistor, an unstable interface may be formed between the gate insulating layer and the channel layer.

특히, 표시장치에서 사용되는 박막트랜지스터는, 표시장치의 특성 상 내열성이 낮은 기판 상에서 제조공정이 진행됨에 따라 낮은 공정온도를 필요로 하므로, 우수한 유전율을 가지면서도 채널층과 반응성이 낮은 게이트 절연막이 개발되어야 하는 상황이다.In particular, thin film transistors used in display devices require a low process temperature as the manufacturing process proceeds on a substrate with low heat resistance due to the characteristics of the display device. situation that should be

본 발명이 해결하고자 하는 과제는, 낮은 온도에서 성장되면서도 높은 유전율을 갖는 알루미늄 산화막 제조방법 및 이를 이용한 반도체 소자 제조방법을 제공함에 있다.An object of the present invention is to provide a method for manufacturing an aluminum oxide film having a high dielectric constant while growing at a low temperature and a method for manufacturing a semiconductor device using the same.

본 발명의 기술적 과제들은 이상에서 언급한 기술적 과제로 제한되지 않으며, 언급되지 않은 또 다른 기술적 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.The technical problems of the present invention are not limited to the technical problems mentioned above, and other technical problems not mentioned will be clearly understood by those skilled in the art from the following description.

상기 기술적 과제를 이루기 위하여 본 발명의 일 측면은 반도체 소자 제조방법을 제공한다. 상기 반도체 소자 제조방법은 기판 상에 게이트 전극, 게이트 절연막, 채널층, 및 상기 채널층의 양측단부에 접속하는 소오스/드레인 전극들을 형성하는 것을 포함한다. 상기 게이트 절연막을 형성하는 단계는, 기판을 챔버 내에 투입하고, 상기 챔버의 가스 유출구를 닫은 상태에서 상기 기판 상에 알루미늄 전구체를 공급하여 상기 챔버 내 반응압력을 증가시켜 상기 알루미늄 전구체를 상기 기판 표면 상에 흡착시키는 알루미늄 전구체 가압 도징 단계; 상기 알루미늄 전구체 가압 도징 단계 후, 상기 챔버를 퍼지하는 알루미늄 전구체 퍼지 단계; 상기 알루미늄 전구체 퍼지 단계 후, 산화제를 상기 챔버 내로 공급하여 상기 기판 상에 흡착된 알루미늄 전구체와 반응시키는 산화제 공급 단계; 및 상기 산화제 공급 단계 후, 상기 챔버를 퍼지하는 산화제 퍼지 단계를 포함하는 단위 사이클을 다수회 진행하여, 상기 기판 상에 알루미늄 산화막인 게이트 절연막을 형성하는 것이다.In order to achieve the above technical problem, an aspect of the present invention provides a method of manufacturing a semiconductor device. The semiconductor device manufacturing method includes forming a gate electrode, a gate insulating layer, a channel layer, and source/drain electrodes connected to both ends of the channel layer on a substrate. In the forming of the gate insulating film, a substrate is put into a chamber, and an aluminum precursor is supplied to the substrate in a state in which the gas outlet of the chamber is closed to increase the reaction pressure in the chamber to apply the aluminum precursor to the surface of the substrate. A pressure dosing step of adsorbing the aluminum precursor to the; an aluminum precursor purging step of purging the chamber after the aluminum precursor pressurized dosing step; after the aluminum precursor purging step, an oxidizing agent supply step of supplying an oxidizing agent into the chamber to react with the aluminum precursor adsorbed on the substrate; and a plurality of unit cycles including an oxidizer purge step of purging the chamber after the oxidizing agent supply step to form a gate insulating layer, which is an aluminum oxide layer, on the substrate.

상기 게이트 절연막은 상기 기판 상에 상기 게이트 전극이 형성된 후, 상기 게이트 전극 상에 형성되고, 상기 채널층은 상기 게이트 절연막 상에 형성될 수 있다. 다른 예에서, 상기 게이트 절연막은 상기 기판 상에 상기 채널층이 형성된 후, 상기 채널층 상에 형성되고, 상기 게이트 전극은 상기 게이트 절연막 상에 형성될 수 있다.The gate insulating layer may be formed on the gate electrode after the gate electrode is formed on the substrate, and the channel layer may be formed on the gate insulating layer. In another example, the gate insulating layer may be formed on the channel layer after the channel layer is formed on the substrate, and the gate electrode may be formed on the gate insulating layer.

상기 채널층은 금속 산화물 채널층일 수 있다. 또한, 상기 금속 산화물 채널층과 상기 알루미늄 산화막 사이에 계면이 형성될 수 있다.The channel layer may be a metal oxide channel layer. Also, an interface may be formed between the metal oxide channel layer and the aluminum oxide layer.

상기 알루미늄 전구체는 캐리어 가스 없이 공급될 수 있다. 그러나, 이 경우에도 상기 반응압력은 100 mTorr 내지 10 Torr로 매우 높을 수 있다.The aluminum precursor may be supplied without a carrier gas. However, even in this case, the reaction pressure may be very high, such as 100 mTorr to 10 Torr.

상기 알루미늄 전구체 가압 도징 단계와 상기 알루미늄 전구체 퍼지 단계는 알루미늄 전구체 서브 사이클에 포함되고, 상기 산화제 공급 단계 전에, 상기 알루미늄 전구체 서브 사이클을 다수회 반복하고, 상기 알루미늄 전구체 서브 사이클의 반복 횟수가 증가함에 따라 상기 알루미늄 전구체 가압 도징 단계에서의 반응압력이 점차 증가할 수 있다. The aluminum precursor pressure dosing step and the aluminum precursor purge step are included in the aluminum precursor subcycle, and before the oxidizing agent supply step, the aluminum precursor subcycle is repeated a plurality of times, and as the number of repetitions of the aluminum precursor subcycle increases The reaction pressure in the aluminum precursor pressurized dosing step may be gradually increased.

상기 산화제 공급단계는 상기 챔버의 가스 유출구를 닫은 상태에서 상기 산화제를 공급하여 상기 챔버 내 반응압력을 증가시킨 상태에서 진행하는 산화제 가압 도징 단계로 진행할 수 있다. 이 경우, 상기 산화제 가압 도징 단계와 상기 산화제 퍼지 단계는 산화제 서브 사이클에 포함되고, 상기 단위 사이클은 상기 산화제 서브 사이클을 연속하여 다수회 반복하는 것을 포함하고, 상기 산화제 서브 사이클의 반복 횟수가 증가함에 따라 상기 산화제 가압 도징 단계에서의 반응압력이 점차 증가할 수 있다.The oxidizing agent supplying step may proceed to the oxidizing agent pressurized dosing step in which the reaction pressure in the chamber is increased by supplying the oxidizing agent in a state in which the gas outlet of the chamber is closed. In this case, the oxidant pressurized dosing step and the oxidant purge step are included in the oxidizer subcycle, and the unit cycle includes repeating the oxidizer subcycle a plurality of times in succession, and as the number of repetitions of the oxidant subcycle increases Accordingly, the reaction pressure in the oxidizing agent pressurized dosing step may be gradually increased.

상기 알루미늄 전구체는 트라이메틸알루미늄(trimethylaluminium, TMA)이고, 상기 산화제는 H2O일 수 있다. 상기 챔버의 온도는 50 내지 150 ℃의 범위 내에 있을 수 있다. 이와 같이, 매우 낮은 챔버 온도에서 증착됨에도 불구하고, 상기 알루미늄 산화막은 8 내지 9.5의 매우 높은 유전상수(dielectric constant)를 나타낼 수 있다.The aluminum precursor may be trimethylaluminium (TMA), and the oxidizing agent may be H 2 O. The temperature of the chamber may be in the range of 50 to 150 °C. As such, despite being deposited at a very low chamber temperature, the aluminum oxide film may exhibit a very high dielectric constant of 8 to 9.5.

상기 기술적 과제를 이루기 위하여 본 발명의 다른 측면은 수직형 비휘발성 메모리 소자 제조방법을 제공한다. 먼저, 기판 상에 복수의 층간 절연막들과 복수의 제어 게이트막들을 교호적으로 적층한다. 상기 교호적으로 적층된 층간 절연막들과 제어 게이트막들을 관통하는 개구부를 형성한다. 상기 개구부의 측벽 상에 블로킹 절연막 및 전하 포획층을 차례로 형성한다. 상기 전하 포획층이 형성된 기판을 챔버 내에 투입하고, 상기 챔버의 가스 유출구를 닫은 상태에서 상기 기판 상에 알루미늄 전구체를 공급하여 상기 챔버 내 반응압력을 증가시켜 상기 알루미늄 전구체를 상기 기판 표면 상에 흡착시키는 알루미늄 전구체 가압 도징 단계; 상기 알루미늄 전구체 가압 도징 단계 후, 상기 챔버를 퍼지하는 알루미늄 전구체 퍼지 단계; 상기 알루미늄 전구체 퍼지 단계 후, 산화제를 상기 챔버 내로 공급하여 상기 기판 상에 흡착된 알루미늄 전구체와 반응시키는 산화제 공급 단계; 및 상기 산화제 공급 단계 후, 상기 챔버를 퍼지하는 산화제 퍼지 단계를 포함하는 단위 사이클을 다수회 진행하여, 상기 전하 포획층이 형성된 기판 상에 알루미늄 산화막인 터널 절연막을 형성한다. 상기 터널 절연막이 형성된 개구부의 측벽 상에 채널층을 형성한다. 상기 채널층이 형성된 개구부를 채우는 절연 기둥을 형성한다. Another aspect of the present invention provides a method of manufacturing a vertical type nonvolatile memory device in order to achieve the above technical object. First, a plurality of interlayer insulating films and a plurality of control gate films are alternately stacked on a substrate. An opening penetrating the alternately stacked interlayer insulating layers and the control gate layers is formed. A blocking insulating film and a charge trapping layer are sequentially formed on the sidewall of the opening. Putting the substrate on which the charge trapping layer is formed into a chamber, supplying an aluminum precursor to the substrate in a state in which the gas outlet of the chamber is closed, increasing the reaction pressure in the chamber to adsorb the aluminum precursor onto the surface of the substrate aluminum precursor pressure dosing; an aluminum precursor purging step of purging the chamber after the aluminum precursor pressurized dosing step; after the aluminum precursor purging step, an oxidizing agent supply step of supplying an oxidizing agent into the chamber to react with the aluminum precursor adsorbed on the substrate; and a plurality of unit cycles including an oxidizer purge step of purging the chamber after the oxidizing agent supply step to form a tunnel insulating layer, which is an aluminum oxide layer, on the substrate on which the charge trapping layer is formed. A channel layer is formed on a sidewall of the opening in which the tunnel insulating layer is formed. An insulating pillar is formed to fill the opening in which the channel layer is formed.

상기 채널층은 금속 산화물 채널층일 수 있다. 또한, 상기 금속 산화물 채널층과 상기 알루미늄 산화막 사이에 계면이 형성될 수 있다.The channel layer may be a metal oxide channel layer. Also, an interface may be formed between the metal oxide channel layer and the aluminum oxide layer.

상기 알루미늄 전구체는 캐리어 가스 없이 공급될 수 있다. 그러나, 이 경우에도 상기 반응압력은 100 mTorr 내지 10 Torr로 매우 높을 수 있다.The aluminum precursor may be supplied without a carrier gas. However, even in this case, the reaction pressure may be very high, such as 100 mTorr to 10 Torr.

상기 알루미늄 전구체 가압 도징 단계와 상기 알루미늄 전구체 퍼지 단계는 알루미늄 전구체 서브 사이클에 포함되고, 상기 산화제 공급 단계 전에, 상기 알루미늄 전구체 서브 사이클을 다수회 반복하고, 상기 알루미늄 전구체 서브 사이클의 반복 횟수가 증가함에 따라 상기 알루미늄 전구체 가압 도징 단계에서의 반응압력이 점차 증가할 수 있다. The aluminum precursor pressure dosing step and the aluminum precursor purge step are included in the aluminum precursor subcycle, and before the oxidizing agent supply step, the aluminum precursor subcycle is repeated a plurality of times, and as the number of repetitions of the aluminum precursor subcycle increases The reaction pressure in the aluminum precursor pressurized dosing step may be gradually increased.

상기 산화제 공급단계는 상기 챔버의 가스 유출구를 닫은 상태에서 상기 산화제를 공급하여 상기 챔버 내 반응압력을 증가시킨 상태에서 진행하는 산화제 가압 도징 단계로 진행할 수 있다. 이 경우, 상기 산화제 가압 도징 단계와 상기 산화제 퍼지 단계는 산화제 서브 사이클에 포함되고, 상기 단위 사이클은 상기 산화제 서브 사이클을 연속하여 다수회 반복하는 것을 포함하고, 상기 산화제 서브 사이클의 반복 횟수가 증가함에 따라 상기 산화제 가압 도징 단계에서의 반응압력이 점차 증가할 수 있다.The oxidizing agent supplying step may proceed to the oxidizing agent pressurized dosing step in which the reaction pressure in the chamber is increased by supplying the oxidizing agent in a state in which the gas outlet of the chamber is closed. In this case, the oxidant pressurized dosing step and the oxidant purge step are included in the oxidizer subcycle, and the unit cycle includes repeating the oxidizer subcycle a plurality of times in succession, and as the number of repetitions of the oxidant subcycle increases Accordingly, the reaction pressure in the oxidizing agent pressurized dosing step may be gradually increased.

상기 알루미늄 전구체는 트라이메틸알루미늄(trimethylaluminium, TMA)이고, 상기 산화제는 H2O일 수 있다. 상기 챔버의 온도는 50 내지 150 ℃의 범위 내에 있을 수 있다. 이와 같이, 매우 낮은 챔버 온도에서 증착됨에도 불구하고, 상기 알루미늄 산화막은 8 내지 9.5의 매우 높은 유전상수(dielectric constant)를 나타낼 수 있다.The aluminum precursor may be trimethylaluminium (TMA), and the oxidizing agent may be H 2 O. The temperature of the chamber may be in the range of 50 to 150 °C. As such, despite being deposited at a very low chamber temperature, the aluminum oxide film may exhibit a very high dielectric constant of 8 to 9.5.

상술한 바와 같이 본 발명의 일 실시예에 따르면, 낮은 온도에서 성장되면서도 높은 유전율 및 낮은 거칠기를 갖는 알루미늄 산화막을 구비하여, 온/오프 전류비 및 전하이동도가 향상된 반도체 소자를 제공할 수 있다.As described above, according to an embodiment of the present invention, it is possible to provide a semiconductor device having an improved on/off current ratio and improved charge mobility by providing an aluminum oxide film having a high dielectric constant and low roughness while growing at a low temperature.

특히, 본 발명의 일 실시예에 따른 알루미늄 산화막은 금속 산화물 반도체와 반응하지 않으면서도 높은 유전율을 가질 수 있어, 반도체 소자에 기존의 HfO2 또는 ZrO2등의 고유전율 절연막을 사용하지 않고도 우수한 소자 성능을 나타낼 수 있다.In particular, the aluminum oxide film according to an embodiment of the present invention may have a high dielectric constant without reacting with the metal oxide semiconductor, and thus excellent device performance without using a conventional high dielectric constant insulating film such as HfO 2 or ZrO 2 in the semiconductor device. can indicate

그러나, 본 발명의 효과들은 이상에서 언급한 효과로 제한되지 않으며, 언급되지 않은 또 다른 효과들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.However, the effects of the present invention are not limited to the above-mentioned effects, and other effects not mentioned will be clearly understood by those skilled in the art from the following description.

도 1은 본 발명의 일 실시예에 따른 박막트랜지스터를 나타낸 단면도이다.
도 2는 본 발명의 일 실시예에 따른 알루미늄 산화막 제조를 위한 알루미늄 전구체 가스 주입, 퍼지 가스 주입, 및 반응 가스 주입 타이밍도이다.
도 3은 본 발명의 다른 실시예에 따른 알루미늄 산화막 제조를 위한 알루미늄 전구체 가스 주입, 퍼지 가스 주입, 및 반응 가스 주입 타이밍도이다.
도 4는 본 발명의 일 실시예에 따른 박막 제조장치를 나타낸 개략도이다.
도 5는 본 발명의 다른 실시예에 따른 박막트랜지스터의 제조방법을 보여주는 단면도이다.
도 6은 본 발명의 또 다른 실시예에 따른 박막트랜지스터의 제조방법을 보여주는 단면도이다.
도 7a 내지 도 7f는 본 발명의 다른 실시예에 따른 수직형 비휘발성 메모리 소자의 제조방법을 나타낸 단면도들이다.
도 8a 내지 도 8d는 본 발명의 다른 실시예에 따른 수직형 비휘발성 메모리 소자의 제조방법을 나타낸 단면도들이다.
도 9는 본 제조예에 따른 알루미늄 산화막 제조를 위한 단위 사이클의 파라미터들을 정리하여 나타낸 표이다.
도 10a는 알루미늄 산화막 제조예에 따른 단위 사이클을 진행한 횟수에 따른 알루미늄 산화막의 두께를 보여주는 그래프이고, 도 10b는 알루미늄 산화막 비교예에 따른 단위 사이클을 진행한 횟수에 따른 알루미늄 산화막의 두께를 보여주는 그래프이다.
도 11a는 알루미늄 산화막 제조예에 따른 단위 사이클을 200 회 진행하여 얻은 알루미늄 산화막의 AFM(Atomic Force Microscope) 이미지이고, 도 11b는 알루미늄 산화막 비교예에 따른 단위 사이클을 200 회 진행하여 얻은 알루미늄 산화막의 AFM 이미지이다.
도 12a는 TFT 제조예에 따른 TFT의 전달특성을 보여주는 ID-VG 그래프이고, 도 12b는 TFT 비교예에 따른 TFT의 전달특성을 보여주는 ID-VG 그래프이다.
1 is a cross-sectional view showing a thin film transistor according to an embodiment of the present invention.
2 is a timing diagram illustrating injection of an aluminum precursor gas, injection of a purge gas, and injection of a reactive gas for manufacturing an aluminum oxide film according to an embodiment of the present invention.
3 is a timing diagram of injection of an aluminum precursor gas, injection of a purge gas, and injection of a reactive gas for manufacturing an aluminum oxide film according to another embodiment of the present invention.
4 is a schematic diagram showing an apparatus for manufacturing a thin film according to an embodiment of the present invention.
5 is a cross-sectional view showing a method of manufacturing a thin film transistor according to another embodiment of the present invention.
6 is a cross-sectional view showing a method of manufacturing a thin film transistor according to another embodiment of the present invention.
7A to 7F are cross-sectional views illustrating a method of manufacturing a vertical nonvolatile memory device according to another exemplary embodiment of the present invention.
8A to 8D are cross-sectional views illustrating a method of manufacturing a vertical nonvolatile memory device according to another embodiment of the present invention.
9 is a table summarizing parameters of a unit cycle for manufacturing an aluminum oxide film according to the present preparation example.
10A is a graph showing the thickness of the aluminum oxide film according to the number of times of unit cycles according to the aluminum oxide film preparation example, and FIG. 10B is a graph showing the thickness of the aluminum oxide film according to the number of times of performing unit cycles according to the comparative example of the aluminum oxide film. am.
11A is an atomic force microscope (AFM) image of an aluminum oxide film obtained by performing 200 unit cycles according to an aluminum oxide film preparation example, and FIG. 11B is an AFM of an aluminum oxide film obtained by performing 200 unit cycles according to an aluminum oxide film Comparative Example. It is an image.
12A is an ID-VG graph showing the transmission characteristics of the TFT according to the TFT Preparation Example, and FIG. 12B is an ID-VG graph showing the transmission characteristics of the TFT according to the TFT Comparative Example.

이하, 본 발명을 보다 구체적으로 설명하기 위하여 본 발명에 따른 바람직한 실시예를 첨부된 도면을 참조하여 보다 상세하게 설명한다. 그러나, 본 발명은 여기서 설명되어지는 실시예에 한정되지 않고 다른 형태로 구체화될 수도 있다. 도면들에 있어서, 층이 다른 층 또는 기판 "상"에 있다고 언급되어지는 경우에 그것은 다른 층 또는 기판 상에 직접 형성될 수 있거나 또는 그들 사이에 제 3의 층이 개재될 수도 있다. 본 실시예들에서 "제1", "제2", 또는 "제3"는 구성요소들에 어떠한 한정을 가하려는 것은 아니며, 다만 구성요소들을 구별하기 위한 용어로서 이해되어야 할 것이다.Hereinafter, preferred embodiments according to the present invention will be described in more detail with reference to the accompanying drawings in order to explain the present invention in more detail. However, the present invention is not limited to the embodiments described herein and may be embodied in other forms. In the drawings, when it is said that a layer is “on” another layer or substrate, it may be formed directly on the other layer or substrate, or a third layer may be interposed therebetween. In the present embodiments, "first", "second", or "third" is not intended to impose any limitation on the components, but should be understood as terms for distinguishing the components.

도 1은 본 발명의 일 실시예에 따른 박막트랜지스터를 나타낸 단면도이다. 1 is a cross-sectional view showing a thin film transistor according to an embodiment of the present invention.

도 1을 참조하면, 기판(10)이 제공될 수 있다. 상기 기판(S)은 반도체 기판, 금속 기판, 유리 기판, 또는 플렉시블 기판일 수 있다. 예를 들어, 상기 플렉시블 기판은 고분자 기판, 일 예로서 PET(polyethylene terephthalate) 또는 PI (polyimide) 기판일 수 있다. 상기 기판(10) 상에 일방향으로 연장되는 게이트 전극(20)을 형성할 수 있다. 상기 게이트 전극(20)은 Al, Cr, Cu, Ta, Ti, Mo, W, 또는 이들의 합금을 사용하여 형성할 수 있다. 상기 게이트 전극(상기 게이트 전극(20) 상에 게이트 절연막(30)을 형성할 수 있다. Referring to FIG. 1 , a substrate 10 may be provided. The substrate S may be a semiconductor substrate, a metal substrate, a glass substrate, or a flexible substrate. For example, the flexible substrate may be a polymer substrate, for example, a PET (polyethylene terephthalate) or PI (polyimide) substrate. A gate electrode 20 extending in one direction may be formed on the substrate 10 . The gate electrode 20 may be formed using Al, Cr, Cu, Ta, Ti, Mo, W, or an alloy thereof. A gate insulating layer 30 may be formed on the gate electrode (the gate electrode 20 ).

상기 게이트 절연막(30)은 하기 도 2 내지 도 4를 참조하여 설명한 방법을 사용하여 형성할 수 있다.The gate insulating layer 30 may be formed using the method described with reference to FIGS. 2 to 4 below.

도 2는 본 발명의 일 실시예에 따른 알루미늄 산화막 제조를 위한 알루미늄 전구체 가스 주입, 퍼지 가스 주입, 및 반응 가스 주입 타이밍도이다. 도 3은 본 발명의 다른 실시예에 따른 알루미늄 산화막 제조를 위한 알루미늄 전구체 가스 주입, 퍼지 가스 주입, 및 반응 가스 주입 타이밍도이다. 도 4는 본 발명의 일 실시예에 따른 박막 제조장치를 나타낸 개략도이다.2 is a timing diagram illustrating injection of an aluminum precursor gas, injection of a purge gas, and injection of a reactive gas for manufacturing an aluminum oxide film according to an embodiment of the present invention. 3 is a timing diagram of injection of an aluminum precursor gas, injection of a purge gas, and injection of a reactive gas for manufacturing an aluminum oxide film according to another embodiment of the present invention. 4 is a schematic diagram showing an apparatus for manufacturing a thin film according to an embodiment of the present invention.

도 2, 도 3, 및 도 4를 참조하면, 가스 유입구(120) 및 가스 유출구(140)을 구비하는 챔버 (100) 내의 스테이지(102) 상에 도 1의 기판(10)을 로딩할 수 있다. 도 1을 참조하여 설명한 바와 같이 상기 기판(10) 상에는 게이트 전극(20)이 형성되어 있을 수 있다. 상기 기판(10)의 상부면 일 예로서, 상기 게이트 전극(20)의 상부면 상에는 하이드록시기들이 노출될 수 있다. 이를 위해, 상기 챔버 (100) 내에 로딩하기 전에, 기판(10)의 상부면 일 예로서, 상기 게이트 전극(20)의 상부면을 표면처리할 수도 있다. 2 , 3 , and 4 , the substrate 10 of FIG. 1 may be loaded on a stage 102 in a chamber 100 having a gas inlet 120 and a gas outlet 140 . . As described with reference to FIG. 1 , a gate electrode 20 may be formed on the substrate 10 . As an example of the upper surface of the substrate 10 , hydroxyl groups may be exposed on the upper surface of the gate electrode 20 . To this end, before loading into the chamber 100 , as an example of the upper surface of the substrate 10 , the upper surface of the gate electrode 20 may be surface-treated.

상기 기판(10)를 로딩하기 전에, 상기 챔버(100)는 제어부(150)에 의해 증착 온도로 가열되고 유지될 수 있다. 증착 온도는 50 내지 150 ℃, 60 내지 140 ℃, 70 내지 130 ℃, 80 내지 120 ℃, 90 내지 110 ℃ 또는 95 내지 105 ℃일 수 있다. 가스 유출구(140)은 진공펌프(미도시)에 연결되어 있을 수 있다. Before loading the substrate 10 , the chamber 100 may be heated and maintained at a deposition temperature by the controller 150 . The deposition temperature may be 50 to 150 °C, 60 to 140 °C, 70 to 130 °C, 80 to 120 °C, 90 to 110 °C, or 95 to 105 °C. The gas outlet 140 may be connected to a vacuum pump (not shown).

먼저, 가스 유입구(120)에 연결된 모든 가스 제어 밸브들(130, 132, 134)를 닫고 상기 가스 유출구(140)에 연결된 가스 유출 밸브(142)를 열어 챔버(100) 내부를 진공상태로 만들 수 있다. 이 후, 알루미늄 전구체 가스 제어 밸브(130)을 열고 가스 유출 밸브(142)를 닫은 상태에서, 알루미늄 전구체 저장부(110)으로부터 알루미늄 전구체 가스를 챔버(100) 내로 공급할 수 있다.First, close all the gas control valves 130 , 132 , 134 connected to the gas inlet 120 and open the gas outlet valve 142 connected to the gas outlet 140 to create a vacuum inside the chamber 100 . there is. Thereafter, while the aluminum precursor gas control valve 130 is opened and the gas outlet valve 142 is closed, the aluminum precursor gas may be supplied from the aluminum precursor storage unit 110 into the chamber 100 .

알루미늄 전구체는 트라이(C1-C5 알킬)알루미늄, 일 예로서 트라이메틸알루미늄(trimethylaluminium, TMA) 을 포함할 수 있다. 알루미늄 전구체 저장부(110) 내에 알루미늄 전구체는 액체 상태로 저장될 수 있다. The aluminum precursor may include tri(C1-C5 alkyl)aluminum, for example, trimethylaluminium (TMA). The aluminum precursor may be stored in a liquid state in the aluminum precursor storage unit 110 .

상기 알루미늄 전구체 저장부(110)는 알루미늄 전구체의 열분해 온도 미만으로 가열되고 이에 따라 알루미늄 전구체는 소정의 증기압으로 챔버(100) 내로 공급될 수 있다. 이 때, 공급되는 알루미늄 전구체는 캐리어 가스 없이 공급될 수 있다. 상기 알루미늄 전구체는 가스 유출 밸브(142)가 닫긴 상태에서 공급되므로, 상기 챔버(100) 내에 축적되면서 상기 챔버(100) 내의 압력을 증가시킬 수 있다. 상기 알루미늄 전구체는 상기 챔버(100)의 압력이 반응압력(PM)에 이를 때까지 공급될 수 있다(알루미늄 전구체 공급 단계, MD1). 반응압력 즉, 알루미늄 전구체 가스의 압력은 수백 mTorr 내지 수 Torr의 범위, 일 예로서, 100 mTorr 내지 10 Torr일 수 있다. 상기 반응압력 즉, 알루미늄 전구체 가스의 압력은 구체적으로 500 mTorr 내지 7 Torr, 800 mTorr 내지 6 Torr, 또는 1 Torr 내지 5 Torr일 수 있다.The aluminum precursor storage unit 110 is heated below the thermal decomposition temperature of the aluminum precursor, and accordingly, the aluminum precursor may be supplied into the chamber 100 at a predetermined vapor pressure. At this time, the supplied aluminum precursor may be supplied without a carrier gas. Since the aluminum precursor is supplied while the gas outlet valve 142 is closed, the pressure in the chamber 100 may be increased while accumulating in the chamber 100 . The aluminum precursor may be supplied until the pressure of the chamber 100 reaches the reaction pressure P M (aluminum precursor supply step, MD 1 ). The reaction pressure, that is, the pressure of the aluminum precursor gas may be in the range of several hundred mTorr to several Torr, for example, 100 mTorr to 10 Torr. The reaction pressure, that is, the pressure of the aluminum precursor gas may be specifically 500 mTorr to 7 Torr, 800 mTorr to 6 Torr, or 1 Torr to 5 Torr.

상기 챔버(100) 내의 압력이 반응압력(PM)에 이르면 알루미늄 전구체 가스 제어 밸브(130)를 닫고, 소정 시간 챔버를 밀폐시킬 수 있다(알루미늄 전구체 노출 단계, ME1). 상기 알루미늄 전구체 공급 단계(MD1)와 상기 알루미늄 전구체 노출 단계(ME1)는 알루미늄 전구체 가압 도징 단계로 불리울 수 있다. 다만, 알루미늄 전구체 노출 단계(ME1)는 경우에 따라 생략될 수도 있다.When the pressure in the chamber 100 reaches the reaction pressure P M , the aluminum precursor gas control valve 130 may be closed, and the chamber may be closed for a predetermined time (aluminum precursor exposure step, ME 1 ). The aluminum precursor supply step (MD 1 ) and the aluminum precursor exposure step (ME 1 ) may be referred to as an aluminum precursor pressure dosing step. However, the aluminum precursor exposure step (ME 1 ) may be omitted in some cases.

알루미늄 전구체 가압 도징 단계 즉, 상기 알루미늄 전구체 공급 단계(MD1)와 상기 알루미늄 전구체 노출 단계(ME1)에서 알루미늄 전구체 가스 일 예로서, TMA는 하기 화학식 1과 같이 기판의 표면 혹은 기판 상에 이미 형성된 층의 표면에 화학흡착(chemisorption) 및 자기포화반응(self-saturated reaction)에 의해 증착될 수 있다. As an example of an aluminum precursor gas in the aluminum precursor pressurized dosing step, that is, the aluminum precursor supply step (MD 1 ) and the aluminum precursor exposure step (ME 1 ), TMA is formed on the surface of the substrate or on the substrate as shown in Formula 1 below. It can be deposited on the surface of the layer by chemisorption and self-saturated reaction.

[화학식 1][Formula 1]

M-OH + Al(CH3)3 → M-O-Al-(CH3)2 + CH4 M-OH + Al(CH 3 ) 3 → MO-Al-(CH 3 ) 2 + CH 4

상기 화학식 1에서 M는 기판 또는 게이트 전극 표면을 의미한다.In Formula 1, M denotes a surface of a substrate or a gate electrode.

상기 알루미늄 전구체 가스의 화학 흡착과 자기포화반응은 가압된 환경 구체적으로, 가압된 정체 환경(stagnant environment)에서 진행되므로, 상기 알루미늄 전구체 가스의 기판 혹은 기판 상에 이미 형성된 층의 표면에의 화학 흡착률 혹은 표면 커버리지가 크게 향상될 수 있다. 상기 가압된 정체 환경은 종래 일반 원자층 증착법에서 상기 알루미늄 전구체 가스가 챔버 내에서 층류(laminar flow)를 이루어 흐르는 것과는 구별된다.Since the chemical adsorption and self-saturation reaction of the aluminum precursor gas proceed in a pressurized environment, specifically, in a pressurized stagnant environment, the rate of chemisorption of the aluminum precursor gas on the substrate or the surface of a layer already formed on the substrate Alternatively, the surface coverage may be greatly improved. The pressurized stagnant environment is distinguished from the conventional atomic layer deposition method in which the aluminum precursor gas flows in a laminar flow within the chamber.

이 후, 챔버를 퍼지할 수 있다(알루미늄 전구체 퍼지 단계, MP1). 구체적으로, 퍼지 가스 제어 밸브(132)와 가스 유출 밸브(142)를 열어, 퍼지 가스 저장부(112) 내의 퍼지 가스를 챔버 내의 기판 표면 상으로 흘려보내 기판의 표면에 흡착되지 못한 과잉 알루미늄 전구체 가스 및 알루미늄 전구체 가스와 기판 표면 사이의 반응에 의해 생성된 반응 부산물(ex. CH4)을 제거할 수 있다. 이 때, 퍼지 가스는 불활성 가스로 불활성 가스는 예를 들어, 아르곤(Ar), 질소(N2) 또는 이들의 조합인 가스를 구비할 수 있다.Thereafter, the chamber may be purged (aluminum precursor purge step, MP 1 ). Specifically, the purge gas control valve 132 and the gas outlet valve 142 are opened to flow the purge gas in the purge gas storage unit 112 onto the surface of the substrate in the chamber, so that the excess aluminum precursor gas that is not adsorbed to the surface of the substrate and reaction by-products (ex. CH 4 ) generated by the reaction between the aluminum precursor gas and the substrate surface may be removed. In this case, the purge gas is an inert gas, and the inert gas may include, for example, argon (Ar), nitrogen (N 2 ), or a combination thereof.

상기 알루미늄 전구체 가압 도징 단계 (MD1, ME1)와 알루미늄 전구체 퍼지 단계(MP1)는 알루미늄 전구체 서브 사이클(M-SC1)을 구성할 수 있고, 알루미늄 전구체 서브 사이클(M-SCn)을 1회 내지 다수회, 구체적으로 1 내지 10회(n=1 ~ 10), 3 내지 7회(n=3~7), 또는 4 내지 6회(n=4~6) 반복 실시할 수 있다. 상기 다수의 알루미늄 전구체 서브 사이클들(M-SCn)은 알루미늄 전구체 단위 사이클(M-UC)을 구성할 수 있다. 상기 알루미늄 전구체 서브 사이클들을 다수회 수행할 때(M-SC1, M-SC2, … M-SCn, n≥2), 도 2에 도시된 것과 같은 실시예에서는 알루미늄 전구체 가압 도징 단계들(MD1, MD2, … MDn, ME1, ME2, … MEn, n≥2)에서의 반응압력(PM)은 실질적으로 동일할 수 있고, 도 3에 도시된 것과 같은 실시예에서는 알루미늄 전구체 가압 도징 단계들(MD1, MD2, … MDn, ME1, ME2, … MEn, n≥2)에서의 반응압력(PM1, PM2, PMn)은 서로 다를 수 있다. 도 3에서는 알루미늄 전구체 가압 도징 단계들(MD1, MD2, … MDn, ME1, ME2, … MEn, n≥2)의 횟수가 증가할수록 반응압력(PM1, PM2, PMn)을 점차 증가시키는 것으로 도시하였으나, 이에 한정되지 않고 반응압력은 점차 감소할 수도 있다.The aluminum precursor pressurized dosing step (MD 1 , ME 1 ) and the aluminum precursor purge step (MP 1 ) may constitute an aluminum precursor sub-cycle (M-SC 1 ), and the aluminum precursor sub-cycle (M-SC n ) 1 to multiple times, specifically 1 to 10 times (n=1 to 10), 3 to 7 times (n=3 to 7), or 4 to 6 times (n=4 to 6) may be repeated. The plurality of aluminum precursor subcycles M-SC n may constitute an aluminum precursor unit cycle M-UC. When performing the aluminum precursor sub-cycles multiple times (M-SC 1 , M-SC 2 , ... M-SC n , n≥2), in an embodiment such as that shown in FIG. 2 , the aluminum precursor pressure dosing steps ( The reaction pressures (P M ) at MD 1 , MD 2 , … MD n , ME1 , ME2 , … MEn, n≥2) may be substantially the same, and in an embodiment such as that shown in FIG. 3 , the aluminum precursor is pressed The reaction pressures P M1 , P M2 , P Mn in the dosing steps MD 1 , MD 2 , ... MD n , ME1 , ME2 , ... MEn, n≥2 may be different from each other. In FIG. 3, as the number of aluminum precursor pressurized dosing steps (MD 1 , MD 2 , … MD n , ME1, ME2, … MEn, n≥2) increases, the reaction pressure (P M1 , P M2 , P Mn ) is gradually increased. Although illustrated as increasing, the reaction pressure is not limited thereto and the reaction pressure may be gradually decreased.

상기 알루미늄 전구체 단위 사이클(M-UC)을 진행한 후, 기판(10) 상에는 기판(10) 또는 상기 게이트 전극(20)의 상부면에 화학결합한 알루미늄 전구체층 일 예로, -Al(CH3)2 인 모노레이어가 형성될 수 있다.After the aluminum precursor unit cycle (M-UC) is performed, an aluminum precursor layer chemically bonded to the upper surface of the substrate 10 or the gate electrode 20 on the substrate 10, for example, -Al(CH 3 ) 2 A monolayer may be formed.

알루미늄 전구체 단위 사이클(M-UC) 수행후 후, 반응가스를 상기 챔버 내로 공급하는 반응가스 공급 단계(반응가스 공급 단계, OD1)를 수행할 수 있다. 상기 반응가스는 상기 기판 상에 흡착된 알루미늄 전구체와 반응할 수 있다. 상기 반응가스는 구체적으로 상기 알루미늄 전구체를 산화시켜 알루미늄 산화물 단위층 을 형성하는 산화제일 수 있다. 산화제는 H2O, H2O2, O2, 또는 O3를 포함할 수 있으나 이에 한정되는 것은 아니다. 일 구체예에서, 상기 산화제는 H2O일 수 있다.After performing the aluminum precursor unit cycle (M-UC), a reaction gas supply step (reactive gas supply step, OD 1 ) of supplying a reaction gas into the chamber may be performed. The reaction gas may react with the aluminum precursor adsorbed on the substrate. The reaction gas may be an oxidizing agent that specifically oxidizes the aluminum precursor to form an aluminum oxide unit layer. The oxidizing agent may include, but is not limited to, H 2 O, H 2 O 2 , O 2 , or O 3 . In one embodiment, the oxidizing agent may be H 2 O.

일 실시예에서, 반응가스 제어 밸브(134)을 열고 가스 유출 밸브(142)를 닫은 상태에서, 상기 반응가스 저장부(114)로부터 반응가스를 챔버(100) 내로 공급할 수 있다. 상기 반응가스는 가스 유출 밸브(142)가 닫긴 상태에서 공급되므로, 상기 챔버(100) 내에 축적되면서 상기 챔버(100) 내의 압력을 증가시킬 수 있다. 상기 반응가스는 상기 챔버(100)의 압력이 반응압력(POX)에 이를 때까지 공급될 수 있다. 반응압력 즉, 반응가스의 압력은 mTorr 내지 수 Torr의 범위, 일 예로서, 100 mTorr 내지 10 Torr일 수 있다. 상기 반응압력 즉, 알루미늄 전구체 가스의 압력은 구체적으로 500 mTorr 내지 7 Torr, 800 mTorr 내지 6 Torr, 또는 1 Torr 내지 5 Torr일 수 있다. 일 실시예에서, 공급되는 반응가스는 캐리어 가스없이 공급될 수 있다. 상기 반응가스 저장부(114) 내에 반응가스는 액체 혹은 기체 상태로 저장될 수 있다. 상기 반응가스 저장부(114)는 가열되고 상기 반응가스는 소정의 증기압으로 챔버(100) 내로 공급될 수 있다 In an embodiment, in a state in which the reaction gas control valve 134 is opened and the gas outlet valve 142 is closed, the reaction gas may be supplied from the reaction gas storage unit 114 into the chamber 100 . Since the reaction gas is supplied while the gas outlet valve 142 is closed, the pressure in the chamber 100 may be increased while accumulating in the chamber 100 . The reaction gas may be supplied until the pressure of the chamber 100 reaches the reaction pressure P OX . The reaction pressure, that is, the pressure of the reaction gas may be in the range of mTorr to several Torr, for example, 100 mTorr to 10 Torr. The reaction pressure, that is, the pressure of the aluminum precursor gas may be specifically 500 mTorr to 7 Torr, 800 mTorr to 6 Torr, or 1 Torr to 5 Torr. In one embodiment, the supplied reactant gas may be supplied without a carrier gas. The reaction gas may be stored in a liquid or gaseous state in the reaction gas storage unit 114 . The reaction gas storage unit 114 may be heated and the reaction gas may be supplied into the chamber 100 at a predetermined vapor pressure.

반응압력(POX)에 이르면 반응가스 제어 밸브(134)를 닫고, 소정 시간 챔버를 밀폐시킬 수 있다(반응가스 노출 단계, OE1). 상기 반응가스 공급 단계(OD1)와 상기 반응가스 노출 단계(OE1)는 반응가스 가압 도징 단계로 불리울 수 있다. 다만, 상기 반응가스 노출 단계(OE1)는 경우에 따라 생략될 수도 있다.When the reaction pressure P OX is reached, the reaction gas control valve 134 may be closed, and the chamber may be sealed for a predetermined time (reaction gas exposure step, OE 1 ). The reaction gas supply step (OD 1 ) and the reaction gas exposure step (OE 1 ) may be referred to as a reaction gas pressurization dosing step. However, the reaction gas exposure step (OE 1 ) may be omitted in some cases.

상기 반응가스 가압 도징 단계 즉, 상기 반응가스 공급 단계(OD1)와 상기 반응가스 노출 단계(OE1)에서 반응가스는 하기 화학식 2에 나타낸 바와 같이 기판 상에 형성된 알루미늄 전구체층과 반응하여 상기 알루미늄 전구체층을 알루미늄 산화물 단위층으로 변화시킬 수 있다. In the reaction gas pressurization dosing step, that is, the reaction gas supply step (OD 1 ) and the reaction gas exposure step (OE 1 ), the reaction gas reacts with the aluminum precursor layer formed on the substrate as shown in Formula 2 below to react with the aluminum The precursor layer may be changed to an aluminum oxide unit layer.

[화학식 2][Formula 2]

M-O-Al-(CH3)2 + 2H2O → M-O-Al-(OH)2 + 2CH4 MO-Al-(CH 3 ) 2 + 2H 2 O → MO-Al-(OH) 2 + 2CH 4

앞서 설명한 바와 같이, 상기 반응가스와 상기 알루미늄 전구체층과의 반응은 가압된 환경 구체적으로, 라미나 플로우 환경이 아닌 가압된 정체 환경(stagnant environment)에서 진행될 수 있다. 그러나, 이에 한정되지 않고 반응가스는 가스 유출 밸브(142)를 연 상태에서 공급되어 챔버 내에서 라미나 플로우를 형성한 상태에서 상기 알루미늄 전구체층과 반응할 수도 있다. As described above, the reaction between the reaction gas and the aluminum precursor layer may be performed in a pressurized environment, specifically, in a pressurized stagnant environment, not in a lamina flow environment. However, the present invention is not limited thereto, and the reaction gas may be supplied with the gas outlet valve 142 open to react with the aluminum precursor layer while forming a lamina flow in the chamber.

이 후, 챔버를 퍼지할 수 있다(반응가스 퍼지 단계, OP1). 구체적으로, 퍼지 가스 제어 밸브(132)와 가스 유출 밸브(142)를 열어, 퍼지 가스 저장부(112) 내의 퍼지 가스를 기판 표면 상으로 흘려보내 알루미늄 전구체층과 반응하지 못한 과잉 반응가스 및 반응가스와 알루미늄 전구체 사이의 반응에 의해 생성된 반응 부산물을 제거할 수 있다. 이 때, 퍼지 가스는 불활성 가스로 불활성 가스는 예를 들어, 아르곤(Ar), 질소(N2) 또는 이들의 조합인 가스를 구비할 수 있다.After that, the chamber may be purged (reactant gas purging step, OP 1 ). Specifically, the purge gas control valve 132 and the gas outlet valve 142 are opened to flow the purge gas in the purge gas storage unit 112 onto the surface of the substrate, so that the excess reactive gas and reactive gas that did not react with the aluminum precursor layer A reaction by-product generated by the reaction between the aluminum precursor and the aluminum precursor may be removed. In this case, the purge gas is an inert gas, and the inert gas may include, for example, argon (Ar), nitrogen (N 2 ), or a combination thereof.

상기 반응가스 가압 도징 단계 (OD1, OE1), 및 반응가스 퍼지 단계(OP1)는 반응가스 서브 사이클(O-SC1)을 구성할 수 있고, 반응가스 서브 사이클(O-SCn)을 1회 내지 다수회, 구체적으로 1 내지 10회(n=1 ~ 10), 예를 들어 2 내지 8회(n=2~8), 3 내지 7회(n=3~7), 또는 4 내지 6회(n=4~6) 반복실시할 수 있다. 상기 다수의 반응가스 서브 사이클들(O-SCn)은 반응가스 단위 사이클(O-UC)을 구성할 수 있다. 상기 반응가스 서브 사이클들을 다수회 수행할 때(O-SC1, O-SC2, … O-SCn, n≥2), 도 2에 도시된 것과 같은 실시예에서는 반응가스 가압 도징 단계들(OD1, OD2, … ODn, OE1, OE2, … OEn, n≥2)에서의 반응압력(POX)은 실질적으로 동일할 수 있고, 도 3에 도시된 것과 같은 실시예에서는 반응가스 가압 도징 단계들(OD1, OD2, … ODn, OE1, OE2, … OEn, n≥2)에서의 반응압력(POX1, POX2, POXn)은 서로 다를 수 있다. 도 2에서는 반응가스 가압 도징 단계들(OD1, OD2, … ODn, OE1, OE2, … OEn, n≥2)의 횟수가 증가할수록 반응압력(POX1, POX2, POXn)을 점차 증가시키는 것으로 도시하였으나, 이에 한정되지 않고 반응압력은 점차 감소할 수도 있다.The reaction gas pressurization dosing step (OD 1 , OE 1 ), and the reaction gas purge step (OP 1 ) may constitute a reaction gas sub-cycle (O-SC 1 ), and the reaction gas sub-cycle (O-SC n ) 1 to multiple times, specifically 1 to 10 times (n = 1 to 10), for example 2 to 8 times (n = 2 to 8), 3 to 7 times (n = 3 to 7), or 4 It can be repeated to 6 times (n = 4 to 6). The plurality of reactive gas sub-cycles O-SC n may constitute a reactive gas unit cycle O-UC. When performing the reaction gas sub-cycles a plurality of times (O-SC 1 , O-SC 2 , ... O-SC n , n≥2), in the embodiment as shown in FIG. 2, the reaction gas pressurization dosing steps ( OD 1 , OD 2 , … OD n , OE 1 , OE 2 , … OE n , n≥2), the reaction pressure ( PO OX ) may be substantially the same, and in the embodiment as shown in FIG. 3 , The reaction pressures (P OX1 , P OX2 , P OXn ) in the reaction gas pressurized dosing steps (OD 1 , OD 2 , … OD n , OE 1 , OE 2 , … OE n , n≥2) may be different from each other . In FIG. 2, as the number of reaction gas pressurization dosing steps (OD 1 , OD 2 , ... OD n , OE 1 , OE 2 , ... OE n , n≥2) increases, the reaction pressure (P OX1 , P OX2 , P OXn) ) is shown to be gradually increased, but the present invention is not limited thereto and the reaction pressure may be gradually decreased.

상기 알루미늄 전구체 단위 사이클(M-UC) 1회와 상기 반응가스 단위 사이클(O-UC) 1회를 수행하였을 때 얻어지는 상기 알루미늄 산화막인 단위층의 두께 즉, 단위 사이클당 두께는 약 1 내지 2Å 구체적으로 1.2 내지 1.9 Å, 1.4 내지 1.8 Å, 또는 1.5 내지 1.7 Å일 수 있다. 이 후, 상기 알루미늄 전구체 단위 사이클(M-UC)과 상기 반응가스 단위 사이클(O-UC)을 교호적으로 반복 수행할 수 있다. 반복 수행의 횟수는 알루미늄 산화막의 최종 두께를 결정할 수 있다. 또한, 본 실시예에 따른 방법을 사용하여 얻어지는 알루미늄 산화막은 두께 균일도가 매우 우수하며 표면 거칠기인 RMS(Root Mean Square) 값이 3 Å 미만 일 예로서 2.5 Å 미만 일 구체예에서 1.5 내지 2.3 Å 또는 2 내지 2.2 Å 의 매우 낮은 값을 나타내는 등 우수한 표면 몰폴러지를 나타낼 수 있다. The thickness of the unit layer, which is the aluminum oxide film, obtained when the aluminum precursor unit cycle (M-UC) is performed once and the reaction gas unit cycle (O-UC) is performed once, that is, the thickness per unit cycle is about 1 to 2 Å. as 1.2 to 1.9 Å, 1.4 to 1.8 Å, or 1.5 to 1.7 Å. Thereafter, the aluminum precursor unit cycle (M-UC) and the reaction gas unit cycle (O-UC) may be alternately repeated. The number of repetitions may determine the final thickness of the aluminum oxide film. In addition, the aluminum oxide film obtained by using the method according to the present embodiment has very good thickness uniformity and has a surface roughness RMS (Root Mean Square) value of less than 3 Å, for example, less than 2.5 Å in one embodiment, 1.5 to 2.3 Å or Excellent surface morphology can be exhibited, such as showing a very low value of 2 to 2.2 Å.

이와 같이 반응압력을 높힌 가압된 정체 환경(stagnant environment)에서 알루미늄 전구체 흡착을 진행함에 따라, 150도 이하의 매우 낮은 온도에서 우수한 두께 균일도를 갖는 알루미늄 산화막을 제조할 수 있다. 낮은 온도에서 알루미늄 산화막을 제조하는 것은 열처리량(thermal budget)을 줄여 하부에 이미 형성된 반도체층 내의 불순물의 확산등을 억제할 수 있음에 따라 고집적 소자에 유리할 수 있고, 하부의 기판이 플라스틱 기판이거나 혹은 기판 상에 이미 형성된 소자구성 요소가 유기반도체 등이 유기물인 경우 유기물의 손상을 억제할 수 있다.As the aluminum precursor adsorption proceeds in a pressurized stagnant environment in which the reaction pressure is increased as described above, an aluminum oxide film having excellent thickness uniformity at a very low temperature of 150 degrees C or less can be manufactured. Manufacturing the aluminum oxide film at a low temperature can be advantageous for highly integrated devices as it can suppress the diffusion of impurities in the semiconductor layer already formed underneath by reducing the thermal budget, and the lower substrate is a plastic substrate or When the device component already formed on the substrate is an organic material such as an organic semiconductor, damage to the organic material can be suppressed.

또한, 상기 알루미늄 산화막(30)은 150도 이하의 매우 낮은 온도에서 증착하였음에도 불구하고 8 이상, 구체적으로 8 내지 9.5, 일 예로서 8.5 내지 9의 높은 유전상수(dielectric constant)를 나타낸다. 이는 150도 정도의 매우 낮은 온도에서 라미나 플로우를 사용한 일반적인 원자층 증착법을 사용하여 형성한 알루미늄 산화막이 7 미만의 유전상수를 나타내는 것에 비교하면 매우 높은 값이다.In addition, the aluminum oxide film 30 exhibits a high dielectric constant of 8 or more, specifically 8 to 9.5, for example, 8.5 to 9 even though it is deposited at a very low temperature of 150 degrees or less. This is a very high value compared to an aluminum oxide film formed using a general atomic layer deposition method using a laminar flow at a very low temperature of about 150°C, showing a dielectric constant of less than 7.

상기 알루미늄 산화막(30) 상에 상기 게이트 전극(20)의 상부를 가로지르도록 패터닝된 금속 산화물 채널층(45)을 형성할 수 있다. 상기 금속 산화물 채널층(45)은 일 예로서, In, Ga, Zn, Sn, 및 Cu 로 이루어진 군에서 선택되는 하나 이상의 전이금속의 산화물층일 수 있다. 일 예로서, 금속 산화물 채널층(45)은 ZnO, In2O3, SnO, InGaO, InGaZnO, 또는 InZnO층일 수 있다. 상기 금속 산화물 채널층(45)은 본 기술분야에서 사용되는 다양한 방법을 사용하여 형성될 수 있으며 일 예로서 스퍼터링 등의 물리적 증착법 또는 화학기상증착법, 원자층증착법 등의 화학적 증착법을 사용하여 형성될 수 있고, 또한 본 기술분야에서 사용되는 다양한 방법을 사용하여 패터닝될 수 있다. 상기 금속 산화물 채널층(45)은 수 내지 수십 nm의 두께, 예를 들어, 5 내지 50nm의 두께, 일 예로서 10 내지 30nm의 두께로 형성할 수 있다. A metal oxide channel layer 45 patterned to cross the upper portion of the gate electrode 20 may be formed on the aluminum oxide layer 30 . The metal oxide channel layer 45 may be, for example, an oxide layer of one or more transition metals selected from the group consisting of In, Ga, Zn, Sn, and Cu. As an example, the metal oxide channel layer 45 may be a ZnO, In 2 O 3 , SnO, InGaO, InGaZnO, or InZnO layer. The metal oxide channel layer 45 may be formed using various methods used in the art, and as an example, a physical vapor deposition method such as sputtering or a chemical vapor deposition method such as chemical vapor deposition or atomic layer deposition It can be formed using and may be patterned using various methods used in the art. The metal oxide channel layer 45 may be formed to a thickness of several to several tens of nm, for example, 5 to 50 nm, for example, 10 to 30 nm.

상기 금속 산화물 채널층(45)의 양측 단부들 상에 소오스 전극(50S)과 드레인 전극(50D)을 형성하여, 상기 소오스 전극(50S)과 드레인 전극(50D) 사이에 상기 금속 산화물 채널층(45)의 일부 표면 구체적으로, 상기 금속 산화물 채널층(45)이 상기 게이트 전극(20)과 중첩되는 영역을 노출시킬 수 있다. 소오스 전극(50S)과 드레인 전극(50D)은 알루미늄(Al), 네오디뮴(Nd), 은(Ag), 크롬(Cr), 티타늄(Ti), 탄탈륨(Ta) 및 몰리브덴(Mo) 중 적어도 어느 하나의 금속 또는 이들을 포함하는 합금, 또는 금속산화물 전도성막 일 예로서, ITO(Indium Tin Oxide)을 사용하여 형성할 수 있다.A source electrode 50S and a drain electrode 50D are formed on both ends of the metal oxide channel layer 45 , and the metal oxide channel layer 45 is disposed between the source electrode 50S and the drain electrode 50D. ), specifically, a region where the metal oxide channel layer 45 overlaps the gate electrode 20 may be exposed. The source electrode 50S and the drain electrode 50D may include at least one of aluminum (Al), neodymium (Nd), silver (Ag), chromium (Cr), titanium (Ti), tantalum (Ta), and molybdenum (Mo). As an example of a metal of or an alloy containing them, or a metal oxide conductive film, it may be formed using Indium Tin Oxide (ITO).

상기 알루미늄 산화막(30)은 앞서 설명한 바와 같이 낮은 온도에서 증착되었음에도 불구하여 유전율이 높아 등가산화물막두께(equivalent oxide thickness)를 줄이면서도 누설전류도 감소시킬 수 있다. 이와 더불어, 상기 알루미늄 산화막(30)은 매우 낮은 표면 거칠기를 가짐에 따라, 상기 금속 산화물 채널층(45)을 통해 흐르는 전하가 상기 금속 산화물 채널층(45)과 상기 알루미늄 산화막(30) 계면에서 표면 산란되는 것을 억제하여 전하이동도를 향상시킬 수 있다.Although the aluminum oxide film 30 is deposited at a low temperature as described above, it has a high dielectric constant, so that it is possible to reduce an equivalent oxide thickness and also a leakage current. In addition, as the aluminum oxide film 30 has a very low surface roughness, electric charges flowing through the metal oxide channel layer 45 are transferred to the surface at the interface between the metal oxide channel layer 45 and the aluminum oxide film 30 . By suppressing scattering, charge mobility can be improved.

한편, 고유전율 절연막으로는 HfO2 또는 ZrO2 가 대표적이나, HfO2 또는 ZrO2 는 반응성이 커서 금속 산화물 채널층(45)과 계면반응 및 상호확산을 일으켜 소자를 열화시킬 수 있다. 그러나, 본 실시예서는 금속 산화물 채널층(45)과 계면반응 및 상호확산을 일으킬 염려가 거의 없는 알루미늄 산화막(30)을 사용하면서도, 상기 알루미늄 산화막(30)은 본원 도 2, 도 3, 및 도 4를 참조하여 설명한 가압식 원자층 증착법을 사용하여 제조됨에 따라 높은 유전상수를 나타낼 수 있어, HfO2 또는 ZrO2등의 고유전율 절연막 없이 높은 온/오프 전류 비 등 우수한 소자 성능을 나타낼 수 있다. 그러나, 경우에 따라서는 상기 게이트 전극(20)과 상기 알루미늄 산화막(30) 사이에 상기 알루미늄 산화막(30)보다 높은 유전상수를 나타내는 HfO2 또는 ZrO2등의 고유전율 절연막을 형성할 수도 있다.Meanwhile, as the high-k insulating layer, HfO 2 or ZrO 2 is representative, but HfO 2 or ZrO 2 has a high reactivity, so that it may cause an interface reaction and interdiffusion with the metal oxide channel layer 45 to deteriorate the device. However, in this embodiment, while using the aluminum oxide film 30 with little risk of interfacial reaction and interdiffusion with the metal oxide channel layer 45, the aluminum oxide film 30 is shown in Figs. As it is manufactured using the pressurized atomic layer deposition method described with reference to 4, a high dielectric constant can be exhibited, and excellent device performance such as a high on/off current ratio can be exhibited without a high dielectric constant insulating film such as HfO 2 or ZrO 2 . However, in some cases, a high dielectric constant insulating layer such as HfO 2 or ZrO 2 , which has a higher dielectric constant than that of the aluminum oxide layer 30 , may be formed between the gate electrode 20 and the aluminum oxide layer 30 .

도 5는 본 발명의 다른 실시예에 따른 박막트랜지스터의 제조방법을 보여주는 단면도이다. 본 실시예에 따른 박막트랜지스터 제조방법은 후술하는 것을 제외하고는 도 1을 참고하여 설명한 박막트랜지스터 제조방법과 유사할 수 있다.5 is a cross-sectional view showing a method of manufacturing a thin film transistor according to another embodiment of the present invention. The thin film transistor manufacturing method according to the present embodiment may be similar to the thin film transistor manufacturing method described with reference to FIG. 1 except for the following description.

도 5를 참조하면, 기판(10) 상에 일방향으로 연장되는 게이트 전극(20)을 형성하고, 상기 게이트 전극(20) 상에 게이트 절연막으로 알루미늄 산화막(30)을 형성할 수 있다. 상기 게이트 절연막(30) 상에 소오스 전극(50S)과 드레인 전극(50D)을 형성할 수 있다. 상기 소오스 전극(50S)과 드레인 전극(50D) 사이에 게이트 절연막(30)의 상기 게이트 전극(20)에 중첩된 부분 중 적어도 일부가 노출될 수 있다. 상기 노출된 게이트 절연막(30) 및 상기 소오스 전극(50S)과 드레인 전극(50D)을 덮는 금속 산화물채널층(45)을 형성할 수 있다.Referring to FIG. 5 , a gate electrode 20 extending in one direction may be formed on a substrate 10 , and an aluminum oxide film 30 may be formed on the gate electrode 20 as a gate insulating film. A source electrode 50S and a drain electrode 50D may be formed on the gate insulating layer 30 . At least a portion of a portion of the gate insulating layer 30 overlapping the gate electrode 20 may be exposed between the source electrode 50S and the drain electrode 50D. A metal oxide channel layer 45 covering the exposed gate insulating layer 30 and the source electrode 50S and the drain electrode 50D may be formed.

상기 게이트 절연막인 알루미늄 산화막(30)은 도 2, 도 3, 및 도 4를 참조하여 설명한 가압식 원자층 증착법을 사용하여 제조할 수 있다.The aluminum oxide film 30 as the gate insulating film may be manufactured using the pressurized atomic layer deposition method described with reference to FIGS. 2, 3, and 4 .

도 6은 본 발명의 또 다른 실시예에 따른 박막트랜지스터의 제조방법을 보여주는 단면도이다. 본 실시예에 따른 박막트랜지스터 제조방법은 후술하는 것을 제외하고는 도 1을 참고하여 설명한 박막트랜지스터 제조방법과 유사할 수 있다.6 is a cross-sectional view showing a method of manufacturing a thin film transistor according to another embodiment of the present invention. The thin film transistor manufacturing method according to the present embodiment may be similar to the thin film transistor manufacturing method described with reference to FIG. 1 except for the following description.

도 6을를 참조하면, 기판(10) 상에 버퍼층(15)을 형성할 수 있다. 상기 버퍼층(15)은 실리콘 산화막, 실리콘 산질화막, 실리콘 질화막, 또는 이들의 복합막일 수 있다.Referring to FIG. 6 , a buffer layer 15 may be formed on the substrate 10 . The buffer layer 15 may be a silicon oxide film, a silicon oxynitride film, a silicon nitride film, or a composite film thereof.

상기 버퍼층(15) 상에 금속 산화물 채널층을 차례로 형성하고 패터닝하여 상기 버퍼층(15) 상에 패터닝된 금속 산화물 채널층(45)을 형성할 수 있다. 이 후, 상기 금속 산화물 채널층(45) 상에 도 1, 도 2, 및 도 3을 참조하여 설명한 방법을 사용하여 게이트 절연막인 알루미늄 산화막(30)을 형성할 수 있다. 상기 게이트 절연막(30) 상에 상기 금속 산화물 채널층(45)의 상부를 가로지르는 게이트 전극(20)을 형성할 수 있다. 상기 게이트 전극(20) 상에 상기 게이트 전극(20)을 덮는 층간 절연막(35)을 형성할 수 있다. 상기 층간 절연막(35)은 실리콘 산화막, 실리콘 산질화막, 실리콘 질화막, 또는 이들의 복합막일 수 있다.A patterned metal oxide channel layer 45 may be formed on the buffer layer 15 by sequentially forming and patterning a metal oxide channel layer on the buffer layer 15 . Thereafter, an aluminum oxide layer 30 serving as a gate insulating layer may be formed on the metal oxide channel layer 45 by using the method described with reference to FIGS. 1, 2, and 3 . A gate electrode 20 crossing an upper portion of the metal oxide channel layer 45 may be formed on the gate insulating layer 30 . An interlayer insulating layer 35 covering the gate electrode 20 may be formed on the gate electrode 20 . The interlayer insulating film 35 may be a silicon oxide film, a silicon oxynitride film, a silicon nitride film, or a composite film thereof.

상기 층간 절연막(35) 및 그 하부의 게이트 절연막(30) 내에 상기 금속 산화물 채널층(45)의 양측 단부들을 각각 노출시키는 컨택홀들을 형성하고, 상기 컨택홀들 내에 상기 금속 산화물 채널층(45)의 양측 단부에 각각 접속하는 소오스 전극(50S)과 드레인 전극(50D)을 형성할 수 있다. Contact holes exposing both ends of the metal oxide channel layer 45 are respectively formed in the interlayer insulating layer 35 and the gate insulating layer 30 thereunder, and the metal oxide channel layer 45 is formed in the contact holes. It is possible to form a source electrode 50S and a drain electrode 50D respectively connected to both ends of the .

도 7a 내지 도 7f는 본 발명의 다른 실시예에 따른 수직형 비휘발성 메모리 소자의 제조방법을 나타낸 단면도들이다. 상기 수직형 비휘발성 메모리 소자는 NAND 플래시 메모리 소자일 수 있다.7A to 7F are cross-sectional views illustrating a method of manufacturing a vertical nonvolatile memory device according to another exemplary embodiment of the present invention. The vertical nonvolatile memory device may be a NAND flash memory device.

도 7a를 참조하면, 기판(100) 상에 하부 절연막(113)이 형성될 수 있다. 상기 하부 절연막(113) 상에 복수의 제어 게이트막(115)과 복수의 층간 절연막(117)이 교호적으로 적층되어 있는 스택(S)이 형성될 수 있다. 일 예로서, 상기 제어 게이트막(115)과 층간 절연막(117)의 쌍이 n개 적층되어 L1, L2, ..., Ln의 단위층들을 구비하는 스택이 형성될 수 있다. 상기 기판(100)은 불순물이 도핑되어 전도도가 벌크 기판에 비해 향상된 불순물 영역(105)을 구비할 수 있다. 상기 불순물 영역(105)은 공통 소오스 라인일 수 있다. 이와는 달리, 기판 (100) 상에 상기 불순물 영역(105)를 구비하는 별도의 반도체층이 형성될 수 있다. Referring to FIG. 7A , a lower insulating layer 113 may be formed on the substrate 100 . A stack S in which a plurality of control gate layers 115 and a plurality of interlayer insulating layers 117 are alternately stacked may be formed on the lower insulating layer 113 . As an example, n pairs of the control gate layer 115 and the interlayer insulating layer 117 may be stacked to form a stack including unit layers L1, L2, ..., Ln. The substrate 100 may include an impurity region 105 that is doped with impurities and has improved conductivity compared to the bulk substrate. The impurity region 105 may be a common source line. Alternatively, a separate semiconductor layer including the impurity region 105 may be formed on the substrate 100 .

상기 기판(100)은 반도체 기판으로서, 일 예로서, 단결정질 실리콘, 실리콘-게르마늄 또는 실리콘 카바이드와 같은 IV-IV 화합물, III-V 화합물, 또는 II-VI 화합물 기판 이거나, 이러한 임의의 기판 상에 상기 반도체의 층이 형성된 것일 수 있다. 상기 제어 게이트막(115)은 반도체 물질, 일 예로서, 도핑된 폴리실리콘; 또는 금속 일 예로서, 텅스텐, 구리, 알루미늄, 탄탈륨, 티타늄, 코발트, 질화티탄 또는 이들의 합금들을 포함할 수 있다. 상기 하부 절연막(113) 및 상기 층간 절연막(117)은 실리콘 산화막, 실리콘 산질화막, 실리콘 질화막, 또는 이들의 복합막일 수 있다.The substrate 100 is a semiconductor substrate, for example, an IV-IV compound, III-V compound, or II-VI compound substrate such as monocrystalline silicon, silicon-germanium or silicon carbide, or on any such substrate. The semiconductor layer may be formed. The control gate layer 115 may include a semiconductor material, for example, doped polysilicon; Alternatively, as an example of a metal, it may include tungsten, copper, aluminum, tantalum, titanium, cobalt, titanium nitride, or alloys thereof. The lower insulating film 113 and the interlayer insulating film 117 may be a silicon oxide film, a silicon oxynitride film, a silicon nitride film, or a composite film thereof.

도 7b를 참조하면, 상기 스택 즉, 상기 교호적으로 적층된 복수의 제어 게이트막(115)과 복수의 층간 절연막(117), 및 하부 절연막(113)을 관통하여 상기 기판(100) 구체적으로는 상기 불순물 영역(105)을 노출시키는 개구부(H)을 형성할 수 있다.Referring to FIG. 7B , the substrate 100 passes through the stack, that is, the plurality of alternately stacked control gate layers 115 , the plurality of interlayer insulating layers 117 , and the lower insulating layer 113 . Specifically, An opening H exposing the impurity region 105 may be formed.

이후, 상기 개구부(H)의 측벽 내에 노출된 제어 게이트막(115)을 선택적으로 리세스하여 층간 절연막들(117) 사이에 배치된 제어 게이트 패턴들(115a)를 형성함과 동시에 측부에 제어 게이트 패턴(115a)이 노출되고 상하부에 절연막(117, 113)이 노출된 홈들(Ha)을 형성할 수 있다. Thereafter, the control gate layer 115 exposed in the sidewall of the opening H is selectively recessed to form the control gate patterns 115a disposed between the interlayer insulating layers 117 , and at the same time, the control gate layer 115 is formed on the sidewall of the opening H. Grooves Ha in which the pattern 115a is exposed and the insulating layers 117 and 113 are exposed at upper and lower portions may be formed.

도 7c를 참조하면, 상기 홈들(Ha)의 내부 표면 및 상기 개구부(H)의 측벽 상에 블로킹 절연막(122)을 컨포멀하게 형성하고, 상기 블로킹 절연막(122) 상에 전하 포획층(125)을 또한 컨포멀하게 형성한 후, 상기 전하 포획층(125)과 상기 블로킹 절연막(122)을 차례로 비등방성 식각할 수 있다. 그 결과, 상기 홈들(Ha) 내부 표면을 컨포멀하게 코팅하는 블로킹 절연막(122)과 상기 블로킹 절연막(122)으로 표면 코팅된 상기 홈들(Ha)을 채우는 전하 포획층(125)이 형성될 수 있다. 이 때, 상기 개구부(H)의 측벽 내에 상기 블로킹 절연막(122), 상기 전화 포획층(125), 그리고 절연막(117, 113)이 노출될 수 있다. 본 실시예에서, 상기 블로킹 절연막(122)은 인터 게이트 절연막(Inter Gate Dielectric, IGD)으로 명명될 수 도 있고, 일 예로서, 실리콘 산화막, 실리콘 산질화막, 또는 알루미늄 산화막일 수 있다. 본 실시예에서, 상기 전하 포획층(125)은 플로팅 게이트로 명명될 수 있고, 일 예로서, 폴리실리콘일 수 있으나 이에 한정되지 않는다.Referring to FIG. 7C , a blocking insulating layer 122 is conformally formed on the inner surface of the grooves Ha and a sidewall of the opening H, and a charge trapping layer 125 is formed on the blocking insulating layer 122 . After conformally formed, the charge trapping layer 125 and the blocking insulating layer 122 may be anisotropically etched sequentially. As a result, a blocking insulating layer 122 conformally coating the inner surfaces of the grooves Ha and a charge trapping layer 125 filling the grooves Ha surface-coated with the blocking insulating layer 122 may be formed. . In this case, the blocking insulating layer 122 , the phone trapping layer 125 , and the insulating layers 117 and 113 may be exposed in the sidewall of the opening H . In this embodiment, the blocking insulating layer 122 may be referred to as an inter gate dielectric (IGD) layer, and may be, for example, a silicon oxide layer, a silicon oxynitride layer, or an aluminum oxide layer. In this embodiment, the charge trap layer 125 may be referred to as a floating gate, and may be, for example, polysilicon, but is not limited thereto.

도 7d를 참조하면, 상기 개구부(H)의 측벽을 포함한 상기 결과물의 표면 상에 터널 절연막을 컨포멀하게 형성한 후, 이방성 식각하여 개구부(H)의 측벽 상에 상기 전하 포획층(125)을 덮는 터널 절연막(133)을 형성할 수 있다. 상기 터널 절연막(133)은 도 2, 도 3, 및 도 4를 참조하여 설명한 바와 같은 가압 원자층 증착법을 사용하여 형성된 알루미늄 산화막 일 예로서, Al2O3막일 수 있다. 이러한 터널 절연막(133)은 5nm 내지 10nm 의 두께로 형성할 수 있다. Referring to FIG. 7D , a tunnel insulating layer is conformally formed on the surface of the resultant product including the sidewall of the opening H, and then the charge trapping layer 125 is formed on the sidewall of the opening H by anisotropic etching. A covering tunnel insulating layer 133 may be formed. The tunnel insulating layer 133 is an example of an aluminum oxide layer formed by using the pressure atomic layer deposition method as described with reference to FIGS. 2 , 3 , and 4 , and may be an Al 2 O 3 layer. The tunnel insulating layer 133 may be formed to a thickness of 5 nm to 10 nm.

상기 터널 절연막(133)이 형성된 개구부(H)의 측벽 및 공통 소오스 라인(105) 상에 금속 산화물 채널층(135)을 컨포멀하게 형성할 수 있다. 상기 금속 산화물 채널층(135)은 일 예로서, In, Ga, Zn, Sn, 및 Cu 로 이루어진 군에서 선택되는 하나 이상의 전이금속의 산화물층일 수 있다. 일 예로서, 금속 산화물 채널층(135)은 ZnO, In2O3, SnO, InGaO, InGaZnO, 또는 InZnO층일 수 있다. 상기 금속 산화물 채널층(135)은 본 기술분야에서 사용되는 다양한 방법을 사용하여 형성될 수 있으며 일 예로서 스퍼터링 등의 물리적 증착법 또는 화학기상증착법, 원자층증착법 등의 화학적 증착법을 사용하여 형성될 수 있고, 또한 본 기술분야에서 사용되는 다양한 방법을 사용하여 패터닝될 수 있다. 상기 금속 산화물 채널층(135)은 수 내지 수십 nm의 두께, 예를 들어, 5 내지 50nm의 두께, 일 예로서 10 내지 30nm의 두께로 형성할 수 있다.A metal oxide channel layer 135 may be conformally formed on the sidewall of the opening H in which the tunnel insulating layer 133 is formed and on the common source line 105 . The metal oxide channel layer 135 may be, for example, an oxide layer of one or more transition metals selected from the group consisting of In, Ga, Zn, Sn, and Cu. As an example, the metal oxide channel layer 135 may be a ZnO, In2O3, SnO, InGaO, InGaZnO, or InZnO layer. The metal oxide channel layer 135 may be formed using various methods used in the art, and may be formed using, for example, a physical vapor deposition method such as sputtering, a chemical vapor deposition method, or a chemical vapor deposition method such as an atomic layer deposition method. and may be patterned using various methods used in the art. The metal oxide channel layer 135 may have a thickness of several to several tens of nm, for example, 5 to 50 nm, for example, 10 to 30 nm.

도 7e를 참고하면, 상기 금속 산화물 채널층(135)을 이방성 식각하여 개구부(H)의 측벽 상에 형성된 상기 터널 절연막(133) 상에 적층된 패터닝된 금속 산화물 채널층(135')을 형성함과 동시에 상기 개구부(H) 내에 공통 소오스 라인(105)를 노출시킬 수 있다. Referring to FIG. 7E , the metal oxide channel layer 135 is anisotropically etched to form a patterned metal oxide channel layer 135 ′ stacked on the tunnel insulating layer 133 formed on the sidewall of the opening H. At the same time, the common source line 105 may be exposed in the opening H.

도 7f를 참조하면, 금속 산화물 채널층(135')이 형성된 개구부(H)를 매립 절연막으로 채우고, 이 매립 절연막을 평탄화식각하여, 상기 스택(S)의 상부면을 노출시킴과 동시에 절연 기둥(141a)과 이를 둘러싸는 금속 산화물 채널층(135')의 상부 단면을 노출시킬 수 있다. 상기 절연 기둥(141a)과 이를 둘러싸는 금속 산화물 채널층(135')을 덮는 상부 전극(155)을 형성할 수 있다. 상기 상부 전극(155)은 비트라인이거나 혹은 비트라인에 접속하는 전도성 패드일 수 있다.Referring to FIG. 7F , the opening H in which the metal oxide channel layer 135' is formed is filled with a buried insulating film, and the buried insulating film is planarized and etched to expose the top surface of the stack S and at the same time as the insulating pillar ( 141a) and an upper cross-section of the metal oxide channel layer 135 ′ surrounding the same may be exposed. An upper electrode 155 covering the insulating pillar 141a and the metal oxide channel layer 135 ′ surrounding the insulating pillar 141a may be formed. The upper electrode 155 may be a bit line or a conductive pad connected to the bit line.

도 7f를 다시 참조하여, 본 실시예에 따른 수직형 비휘발성 메모리 소자의 구조를 설명한다. 본 실시예에 따른 수직형 비휘발성 메모리 소자는 기판(100)의 상부 방향으로 연장되는 절연 기둥(141a)을 구비할 수 있다. 상기 절연 기둥(141a)의 측부에 교호적으로 적층된 층간 절연막들(117)과 제어 게이트 패턴들(115a)이 배치될 수 있다. 상기 절연 기둥(141a)과 상기 제어 게이트 패턴들(115a) 사이에서 상기 절연 기둥(141a) 상에 적층되고, 상기 절연 기둥(141a)을 따라 연장하는 금속 산화물 채널층(135')이 차례로 배치될 수 있다. 구체적으로, 상기 절연 기둥(141a)의 측벽을 상기 금속 산화물 채널층(135')이 감싸도록 배치될 수 있다. 상기 금속 산화물 채널층(135')과 상기 각 제어 게이트 패턴(115a) 사이에 터널 절연막(133), 전하 포획층(125), 및 블로킹 절연막(122)이 차례로 배치된다. 구체적으로, 상기 제어 게이트 패턴(115a)의 기판 표면과 평행한 가로폭은 이의 상하부에 위치한 층간 절연막들(117)의 가로폭에 비해 좁아, 상기 층간 절연막들(117) 사이에, 측부에 제어 게이트 패턴(115a)이 노출되고 상하부에 층간 절연막(117)이 노출된 홈들(Ha)이 정의될 수 있고, 상기 블로킹 절연막(122)은 상기 홈들(Ha) 내부 표면을 컨포멀하게 코팅할 수 있고, 상기 전하 포획층(125)은 상기 블로킹 절연막(122)으로 표면 코팅된 상기 홈들(Ha)을 채울 수 있다. 상기 터널 절연막(133)은 상기 전하 포획층(125)을 덮을 수 있다.Referring again to FIG. 7F, the structure of the vertical nonvolatile memory device according to the present embodiment will be described. The vertical nonvolatile memory device according to the present embodiment may include an insulating pillar 141a extending upwardly of the substrate 100 . Interlayer insulating layers 117 and control gate patterns 115a alternately stacked on the side of the insulating pillar 141a may be disposed. A metal oxide channel layer 135 ′ stacked on the insulating pillar 141a between the insulating pillar 141a and the control gate patterns 115a and extending along the insulating pillar 141a may be sequentially disposed. can Specifically, the metal oxide channel layer 135 ′ may be disposed to surround the sidewall of the insulating pillar 141a. A tunnel insulating layer 133 , a charge trapping layer 125 , and a blocking insulating layer 122 are sequentially disposed between the metal oxide channel layer 135 ′ and each of the control gate patterns 115a . Specifically, the width of the control gate pattern 115a parallel to the substrate surface is narrower than the width of the interlayer insulating layers 117 positioned above and below the control gate pattern 115a. Grooves Ha in which the pattern 115a is exposed and the interlayer insulating film 117 is exposed at upper and lower portions may be defined, and the blocking insulating film 122 may conformally coat the inner surface of the grooves Ha, The charge trap layer 125 may fill the grooves Ha surface-coated with the blocking insulating layer 122 . The tunnel insulating layer 133 may cover the charge trapping layer 125 .

상기 터널 절연막인 알루미늄 산화막(133)은 도 2, 도 3, 및 도 4를 참조하여 설명한 바와 같이 낮은 온도에서 증착되었음에도 불구하여 유전율이 높아 등가산화물막두께(equivalent oxide thickness)를 줄이면서도 누설전류도 감소시킬 수 있다. 이와 더불어, 상기 알루미늄 산화막(133)은 매우 낮은 표면 거칠기를 가짐에 따라, 상기 금속 산화물 채널층(135')을 통해 흐르는 전하가 상기 금속 산화물 채널층(135')과 상기 알루미늄 산화막(133) 계면에서 표면 산란되는 것을 억제하여 전하이동도를 향상시킬 수 있다.The aluminum oxide film 133, which is the tunnel insulating film, has a high dielectric constant despite being deposited at a low temperature as described with reference to FIGS. can be reduced In addition, as the aluminum oxide layer 133 has a very low surface roughness, charges flowing through the metal oxide channel layer 135 ′ are transferred to the interface between the metal oxide channel layer 135 ′ and the aluminum oxide layer 133 . It is possible to improve the charge mobility by suppressing surface scattering.

한편, 고유전율 절연막으로는 HfO2 또는 ZrO2 가 대표적이나, HfO2 또는 ZrO2 는 반응성이 커서 금속 산화물 채널층(135')과 계면반응 및 상호확산을 일으켜 소자를 열화시킬 수 있다. 그러나, 본 실시예서는 금속 산화물 채널층(135')과 계면반응 및 상호확산을 일으킬 염려가 거의 없는 알루미늄 산화막(133)을 터널 절연막으로 사용하면서도, 상기 알루미늄 산화막(133)은 본원 도 2, 도 3, 및 도 4를 참조하여 설명한 가압식 원자층 증착법을 사용하여 제조됨에 따라 높은 유전상수를 나타낼 수 있어, HfO2 또는 ZrO2등의 고유전율 절연막 없이 높은 온/오프 전류 비 등 우수한 소자 성능을 나타낼 수 있다. 그러나, 경우에 따라서는 상기 전하 포획층(125)과 상기 알루미늄 산화막(133) 사이에 상기 알루미늄 산화막(133)보다 높은 유전상수를 나타내는 HfO2 또는 ZrO2등의 고유전율 절연막을 형성할 수도 있다.On the other hand, HfO 2 or ZrO 2 is a representative high-k insulating layer, but HfO 2 or ZrO 2 has a high reactivity, which may cause interfacial reaction and interdiffusion with the metal oxide channel layer 135 ′ to deteriorate the device. However, in the present embodiment, while the aluminum oxide film 133, which is unlikely to cause an interfacial reaction and interdiffusion with the metal oxide channel layer 135', is used as a tunnel insulating film, the aluminum oxide film 133 is shown in Figs. 3, and as it is manufactured using the pressurized atomic layer deposition method described with reference to FIG. 4, it can exhibit a high dielectric constant, and thus exhibit excellent device performance such as a high on/off current ratio without a high dielectric constant insulating film such as HfO 2 or ZrO 2 can However, in some cases, a high dielectric constant insulating layer, such as HfO 2 or ZrO 2 , having a higher dielectric constant than that of the aluminum oxide layer 133 may be formed between the charge trapping layer 125 and the aluminum oxide layer 133 .

도 8a 내지 도 8d는 본 발명의 다른 실시예에 따른 수직형 비휘발성 메모리 소자의 제조방법을 나타낸 단면도들이다. 본 실시예에 따른 소자 제조방법은, 후술하는 것을 제외하고는, 도 7a 내지 도 7f를 참조하여 설명한 소자 제조방법과 유사할 수 있다.8A to 8D are cross-sectional views illustrating a method of manufacturing a vertical nonvolatile memory device according to another embodiment of the present invention. The device manufacturing method according to the present exemplary embodiment may be similar to the device manufacturing method described with reference to FIGS. 7A to 7F , except as will be described later.

도 8a를 참조하면, 기판(100) 상에 하부 절연막(113)이 형성될 수 있다. 상기 하부 절연막(113) 상에 복수의 제어 게이트막과 복수의 층간 절연막(117)이 교호적으로 적층되어 있는 스택(S)이 형성될 수 있다. 일 예로서, 상기 제어 게이트막(115)과 층간 절연막(117)의 쌍이 n개 적층되어 L1, L2, ..., Ln의 단위층들을 구비하는 스택이 형성될 수 있다. 상기 기판(100)은 불순물이 도핑되어 전도도가 벌크 기판에 비해 향상된 불순물 영역(105)를 구비할 수 있다. 상기 불순물 영역(105)은 공통 소오스 라인일 수 있다. 이와는 달리, 기판 (100) 상에 상기 불순물 영역(105)를 구비하는 별도의 반도체층이 형성될 수 있다.Referring to FIG. 8A , a lower insulating layer 113 may be formed on the substrate 100 . A stack S in which a plurality of control gate layers and a plurality of interlayer insulating layers 117 are alternately stacked may be formed on the lower insulating layer 113 . As an example, n pairs of the control gate layer 115 and the interlayer insulating layer 117 may be stacked to form a stack including unit layers L1, L2, ..., Ln. The substrate 100 may include an impurity region 105 that is doped with impurities and has improved conductivity compared to the bulk substrate. The impurity region 105 may be a common source line. Alternatively, a separate semiconductor layer including the impurity region 105 may be formed on the substrate 100 .

상기 스택 즉, 상기 교호적으로 적층된 복수의 제어 게이트막과 복수의 층간 절연막(117), 및 하부 절연막(113)을 관통하여 상기 기판(100) 구체적으로는 상기 불순물 영역(105)을 바닥면 내에 노출시키는 개구부(H)을 형성할 수 있다. 상기 개구부(H)의 형성에 의해 절연막들(117, 113) 사이에 개재된 제어 게이트 패턴(115a)이 정의될 수 있고, 상기 개구부(H)의 측벽 내에 상기 제어 게이트 패턴(115a)이 노출될 수 있다.The stack, that is, the plurality of control gate layers, the plurality of interlayer insulating layers 117 , and the lower insulating layer 113 that are alternately stacked to pass through the substrate 100 , specifically, the impurity region 105 , is formed on a bottom surface of the substrate 100 . An opening (H) to be exposed inside may be formed. A control gate pattern 115a interposed between the insulating layers 117 and 113 may be defined by the formation of the opening H, and the control gate pattern 115a may be exposed in a sidewall of the opening H. can

도 8b를 참조하면, 상기 개구부(H)의 측벽 내에 노출된 제어 게이트 패턴(115a)을 갖는 기판 상에 표면 프로파일을 따라 컨포멀하게 블로킹 절연막(123), 전하 포획층(126), 및 터널 절연막(133)을 차례로 형성한 후, 이들을 이방성 식각할 수 있다. 그 결과, 상기 개구부(H)의 측벽 상에 차례로 적층된 블로킹 절연막(123), 전하 포획층(126), 및 터널 절연막(133)을 형성할 수 있다. 본 실시예에서, 상기 전하 포획층(126)은 실리콘 질화막일 수 있으나, 이에 한정되지 않는다.상기 블로킹 절연막(123)은 실리콘 산화막, 실리콘 산질화막, 또는 고유전율을 갖는 알루미늄 산화막일 수 있다. 상기 터널 절연막(133)은 도 2, 도 3, 및 도 4를 참조하여 설명한 바와 같은 가압 원자층 증착법을 사용하여 형성된 알루미늄 산화막 일 예로서, Al2O3막일 수 있다. 이러한 터널 절연막(133)은 5nm 내지 10nm 의 두께로 형성할 수 있다.Referring to FIG. 8B , the blocking insulating layer 123 , the charge trapping layer 126 , and the tunnel insulating layer conformally along the surface profile on the substrate having the control gate pattern 115a exposed in the sidewall of the opening H. After forming (133) sequentially, they can be anisotropically etched. As a result, the blocking insulating layer 123 , the charge trapping layer 126 , and the tunnel insulating layer 133 sequentially stacked on the sidewall of the opening H may be formed. In the present embodiment, the charge trap layer 126 may be a silicon nitride film, but is not limited thereto. The blocking insulating film 123 may be a silicon oxide film, a silicon oxynitride film, or an aluminum oxide film having a high dielectric constant. The tunnel insulating layer 133 is an example of an aluminum oxide layer formed by using the pressure atomic layer deposition method as described with reference to FIGS. 2 , 3 , and 4 , and may be an Al 2 O 3 layer. The tunnel insulating layer 133 may be formed to a thickness of 5 nm to 10 nm.

상기 터널 절연막(133)이 형성된 개구부(H)의 측벽 및 공통 소오스 라인(105) 상에 금속 산화물 채널층(135)을 컨포멀하게 형성할 수 있다.A metal oxide channel layer 135 may be conformally formed on the sidewall of the opening H in which the tunnel insulating layer 133 is formed and on the common source line 105 .

도 8c를 참고하면, 상기 금속 산화물 채널층(135)을 이방성 식각하여 개구부(H)의 측벽 상에 형성된 상기 터널 절연막(133) 상에 적층된 패터닝된 금속 산화물 채널층(135')을 형성함과 동시에 상기 개구부(H) 내에 공통 소오스 라인(105)를 노출시킬 수 있다.Referring to FIG. 8C , the metal oxide channel layer 135 is anisotropically etched to form a patterned metal oxide channel layer 135 ′ stacked on the tunnel insulating layer 133 formed on the sidewall of the opening H. At the same time, the common source line 105 may be exposed in the opening H.

도 8d를 참고하면, 금속 산화물 채널층(135')이 형성된 개구부(H)를 매립 절연막으로 채우고, 이 매립 절연막을 평탄화식각하여, 상기 스택(S)의 상부면을 노출시킴과 동시에 절연 기둥(141a)과 이를 둘러싸는 금속 산화물 채널층(135')의 상부 단면을 노출시킬 수 있다. 상기 절연 기둥(141a)과 이를 둘러싸면서 상부면이 노출된 금속 산화물 채널층(135')을 덮는 상부 전극(155)을 형성할 수 있다. 상기 상부 전극(155)은 비트라인이거나 혹은 비트라인에 접속하는 전도성 패드일 수 있다.Referring to FIG. 8D , the opening H in which the metal oxide channel layer 135' is formed is filled with a buried insulating film, and the buried insulating film is planarized and etched to expose the top surface of the stack S and at the same time as the insulating pillar ( 141a) and an upper cross-section of the metal oxide channel layer 135 ′ surrounding the same may be exposed. An upper electrode 155 may be formed to cover the insulating pillar 141a and the metal oxide channel layer 135 ′ having an exposed top surface while surrounding the insulating pillar 141a. The upper electrode 155 may be a bit line or a conductive pad connected to the bit line.

도 8d를 다시 참조하여, 본 실시예에 따른 수직형 비휘발성 메모리 소자의 구조를 설명한다. 본 실시예에 따른 수직형 비휘발성 메모리 소자는 기판(100)의 상부 방향으로 연장되는 절연 기둥(141a)을 구비할 수 있다. 상기 절연 기둥(141a)의 측부에 교호적으로 적층된 층간 절연막들(117)과 제어 게이트 패턴들(115a)이 배치될 수 있다. 상기 절연 기둥(141a)과 상기 제어 게이트 패턴들(115a) 사이에서 상기 절연 기둥(141a) 상에 차례로 적층되고, 상기 절연 기둥(141a)을 따라 연장하는 금속 산화물 채널층(135')이 배치될 수 있다. 구체적으로, 상기 절연 기둥(141a)의 측벽을 상기 금속 산화물 채널층(135')이 감싸도록 배치될 수 있다. 상기 금속 산화물 채널층(135')과 상기 각 제어 게이트 패턴(115a) 사이에 터널 절연막(133), 전하 포획층(126), 및 블로킹 절연막(123)이 차례로 배치된다. 상기 터널 절연막(133), 전하 포획층(126), 및 블로킹 절연막(123)은 상기 금속 산화물 채널층(135')과 상기 층간 절연막들(117) 사이의 영역으로 연장되어 배치될 수 있다. 다시 말해서, 상기 터널 절연막(133), 전하 포획층(126), 및 블로킹 절연막(123)은 상기 금속 산화물 채널층(135') 상에서 상기 절연 기둥(141a)의 측벽을 감싸도록 배치될 수 있다.Referring again to FIG. 8D, the structure of the vertical nonvolatile memory device according to the present embodiment will be described. The vertical nonvolatile memory device according to the present embodiment may include an insulating pillar 141a extending upwardly of the substrate 100 . Interlayer insulating layers 117 and control gate patterns 115a alternately stacked on the side of the insulating pillar 141a may be disposed. A metal oxide channel layer 135 ′ sequentially stacked on the insulating pillar 141a between the insulating pillar 141a and the control gate patterns 115a and extending along the insulating pillar 141a is disposed. can Specifically, the metal oxide channel layer 135 ′ may be disposed to surround the sidewall of the insulating pillar 141a. A tunnel insulating layer 133 , a charge trapping layer 126 , and a blocking insulating layer 123 are sequentially disposed between the metal oxide channel layer 135 ′ and each of the control gate patterns 115a . The tunnel insulating layer 133 , the charge trapping layer 126 , and the blocking insulating layer 123 may extend to a region between the metal oxide channel layer 135 ′ and the interlayer insulating layers 117 . In other words, the tunnel insulating layer 133 , the charge trapping layer 126 , and the blocking insulating layer 123 may be disposed on the metal oxide channel layer 135 ′ to surround the sidewall of the insulating pillar 141a.

상기 터널 절연막인 알루미늄 산화막(133)은 도 2, 도 3, 및 도 4를 참조하여 설명한 바와 같이 낮은 온도에서 증착되었음에도 불구하여 유전율이 높아 등가산화물막두께(equivalent oxide thickness)를 줄이면서도 누설전류도 감소시킬 수 있다. 이와 더불어, 상기 알루미늄 산화막(133)은 매우 낮은 표면 거칠기를 가짐에 따라, 상기 금속 산화물 채널층(135')을 통해 흐르는 전하가 상기 금속 산화물 채널층(135')과 상기 알루미늄 산화막(133) 계면에서 표면 산란되는 것을 억제하여 전하이동도를 향상시킬 수 있다.The aluminum oxide film 133, which is the tunnel insulating film, has a high dielectric constant despite being deposited at a low temperature as described with reference to FIGS. can be reduced In addition, as the aluminum oxide layer 133 has a very low surface roughness, charges flowing through the metal oxide channel layer 135 ′ are transferred to the interface between the metal oxide channel layer 135 ′ and the aluminum oxide layer 133 . It is possible to improve the charge mobility by suppressing surface scattering.

한편, 고유전율 절연막으로는 HfO2 또는 ZrO2 가 대표적이나, HfO2 또는 ZrO2 는 반응성이 커서 금속 산화물 채널층(135')과 계면반응 및 상호확산을 일으켜 소자를 열화시킬 수 있다. 그러나, 본 실시예서는 금속 산화물 채널층(135')과 계면반응 및 상호확산을 일으킬 염려가 거의 없는 알루미늄 산화막(133)을 터널 절연막으로 사용하면서도, 상기 알루미늄 산화막(133)은 본원 도 2, 도 3, 및 도 4를 참조하여 설명한 가압식 원자층 증착법을 사용하여 제조됨에 따라 높은 유전상수를 나타낼 수 있어, HfO2 또는 ZrO2등의 고유전율 절연막 없이 높은 온/오프 전류 비 등 우수한 소자 성능을 나타낼 수 있다. 그러나, 경우에 따라서는 상기 전하 포획층(126)과 상기 알루미늄 산화막(133) 사이에 상기 알루미늄 산화막(133)보다 높은 유전상수를 나타내는 HfO2 또는 ZrO2등의 고유전율 절연막을 형성할 수도 있다.On the other hand, HfO 2 or ZrO 2 is a representative high-k insulating layer, but HfO 2 or ZrO 2 has a high reactivity, which may cause interfacial reaction and interdiffusion with the metal oxide channel layer 135 ′ to deteriorate the device. However, in the present embodiment, while the aluminum oxide film 133, which is unlikely to cause an interfacial reaction and interdiffusion with the metal oxide channel layer 135', is used as a tunnel insulating film, the aluminum oxide film 133 is shown in Figs. 3, and as it is manufactured using the pressurized atomic layer deposition method described with reference to FIG. 4, it can exhibit a high dielectric constant, and thus exhibit excellent device performance such as a high on/off current ratio without a high dielectric constant insulating film such as HfO 2 or ZrO 2 can However, in some cases, a high dielectric constant insulating layer, such as HfO 2 or ZrO 2 , having a higher dielectric constant than that of the aluminum oxide layer 133 may be formed between the charge trapping layer 126 and the aluminum oxide layer 133 .

이하, 본 발명의 이해를 돕기 위하여 바람직한 실험예(example)를 제시한다. 다만, 하기의 실험예는 본 발명의 이해를 돕기 위한 것일 뿐, 본 발명이 하기의 실험예에 의해 한정되는 것은 아니다.Hereinafter, a preferred experimental example (example) is presented to help the understanding of the present invention. However, the following experimental examples are only for helping understanding of the present invention, and the present invention is not limited by the following experimental examples.

알루미늄 산화막 제조예Aluminum oxide film production example

도 9는 본 제조예에 따른 알루미늄 산화막 제조를 위한 단위 사이클의 파라미터들을 정리하여 나타낸 표이다.9 is a table summarizing parameters of a unit cycle for manufacturing an aluminum oxide film according to the present preparation example.

가스 유입구와 가스 유출구를 구비하는 챔버 내에 실리콘 기판을 로딩하고, 챔버를 100 ℃로 가열하였다. 가스 유출구를 닫은 상태에서, 상기 가스 유입구를 통해 상기 기판 상에 알루미늄 전구체인 TMA (trimethyl aluminium)를 공급하였다(알루미늄 전구체 공급 단계). 이 때, 상기 알루미늄 전구체는 캐리어 가스 없이 공급되고, 챔버 내의 압력이 1 Torr에 이를 때까지 공급하였다. 이 후, 챔버 유입구도 닫아 챔버 압력을 1 Torr로 유지한 상태에서 상기 기판 표면 상에 상기 알루미늄 전구체를 5초간 반응시켰다(알루미늄 전구체 노출 단계). 이 후, 가스 유입구와 가스 유출구를 모두 연 상태에서 가스 유입구로 퍼지 가스인 아르곤을 30초간 공급하여 반응부산물 및 잔여 알루미늄 전구체를 퍼지하였다(알루미늄 전구체 퍼지 단계). 상기 알루미늄 전구체 공급 단계, 상기 알루미늄 전구체 노출 단계, 및 상기 알루미늄 전구체 퍼지 단계는 알루미늄 전구체 서브 사이클을 구성하고, 상기 알루미늄 전구체 서브 사이클을 5회 반복 수행하되, 2, 3, 4, 및 5회차 알루미늄 전구체 공급 단계들에서 각각 챔버 내의 압력을 2, 3, 4, 및 5 Torr에 이를 때까지 알루미늄 전구체를 공급하고, 이 후의 각 알루미늄 전구체 노출 단계에서 5초간 해당 압력을 유지하였다. A silicon substrate was loaded into a chamber having a gas inlet and a gas outlet, and the chamber was heated to 100°C. In a state in which the gas outlet was closed, trimethyl aluminum (TMA), an aluminum precursor, was supplied to the substrate through the gas inlet (aluminum precursor supply step). At this time, the aluminum precursor was supplied without a carrier gas, and was supplied until the pressure in the chamber reached 1 Torr. Thereafter, the aluminum precursor was reacted on the substrate surface for 5 seconds while the chamber inlet was also closed and the chamber pressure was maintained at 1 Torr (aluminum precursor exposure step). Thereafter, argon as a purge gas was supplied to the gas inlet for 30 seconds while both the gas inlet and the gas outlet were opened to purify the reaction byproducts and the remaining aluminum precursor (aluminum precursor purging step). The aluminum precursor supply step, the aluminum precursor exposure step, and the aluminum precursor purge step constitute an aluminum precursor subcycle, and the aluminum precursor subcycle is repeated 5 times, but 2, 3, 4, and 5 times the aluminum precursor In the supply steps, the aluminum precursor was supplied until the pressure in the chamber reached 2, 3, 4, and 5 Torr, respectively, and the corresponding pressure was maintained for 5 seconds in each subsequent aluminum precursor exposure step.

이 후, 가스 유출구를 닫은 상태에서, 상기 가스 유입구를 통해 상기 알루미늄 전구체층 상에 반응가스인 H2O를 공급하였다. 이 때, 상기 반응가스는 캐리어 가스 없이 공급되고, 챔버 내의 압력이 1 Torr에 이를 때까지 공급하였다(반응가스 공급 단계). 이 후, 챔버 유입구도 닫아 챔버 압력을 1 Torr로 유지한 상태에서 상기 알루미늄 전구체층 표면과 H2O를 5초간 반응시켰다(반응가스 노출 단계). 이 후, 가스 유입구와 가스 유출구를 모두 연 상태에서 가스 유입구로 퍼지 가스인 아르곤을 30초간 공급하여 반응부산물 및 잔여반응가스를 퍼지하였다(반응가스 퍼지 단계). 상기 반응가스 공급 단계, 상기 반응가스 노출 단계, 및 상기 반응가스 퍼지 단계는 반응가스 서브 사이클을 구성하고, 상기 반응가스 서브 사이클을 5회 반복 수행하여 알루미늄 산화물 단위층을 형성하였다. 상기 반응가스 서브 사이클을 5회 반복 수행할 때, 2, 3, 4, 및 5회차 반응가스 공급 단계들에서 각각 챔버 내의 압력을 2, 3, 4, 및 5 Torr에 이를 때까지 H2O를 공급하고, 이 후의 각 반응가스 노출 단계에서 5초간 해당 압력을 유지하였다.Thereafter, in a state in which the gas outlet was closed, H 2 O as a reaction gas was supplied on the aluminum precursor layer through the gas inlet. At this time, the reaction gas was supplied without a carrier gas, and was supplied until the pressure in the chamber reached 1 Torr (reaction gas supply step). Thereafter, the surface of the aluminum precursor layer and H 2 O were reacted for 5 seconds while maintaining the chamber pressure at 1 Torr by closing the chamber inlet (reaction gas exposure step). After that, argon as a purge gas was supplied to the gas inlet for 30 seconds while both the gas inlet and the gas outlet were opened to purify the reaction byproducts and the residual reaction gas (reaction gas purge step). The reaction gas supply step, the reaction gas exposure step, and the reaction gas purge step constitute a reaction gas subcycle, and the reaction gas subcycle was repeated 5 times to form an aluminum oxide unit layer. When the reaction gas subcycle is repeated 5 times, in the 2nd, 3rd, 4th, and 5th reaction gas supply steps, H 2 O is added until the pressure in the chamber reaches 2, 3, 4, and 5 Torr, respectively. was supplied, and the corresponding pressure was maintained for 5 seconds in each subsequent reaction gas exposure step.

상기 5회 반복된 알루미늄 전구체 서브 사이클들과 이후의 상기 5회 반복된 반응가스 서브 사이클들은 단위 사이클을 구성하고, 이 단위 사이클을 N회 반복하여 알루미늄 산화막을 형성하였다.The aluminum precursor subcycles repeated 5 times and the reaction gas subcycles repeated 5 times thereafter constituted a unit cycle, and the unit cycle was repeated N times to form an aluminum oxide layer.

알루미늄 산화막 비교예Comparative Example of Aluminum Oxide Film

가스 유입구와 가스 유출구를 구비하는 챔버 내에 실리콘 기판을 로딩하고, 챔버를 100 ℃로 가열하였다. 알루미늄 전구체인 TMA와 캐리어 가스인 아르곤의 혼합가스를 2초간 공급하되, 챔버의 가스 유출구를 연 상태에서 공급하여 상기 TMA 및 아르곤 혼합 가스가 챔버 내에서 라미나 플로우 상태를 유지하도록 하였다. 이 때 TMA의 분압은 100 mTorr였다. 그 후, 퍼지 가스인 아르곤을 20초간 공급하여 반응부산물 및 잔여 알루미늄 전구체를 퍼지하고, 반응가스인 H2O와 캐리어 가스인 아르곤의 혼합가스를 2 초간 라미나 플로우 상태를 유지하면서 공급하되 이 때, H2O의 분압은 100 mTorr였다. 그 후, 퍼지 가스인 아르곤을 40 초간 공급하여 반응부산물 및 잔여반응가스를 퍼지하였다. 이 단위 사이클을 N회 반복하여 알루미늄 산화막을 형성하였다.A silicon substrate was loaded into a chamber having a gas inlet and a gas outlet, and the chamber was heated to 100°C. A mixed gas of TMA, which is an aluminum precursor, and argon, which is a carrier gas, was supplied for 2 seconds, but the gas outlet of the chamber was supplied in an open state so that the TMA and argon mixed gas maintained a lamina flow state in the chamber. At this time, the partial pressure of TMA was 100 mTorr. Thereafter, argon, which is a purge gas, is supplied for 20 seconds to purge the reaction by-products and the remaining aluminum precursor, and a mixed gas of H2O, a reaction gas, and argon, a carrier gas, is supplied while maintaining the lamina flow state for 2 seconds, but at this time, H The partial pressure of 2 O was 100 mTorr. Thereafter, argon, which is a purge gas, was supplied for 40 seconds to purify the reaction by-products and the remaining reaction gas. This unit cycle was repeated N times to form an aluminum oxide film.

도 10a는 알루미늄 산화막 제조예에 따른 단위 사이클을 진행한 횟수에 따른 알루미늄 산화막의 두께를 보여주는 그래프이고, 도 10b는 알루미늄 산화막 비교예에 따른 단위 사이클을 진행한 횟수에 따른 알루미늄 산화막의 두께를 보여주는 그래프이다.10A is a graph showing the thickness of the aluminum oxide film according to the number of times of unit cycle according to the aluminum oxide film preparation example, and FIG. 10B is a graph showing the thickness of the aluminum oxide film according to the number of times of performing the unit cycle according to the comparative example of the aluminum oxide film. am.

도 10b를 참조하면, 알루미늄 산화막 비교예에 따른 알루미늄 산화막은 단위 사이클 당 약 0.8Å의 두께로 형성되는 반면, 도 10a를 참조하면, 알루미늄 산화막 제조예에 따른 알루미늄 산화막은 단위 사이클 당 약 1.6Å의 두께로 형성되어, 알루미늄 산화막 제조예의 경우 단위 사이클 당 더 두꺼운 알루미늄 산화막을 형성할 수 있음을 알 수 있다.Referring to FIG. 10B , the aluminum oxide film according to Comparative Example of the aluminum oxide film is formed to have a thickness of about 0.8 Å per unit cycle, while referring to FIG. It can be seen that a thicker aluminum oxide film can be formed per unit cycle in the case of the preparation example of the aluminum oxide film.

도 11a는 알루미늄 산화막 제조예에 따른 단위 사이클을 200 회 진행하여 얻은 알루미늄 산화막의 AFM(Atomic Force Microscope) 이미지이고, 도 11b는 알루미늄 산화막 비교예에 따른 단위 사이클을 200 회 진행하여 얻은 알루미늄 산화막의 AFM 이미지이다.11A is an atomic force microscope (AFM) image of an aluminum oxide film obtained by performing 200 unit cycles according to an aluminum oxide film preparation example, and FIG. 11B is an AFM of an aluminum oxide film obtained by performing 200 unit cycles according to a comparative example of an aluminum oxide film. It is an image.

도 11b를 참조하면, 알루미늄 산화막 비교예에 따른 알루미늄 산화막은 3.2 Å의 거칠기 값을 나타내는 반면, 도 11a를 참조하면, 알루미늄 산화막 제조예에 따른 알루미늄 산화막은 2.1 Å의 거칠기 값을 나타내어 매우 낮은 표면 거칠기를 갖는 것을 알 수 있다.Referring to FIG. 11B , the aluminum oxide film according to the comparative example of the aluminum oxide film exhibited a roughness value of 3.2 Å, while referring to FIG. 11A , the aluminum oxide film according to the preparation example of the aluminum oxide film exhibited a roughness value of 2.1 Å, resulting in a very low surface roughness It can be seen that has

하기 표 1은 알루미늄 산화막 제조예에 따른 단위 사이클을 250 회 진행하여 얻은 알루미늄 산화막과 알루미늄 산화막 비교예에 따른 단위 사이클을 500 회 진행하여 얻은 알루미늄 산화막의 특성을 나타낸다.Table 1 below shows the characteristics of an aluminum oxide film obtained by performing a unit cycle according to an aluminum oxide film preparation example 250 times and an aluminum oxide film obtained by performing a unit cycle according to a comparative example of an aluminum oxide film 500 times.

알루미늄 산화막 비교예Comparative Example of Aluminum Oxide Film 알루미늄 산화막 제조예Aluminum oxide film production example 두께thickness 40 nm40 nm 40 nm40 nm 절연상수(dielectric constant)dielectric constant 6.56.5 8.88.8 파괴전압(breakdown voltage)breakdown voltage 25 V25 V 34 V34 V

표 1을 참고하면, 알루미늄 산화막 제조예에 따른 단위 사이클을 250 회 진행하여 얻은 알루미늄 산화막과 알루미늄 산화막 비교예에 따른 단위 사이클을 500 회 진행하여 얻은 알루미늄 산화막이 40 nm의 동일 두께를 가지면서도, 알루미늄 산화막 제조예에 따른 알루미늄 산화막은 더 높은 절연상수 값과 파괴전압 값을 나타내었음을 알 수 있다. Referring to Table 1, an aluminum oxide film obtained by performing a unit cycle according to an aluminum oxide film preparation example 250 times and an aluminum oxide film obtained by performing a unit cycle according to a comparative example of an aluminum oxide film 500 times have the same thickness of 40 nm, It can be seen that the aluminum oxide film according to the oxide film preparation example exhibited higher insulation constant values and breakdown voltage values.

TFT 제조예TFT manufacturing example

유리 기판을 제공한 후, 상기 유리기판을 용매 초음파 세척(solvent ultrasonic cleaing)과 UV 오존 처리하였다. 상기 처리된 유리기판을 고진공 (1 Х 10-6 Torr 미만) 분위기에 두고, 섀도우 마스크를 사용한 열증발법을 사용하여 상기 유리 기판 상에 70 ㎚ 두께의 알루미늄 필름을 증착하여 게이트 전극을 형성하였다. 상기 게이트 전극이 형성된 유리 기판을 실리콘 기판 대신 로딩하는 것을 제외하고는 상기 알루미늄 산화막 제조예와 동일한 방법을 사용하여 상기 게이트 전극 상에 게이트 절연막인 알루미늄 산화막을 형성하였다. 이 때, 상기 알루미늄 산화막 제조예에 기재된 단위 사이클을 250회 반복하여 약 40 nm 두께의 알루미늄 산화막을 형성하였다. 상기 게이트 절연막인 알루미늄 산화막 상에 일반 원자층 증착법을 사용하여 채널층인 ZnO층을 10 nm의 두께로 형성하였다. 마지막으로, 상기 채널층 상에 열증발법을 사용하여 70 nm 두께의 Al 소오스/드레인 전극들을 형성하였다.After providing the glass substrate, the glass substrate was subjected to solvent ultrasonic cleaning and UV ozone treatment. The treated glass substrate was placed in a high vacuum (less than 1 Х 10 -6 Torr) atmosphere, and an aluminum film having a thickness of 70 nm was deposited on the glass substrate by thermal evaporation using a shadow mask to form a gate electrode. An aluminum oxide film, which is a gate insulating film, was formed on the gate electrode by using the same method as in the aluminum oxide film preparation example except that the glass substrate on which the gate electrode was formed was loaded instead of the silicon substrate. At this time, the unit cycle described in the aluminum oxide film preparation example was repeated 250 times to form an aluminum oxide film having a thickness of about 40 nm. A ZnO layer, which is a channel layer, was formed to a thickness of 10 nm on the aluminum oxide film as the gate insulating film by using a general atomic layer deposition method. Finally, Al source/drain electrodes having a thickness of 70 nm were formed on the channel layer by thermal evaporation.

TFT 비교예TFT comparative example

게이트 절연막을 제조함에 있어 알루미늄 산화막 비교예와 동일한 방법을 사용하여 게이트 전극 상에 알루미늄 산화막을 형성한 것을 제외하고는 TFT 제조예와 동일한 방법을 TFT를 제조하였다. 이 때, 상기 알루미늄 산화막 비교예에 기재된 단위 사이클을 400회 반복하여 약 40 nm 두께의 알루미늄 산화막을 형성하였다.A TFT was manufactured in the same manner as in the TFT Preparation Example except that an aluminum oxide film was formed on the gate electrode using the same method as in Comparative Example of the aluminum oxide film in manufacturing the gate insulating film. At this time, the unit cycle described in Comparative Example of the aluminum oxide film was repeated 400 times to form an aluminum oxide film having a thickness of about 40 nm.

도 12a는 TFT 제조예에 따른 TFT의 전달특성을 보여주는 ID-VG 그래프이고, 도 12b는 TFT 비교예에 따른 TFT의 전달특성을 보여주는 ID-VG 그래프이다. 또한, 하기 표 2는 TFT 제조예 및 TFT 비교예에 따른 TFT들의 특성을 나타낸다.12A is an ID-VG graph showing the transmission characteristics of the TFT according to the TFT Preparation Example, and FIG. 12B is an ID-VG graph showing the transmission characteristics of the TFT according to the TFT Comparative Example. In addition, Table 2 below shows the characteristics of TFTs according to the TFT Preparation Example and the TFT Comparative Example.

TFT 비교예TFT comparative example TFT 제조예TFT manufacturing example On/Off current ratioOn/Off current ratio 6.67 × 107 6.67 × 10 7 3.04 × 108 3.04 × 10 8 Mobility (cm2/Vs)Mobility (cm 2 /Vs) 21.521.5 29.729.7

도 12a, 도 12b, 및 표 2를 참고하면, TFT 제조예에 따른 TFT의 온/오프 전류비가 3.04 × 108 으로 매우 우수한 것으로 나타났다. 이는 상기 표 1을 참조하여 설명한 바와 같이 알루미늄 산화막 제조예에 따라 가압식 원자층 증착법을 사용하여 형성된 게이트 절연막인 알루미늄 산화막의 유전상수가 일반 원자층 증착법을 사용하여 형성된 것 대비 우수하기 때문인 것으로 추정되었다. 또한 TFT 제조예에 따른 TFT의 이동도가 29.7 cm2/Vs 로 매우 우수한 것으로 나타났는데, 이 또한 도 11a 및 도 11b를 참조하여 설명한 바와 같이 알루미늄 산화막 제조예에 따라 가압식 원자층 증착법을 사용하여 형성된 게이트 절연막인 알루미늄 산화막의 표면 거칠기가 일반 원자층 증착법을 사용하여 형성된 것 대비 매우 낮기 때문에 채널층을 흐르는 전자가 채널층과 알루미늄 산화막 사이의 계면에서 산란되는 것이 억제되기 때문인 것으로 추정되었다.Referring to FIGS. 12A, 12B, and Table 2, it was found that the on/off current ratio of the TFT according to the TFT Preparation Example was 3.04 × 10 8 , which was very excellent. As described with reference to Table 1 above, it was estimated that the dielectric constant of the aluminum oxide film, which is a gate insulating film formed using the pressurized atomic layer deposition method according to the aluminum oxide film production example, is superior to that formed using the general atomic layer deposition method. In addition, the mobility of the TFT according to the manufacturing example of the TFT was found to be very excellent as 29.7 cm 2 /Vs, which was also formed using the pressurized atomic layer deposition method according to the manufacturing example of the aluminum oxide film as described with reference to FIGS. 11A and 11B. Since the surface roughness of the aluminum oxide film, which is the gate insulating film, is very low compared to that formed using a general atomic layer deposition method, it was estimated that scattering of electrons flowing through the channel layer at the interface between the channel layer and the aluminum oxide film was suppressed.

이상, 본 발명을 바람직한 실시예를 들어 상세하게 설명하였으나, 본 발명은 상기 실시예에 한정되지 않고, 본 발명의 기술적 사상 및 범위 내에서 당 분야에서 통상의 지식을 가진 자에 의하여 여러가지 변형 및 변경이 가능하다.Above, the present invention has been described in detail with reference to preferred embodiments, but the present invention is not limited to the above embodiments, and various modifications and changes by those skilled in the art within the technical spirit and scope of the present invention This is possible.

Claims (17)

기판 상에 게이트 전극, 게이트 절연막, 채널층, 및 상기 채널층의 양측단부에 접속하는 소오스/드레인 전극들을 형성하되,
상기 게이트 절연막을 형성하는 단계는, 기판을 챔버 내에 투입하고, 상기 챔버의 가스 유출구를 닫은 상태에서 상기 기판 상에 알루미늄 전구체를 공급하여 상기 챔버 내 반응압력을 증가시켜 상기 알루미늄 전구체를 상기 기판 표면 상에 흡착시키는 알루미늄 전구체 가압 도징 단계; 상기 알루미늄 전구체 가압 도징 단계 후, 상기 챔버를 퍼지하는 알루미늄 전구체 퍼지 단계; 상기 알루미늄 전구체 퍼지 단계 후, 산화제를 상기 챔버 내로 공급하여 상기 기판 상에 흡착된 알루미늄 전구체와 반응시키는 산화제 공급 단계; 및 상기 산화제 공급 단계 후, 상기 챔버를 퍼지하는 산화제 퍼지 단계를 포함하는 단위 사이클을 다수회 진행하여, 상기 기판 상에 알루미늄 산화막인 게이트 절연막을 형성하는 것인 반도체 소자 제조방법.
A gate electrode, a gate insulating film, a channel layer, and source/drain electrodes connected to both ends of the channel layer are formed on a substrate,
In the forming of the gate insulating film, a substrate is put into a chamber, and an aluminum precursor is supplied to the substrate in a state in which the gas outlet of the chamber is closed to increase the reaction pressure in the chamber to apply the aluminum precursor to the surface of the substrate. A pressure dosing step of adsorbing the aluminum precursor to the; an aluminum precursor purging step of purging the chamber after the aluminum precursor pressurized dosing step; after the aluminum precursor purging step, an oxidizing agent supply step of supplying an oxidizing agent into the chamber to react with the aluminum precursor adsorbed on the substrate; and performing a unit cycle including an oxidizing agent purge step of purging the chamber after the oxidizing agent supply step a plurality of times to form a gate insulating layer, which is an aluminum oxide layer, on the substrate.
청구항 1에 있어서,
상기 게이트 절연막은 상기 기판 상에 상기 게이트 전극이 형성된 후, 상기 게이트 전극 상에 형성되고,
상기 채널층은 상기 게이트 절연막 상에 형성되는 반도체 소자 제조방법.
The method according to claim 1,
The gate insulating layer is formed on the gate electrode after the gate electrode is formed on the substrate;
wherein the channel layer is formed on the gate insulating layer.
청구항 2에 있어서,
상기 채널층은 금속 산화물 채널층이고,
상기 금속 산화물 채널층과 상기 알루미늄 산화막 사이에 계면이 형성된 반도체 소자 제조방법.
3. The method according to claim 2,
The channel layer is a metal oxide channel layer,
A method of manufacturing a semiconductor device in which an interface is formed between the metal oxide channel layer and the aluminum oxide layer.
청구항 1에 있어서,
상기 알루미늄 전구체는 캐리어 가스 없이 공급되고,
상기 알루미늄 전구체 공급에 의해 증가된 챔버 내 반응압력은 100 mTorr 내지 10 Torr인 반도체 소자 제조방법.
The method according to claim 1,
The aluminum precursor is supplied without a carrier gas,
The reaction pressure in the chamber increased by the supply of the aluminum precursor is 100 mTorr to 10 Torr.
청구항 1에 있어서,
상기 알루미늄 전구체 가압 도징 단계와 상기 알루미늄 전구체 퍼지 단계는 알루미늄 전구체 서브 사이클에 포함되고,
상기 산화제 공급 단계 전에, 상기 알루미늄 전구체 서브 사이클을 다수회 반복하고,
상기 알루미늄 전구체 서브 사이클의 반복 횟수가 증가함에 따라 상기 알루미늄 전구체 가압 도징 단계에서의 반응압력이 점차 증가하는 반도체 소자 제조방법.
The method according to claim 1,
The aluminum precursor pressurized dosing step and the aluminum precursor purge step are included in an aluminum precursor subcycle,
repeating the aluminum precursor sub-cycle a plurality of times before the oxidizing agent supply step;
A method of manufacturing a semiconductor device in which a reaction pressure in the aluminum precursor pressurized dosing step is gradually increased as the number of repetitions of the aluminum precursor sub-cycle increases.
청구항 1에 있어서,
상기 산화제 공급단계는
상기 챔버의 가스 유출구를 닫은 상태에서 상기 산화제를 공급하여 상기 챔버 내 반응압력을 증가시킨 상태에서 진행하는 산화제 가압 도징 단계로 진행하는 반도체 소자 제조방법.
The method according to claim 1,
The oxidizing agent supply step is
A semiconductor device manufacturing method in which the oxidizing agent pressurized dosing step proceeds in a state in which the reaction pressure in the chamber is increased by supplying the oxidizing agent in a state in which the gas outlet of the chamber is closed.
청구항 6에 있어서,
상기 산화제 가압 도징 단계와 상기 산화제 퍼지 단계는 산화제 서브 사이클에 포함되고,
상기 단위 사이클은 상기 산화제 서브 사이클을 연속하여 다수회 반복하는 것을 포함하고,
상기 산화제 서브 사이클의 반복 횟수가 증가함에 따라 상기 산화제 가압 도징 단계에서의 반응압력이 점차 증가하는 반도체 소자 제조방법.
7. The method of claim 6,
The oxidant pressurized dosing step and the oxidant purge step are included in the oxidizer subcycle,
The unit cycle includes repeating the oxidizer sub-cycle a plurality of times in succession,
A method of manufacturing a semiconductor device in which the reaction pressure in the oxidizing agent pressurized dosing step is gradually increased as the number of repetitions of the oxidizing agent subcycle increases.
청구항 1에 있어서,
상기 알루미늄 전구체는 트라이메틸알루미늄(trimethylaluminium, TMA)이고, 상기 산화제는 H2O인 반도체 소자 제조방법.
The method according to claim 1,
The aluminum precursor is trimethylaluminium (TMA), and the oxidizing agent is H 2 O A method of manufacturing a semiconductor device.
청구항 1에 있어서,
상기 알루미늄 산화막을 형성하는 챔버의 온도는 20 내지 150 ℃의 범위 내에 있고,
상기 알루미늄 산화막은 8 내지 9.5의 유전상수(dielectric constant)를 갖도록 형성되는 반도체 소자 제조방법.
The method according to claim 1,
The temperature of the chamber for forming the aluminum oxide film is in the range of 20 to 150 ℃,
The method of manufacturing a semiconductor device in which the aluminum oxide film is formed to have a dielectric constant of 8 to 9.5.
기판 상에 복수의 층간 절연막들과 복수의 제어 게이트막들을 교호적으로 적층하는 단계;
상기 교호적으로 적층된 층간 절연막들과 제어 게이트막들을 관통하는 개구부를 형성하는 단계;
상기 개구부의 측벽 상에 블로킹 절연막 및 전하 포획층을 차례로 형성하는 단계;
상기 전하 포획층이 형성된 기판을 챔버 내에 투입하고, 상기 챔버의 가스 유출구를 닫은 상태에서 상기 기판 상에 알루미늄 전구체를 공급하여 상기 챔버 내 반응압력을 증가시켜 상기 알루미늄 전구체를 상기 기판 표면 상에 흡착시키는 알루미늄 전구체 가압 도징 단계; 상기 알루미늄 전구체 가압 도징 단계 후, 상기 챔버를 퍼지하는 알루미늄 전구체 퍼지 단계; 상기 알루미늄 전구체 퍼지 단계 후, 산화제를 상기 챔버 내로 공급하여 상기 기판 상에 흡착된 알루미늄 전구체와 반응시키는 산화제 공급 단계; 및 상기 산화제 공급 단계 후, 상기 챔버를 퍼지하는 산화제 퍼지 단계를 포함하는 단위 사이클을 다수회 진행하여, 상기 전하 포획층이 형성된 기판 상에 알루미늄 산화막인 터널 절연막을 형성하는 단계;
상기 터널 절연막이 형성된 개구부의 측벽 상에 채널층을 형성하는 단계; 및
상기 채널층이 형성된 개구부를 채우는 절연 기둥을 형성하는 단계를 포함하는 수직형 비휘발성 메모리 소자 제조방법.
alternately stacking a plurality of interlayer insulating films and a plurality of control gate films on a substrate;
forming an opening penetrating the alternately stacked interlayer insulating layers and control gate layers;
sequentially forming a blocking insulating film and a charge trapping layer on sidewalls of the opening;
Putting the substrate on which the charge trapping layer is formed into a chamber, supplying an aluminum precursor to the substrate in a state in which the gas outlet of the chamber is closed, increasing the reaction pressure in the chamber to adsorb the aluminum precursor onto the surface of the substrate aluminum precursor pressure dosing; an aluminum precursor purging step of purging the chamber after the aluminum precursor pressurized dosing step; after the aluminum precursor purging step, an oxidizing agent supply step of supplying an oxidizing agent into the chamber to react with the aluminum precursor adsorbed on the substrate; and forming a tunnel insulating film, which is an aluminum oxide film, on the substrate on which the charge trapping layer is formed by performing a unit cycle including a oxidizing agent purge step of purging the chamber after the oxidizing agent supply step;
forming a channel layer on a sidewall of the opening in which the tunnel insulating layer is formed; and
and forming an insulating pillar filling the opening in which the channel layer is formed.
청구항 10에 있어서,
상기 채널층은 금속 산화물 채널층이고,
상기 금속 산화물 채널층과 상기 알루미늄 산화막 사이에 계면이 형성된 수직형 비휘발성 메모리 소자 제조방법.
11. The method of claim 10,
The channel layer is a metal oxide channel layer,
A method of manufacturing a vertical nonvolatile memory device in which an interface is formed between the metal oxide channel layer and the aluminum oxide layer.
청구항 10에 있어서,
상기 알루미늄 전구체는 캐리어 가스 없이 공급되고,
상기 알루미늄 전구체 공급에 의해 증가된 챔버 내 반응압력은은 100 mTorr 내지 10 Torr인 수직형 비휘발성 메모리 소자 제조방법.
11. The method of claim 10,
The aluminum precursor is supplied without a carrier gas,
The reaction pressure in the chamber increased by the supply of the aluminum precursor is 100 mTorr to 10 Torr.
청구항 10에 있어서,
상기 알루미늄 전구체 가압 도징 단계와 상기 알루미늄 전구체 퍼지 단계는 알루미늄 전구체 서브 사이클에 포함되고,
상기 산화제 공급 단계 전에, 상기 알루미늄 전구체 서브 사이클을 다수회 반복하고,
상기 알루미늄 전구체 서브 사이클의 반복 횟수가 증가함에 따라 상기 알루미늄 전구체 가압 도징 단계에서의 반응압력이 점차 증가하는 수직형 비휘발성 메모리 소자 제조방법.
11. The method of claim 10,
The aluminum precursor pressurized dosing step and the aluminum precursor purge step are included in an aluminum precursor subcycle,
repeating the aluminum precursor sub-cycle a plurality of times before the oxidizing agent supply step;
A method of manufacturing a vertical nonvolatile memory device in which a reaction pressure in the aluminum precursor pressurized dosing step is gradually increased as the number of repetitions of the aluminum precursor subcycle increases.
청구항 10에 있어서,
상기 산화제 공급단계는
상기 챔버의 가스 유출구를 닫은 상태에서 상기 산화제를 공급하여 상기 챔버 내 반응압력을 증가시킨 상태에서 진행하는 산화제 가압 도징 단계로 진행하는 수직형 비휘발성 메모리 소자 제조방법.
11. The method of claim 10,
The oxidizing agent supply step is
A method of manufacturing a vertical nonvolatile memory device in which the oxidizing agent pressurized dosing step proceeds while the reaction pressure in the chamber is increased by supplying the oxidizing agent while the gas outlet of the chamber is closed.
청구항 14에 있어서,
상기 산화제 가압 도징 단계와 상기 산화제 퍼지 단계는 산화제 서브 사이클에 포함되고,
상기 단위 사이클은 상기 산화제 서브 사이클을 연속하여 다수회 반복하는 것을 포함하고,
상기 산화제 서브 사이클의 반복 횟수가 증가함에 따라 상기 산화제 가압 도징 단계에서의 반응압력이 점차 증가하는 수직형 비휘발성 메모리 소자 제조방법.
15. The method of claim 14,
The oxidant pressurized dosing step and the oxidant purge step are included in the oxidizer subcycle,
The unit cycle includes repeating the oxidizer sub-cycle a plurality of times in succession,
A method of manufacturing a vertical nonvolatile memory device in which a reaction pressure in the oxidizing agent pressurized dosing step is gradually increased as the number of repetitions of the oxidizer subcycle increases.
청구항 10에 있어서,
상기 알루미늄 전구체는 트라이메틸알루미늄(trimethylaluminium, TMA)이고, 상기 산화제는 H2O인 수직형 비휘발성 메모리 소자 제조방법.
11. The method of claim 10,
The aluminum precursor is trimethylaluminium (TMA), and the oxidizing agent is H 2 O. A method of manufacturing a vertical nonvolatile memory device.
청구항 10에 있어서,
상기 알루미늄 산화막을 형성하는 챔버의 온도는 20 내지 150 ℃의 범위 내에 있고,
상기 알루미늄 산화막은 8 내지 9.5의 유전상수(dielectric constant)를 갖도록 형성되는 수직형 비휘발성 메모리 소자 제조방법.
11. The method of claim 10,
The temperature of the chamber for forming the aluminum oxide film is in the range of 20 to 150 ℃,
The method of manufacturing a vertical nonvolatile memory device in which the aluminum oxide layer is formed to have a dielectric constant of 8 to 9.5.
KR1020200147548A 2020-11-06 2020-11-06 Semiconductor device including high dielectric constant aluminium oxide layer KR102430533B1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020200147548A KR102430533B1 (en) 2020-11-06 2020-11-06 Semiconductor device including high dielectric constant aluminium oxide layer
PCT/KR2020/015511 WO2022097790A1 (en) 2020-11-06 2020-11-06 Method for manufacturing semiconductor device comprising high-permittivity aluminum oxide film

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020200147548A KR102430533B1 (en) 2020-11-06 2020-11-06 Semiconductor device including high dielectric constant aluminium oxide layer

Publications (2)

Publication Number Publication Date
KR20220062163A true KR20220062163A (en) 2022-05-16
KR102430533B1 KR102430533B1 (en) 2022-08-08

Family

ID=81456754

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200147548A KR102430533B1 (en) 2020-11-06 2020-11-06 Semiconductor device including high dielectric constant aluminium oxide layer

Country Status (2)

Country Link
KR (1) KR102430533B1 (en)
WO (1) WO2022097790A1 (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170123752A (en) * 2016-04-29 2017-11-09 한양대학교 산학협력단 Pressurized manufacturing method for metal monolayer, Structure for metal monolayer, Pressurized manufacturing apparatus for metal monolayer
KR20190128558A (en) * 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR20200013431A (en) * 2018-07-30 2020-02-07 한양대학교 산학협력단 Thin film transistor and vertical non-volatile memory device including transition metal-induced polycrystalline metal oxide channel layer and aluminium oxide layer

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11532757B2 (en) * 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170123752A (en) * 2016-04-29 2017-11-09 한양대학교 산학협력단 Pressurized manufacturing method for metal monolayer, Structure for metal monolayer, Pressurized manufacturing apparatus for metal monolayer
KR20190128558A (en) * 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR20200013431A (en) * 2018-07-30 2020-02-07 한양대학교 산학협력단 Thin film transistor and vertical non-volatile memory device including transition metal-induced polycrystalline metal oxide channel layer and aluminium oxide layer

Also Published As

Publication number Publication date
KR102430533B1 (en) 2022-08-08
WO2022097790A1 (en) 2022-05-12

Similar Documents

Publication Publication Date Title
KR101670425B1 (en) Capping layers for metal oxynitride tfts
JP5546733B2 (en) Thin film transistor and manufacturing method thereof
US20110095288A1 (en) Thin film transistor and display device
US20070026608A1 (en) Flash memory devices having multilayered inter-gate dielectric layers including metal oxide layers and methods of manufacturing the same
JP2014507794A (en) Thin film transistor and manufacturing method thereof
US8829515B2 (en) Transistor having sulfur-doped zinc oxynitride channel layer and method of manufacturing the same
US11894396B2 (en) High-K dielectric materials comprising zirconium oxide utilized in display devices
KR20150127122A (en) Metal oxide tft stability improvement
US11732354B2 (en) Method and apparatus for forming layer, metal oxide transistor and fabrication method thereof
KR102430533B1 (en) Semiconductor device including high dielectric constant aluminium oxide layer
KR101417932B1 (en) Thin film transistor having double layered semiconductor channel and method of manufacturing the thin film transistor
KR101761804B1 (en) Thin film transistor and Method of manufacturing the same
KR102436345B1 (en) Tft having the indium oxide layer as channel laeyr, and manufacturing method for the tft
KR101827514B1 (en) Thin film transistor and Method of manufacturing the same
KR102256554B1 (en) Tin oxide layer, tft having the same as channel laeyr, and manufacturing method for the tft
KR20200073130A (en) P-Type Semiconductor Layer, P-Type Multilevel Element and the Manufacturing Method of the Emement
KR102509588B1 (en) Thin film transistor including heterojunction igzo channel layer
US11316048B2 (en) Tin oxide layer, TFT having the same as channel layer, and manufacturing method for the TFT
KR20200073132A (en) Multilevel Element haiving Indium Oxide Semiconductor Layer and the Manufacturing Method of the Elmement
JP2019208002A (en) Film, multilevel element, manufacturing method of the multilevel element, and driving method of the multilevel element
JP2019537832A (en) Method for producing film, apparatus for producing the same, metal oxide transistor and method for producing the same

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant