KR20210157858A - Semiconductor device with contact structure - Google Patents

Semiconductor device with contact structure Download PDF

Info

Publication number
KR20210157858A
KR20210157858A KR1020210057287A KR20210057287A KR20210157858A KR 20210157858 A KR20210157858 A KR 20210157858A KR 1020210057287 A KR1020210057287 A KR 1020210057287A KR 20210057287 A KR20210057287 A KR 20210057287A KR 20210157858 A KR20210157858 A KR 20210157858A
Authority
KR
South Korea
Prior art keywords
thin film
layer
data
fluid
etching
Prior art date
Application number
KR1020210057287A
Other languages
Korean (ko)
Inventor
충-리앙 쳉
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20210157858A publication Critical patent/KR20210157858A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Theoretical Computer Science (AREA)
  • Software Systems (AREA)
  • Ceramic Engineering (AREA)
  • Data Mining & Analysis (AREA)
  • Artificial Intelligence (AREA)
  • General Engineering & Computer Science (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Evolutionary Computation (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Medical Informatics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Biomedical Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biophysics (AREA)
  • Computational Linguistics (AREA)
  • General Health & Medical Sciences (AREA)
  • Molecular Biology (AREA)
  • Health & Medical Sciences (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Bipolar Transistors (AREA)

Abstract

A semiconductor process system etches a thin film on a semiconductor wafer. The semiconductor process system comprises a machine learning-based analysis model. The analysis model dynamically selects a process condition for an etch process by receiving a static process condition and target thin film data. The analysis model identifies dynamic process condition data that obtains predicted residual film data consistent with target thin film data along with static process condition data. The process system then uses the static and dynamic process condition data for the next etch process.

Description

접촉 구조체를 가지는 반도체 디바이스{SEMICONDUCTOR DEVICE WITH CONTACT STRUCTURE}A semiconductor device having a contact structure

기술 분야technical field

본 개시 내용은 반도체 제조 분야에 관한 것이다. 본 개시 내용은 더 구체적으로 반도체 제조를 위한 에칭 공정에 관한 것이다.The present disclosure relates to the field of semiconductor manufacturing. The present disclosure relates more particularly to etching processes for semiconductor fabrication.

관련 기술의 설명Description of related technology

스마트 폰, 태블릿, 데스크탑 컴퓨터, 랩탑 컴퓨터 및 기타 여러 종류의 전자 장치를 비롯한 전자 장치의 컴퓨팅 성능을 높이기 위한 지속적인 요구가 있어 왔다. 집적 회로는 이들 전자 장치에 컴퓨팅 성능을 제공한다. 집적 회로에서 컴퓨팅 성능을 향상시키는 한 가지 방법은 반도체 기판의 주어진 영역에 포함될 수 있는 트랜지스터 및 기타 집적 회로 특징부의 수를 증가시키는 것이다.There has been a continuous demand for increasing the computing performance of electronic devices, including smart phones, tablets, desktop computers, laptop computers, and many other types of electronic devices. Integrated circuits provide computing power to these electronic devices. One way to improve computing performance in integrated circuits is to increase the number of transistors and other integrated circuit features that can be included in a given area of a semiconductor substrate.

집적 회로에서 특징부의 크기를 계속 축소하기 위해, 다양한 박막 성막 기술, 에칭 기술 및 다른 처리 기술이 구현된다. 이들 기술은 매우 작은 특징부를 형성할 수 있다. 그러나, 이들 기술은 또한 특징부가 제대로 형성되도록 하는 데 심각한 어려움에 직면한다.To continue reducing the size of features in integrated circuits, various thin film deposition techniques, etching techniques and other processing techniques are implemented. These techniques can form very small features. However, these techniques also face serious difficulties in ensuring that the features are properly formed.

도 1a-1r은 일 실시예에 따른 다양한 처리 단계에서의 집적 회로의 단면도이다.
도 2a는 일 실시예에 따른 집적 회로의 소스/드레인 접촉 플러그의 확대 단면도이다.
도 2b는 일 실시예에 따른 집적 회로의 소스/드레인 접촉 플러그의 확대 단면도이다.
도 3a는 일 실시예에 따른 집적 회로의 게이트 접촉 플러그의 확대 단면도이다.
도 3b는 일 실시예에 따른 집적 회로의 게이트 접촉 플러그의 확대 단면도이다.
도 4a는 일 실시예에 따른 집적 회로의 소스/드레인 접촉 플러그의 확대 단면도이다.
도 4b는 일 실시예에 따른 집적 회로의 소스/드레인 접촉 플러그의 확대 단면도이다.
도 5a는 일 실시예에 따른 집적 회로의 게이트 접촉 플러그의 확대 단면도이다.
도 5b는 일 실시예에 따른 집적 회로의 게이트 접촉 플러그의 확대 단면도이다.
도 6a는 일 실시예에 따른 반도체 프로세스 시스템의 예시이다.
도 6b는 원자층 에칭 공정의 사이클 도중의 유체 흐름을 나타내는 그래프이다.
도 7은 반도체 프로세스 시스템의 제어 시스템의 블록도이다.
도 8a는 일 실시예에 따른 제어 시스템의 분석 모델을 트레이닝하기 위한 프로세스의 흐름도이다.
도 8b는 일 실시예에 따른 분석 모델의 블록도이다.
도 9는 일 실시예에 따른 분석 모델과 함께 박막 성막 공정을 수행하기 위한 프로세스의 흐름도이다.
1A-1R are cross-sectional views of an integrated circuit at various stages of processing in accordance with one embodiment.
2A is an enlarged cross-sectional view of a source/drain contact plug of an integrated circuit according to one embodiment;
2B is an enlarged cross-sectional view of a source/drain contact plug of an integrated circuit according to one embodiment.
3A is an enlarged cross-sectional view of a gate contact plug of an integrated circuit according to one embodiment.
3B is an enlarged cross-sectional view of a gate contact plug of an integrated circuit according to one embodiment.
4A is an enlarged cross-sectional view of a source/drain contact plug of an integrated circuit according to an embodiment.
4B is an enlarged cross-sectional view of a source/drain contact plug of an integrated circuit according to one embodiment.
5A is an enlarged cross-sectional view of a gate contact plug of an integrated circuit according to one embodiment.
5B is an enlarged cross-sectional view of a gate contact plug of an integrated circuit according to one embodiment.
6A is an illustration of a semiconductor process system in accordance with one embodiment.
6B is a graph illustrating fluid flow during a cycle of an atomic layer etch process.
7 is a block diagram of a control system of a semiconductor process system.
8A is a flow diagram of a process for training an analytical model of a control system according to an embodiment.
8B is a block diagram of an analysis model according to an exemplary embodiment.
9 is a flowchart of a process for performing a thin film deposition process with an analytical model according to an embodiment.

다음 설명에서, 집적 회로 다이 내의 다양한 층 및 구조체에 대해 많은 두께 및 재료가 설명된다. 다양한 실시예에 대한 특정 치수 및 재료가 예로 제공된다. 당업자는 본 개시 내용의 측면에서 본 개시 내용의 범위를 벗어나지 않고 많은 경우에 다른 치수 및 재료가 사용될 수 있음을 인식할 것이다.In the following description, many thicknesses and materials are described for various layers and structures within an integrated circuit die. Specific dimensions and materials for various embodiments are provided as examples. Those of ordinary skill in the art, in light of this disclosure, will recognize that other dimensions and materials may be used in many cases without departing from the scope of the disclosure.

다음의 개시 내용은 설명되는 주제의 여러 가지 다른 특징부의 구현을 위한 다수의 상이한 실시예 또는 실례를 제공한다. 본 개시 내용을 단순화하기 위해 구성 요소 및 배열의 특정 예들을 아래에 설명한다. 이들은 물론 단지 여러 가지 예일 뿐이고 한정하고자 의도된 것이 아니다. 예를 들면, 이어지는 설명에서 제2 특징부 상에 제1 특징부의 형성은 제1 및 제2 특징부가 직접 접촉되게 형성되는 실시예를 포함할 수 있고 제1 및 제2 특징부가 직접 접촉되지 않을 수 있게 추가의 특징부가 제1 및 제2 특징부 사이에 형성될 수 있는 실시예도 포함할 수 있다. 추가로, 본 개시 내용은 여러 예에서 참조 번호 및/또는 문자를 반복할 수 있다. 이러한 반복은 단순 및 명료를 위한 것으로 그 자체가 논의되는 다양한 실시예 및/또는 구성 간의 관계를 지시하는 것은 아니다.The following disclosure provides a number of different embodiments or examples for implementation of various different features of the described subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely several examples and are not intended to be limiting. For example, the formation of a first feature on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact and the first and second features may not be in direct contact. Embodiments may also include embodiments in which additional features may be formed between the first and second features. Additionally, this disclosure may repeat reference numbers and/or letters in the various instances. These repetitions are for the sake of simplicity and clarity and do not in themselves indicate a relationship between the various embodiments and/or configurations being discussed.

또한, "아래"(예, beneath, below, lower), "위"(예, above, upper) 등의 공간 관계 용어는 여기서 도면에 예시되는 바와 같이 다른 요소(들) 또는 특징부(들)에 대한 하나의 요소 또는 특징부의 관계를 기술하는 설명의 용이성을 위해 사용될 수 있다. 공간 관계 용어는 도면에 표현된 배향 외에도 사용 중 또는 작동 중인 디바이스의 다른 배향을 포함하도록 의도된 것이다. 장치는 달리 배향될 수 있으며(90도 회전 또는 다른 배향), 여기 사용되는 공간 관계 기술어도 그에 따라 유사하게 해석될 수 있다.In addition, spatial relational terms such as "below" (eg, beneath, below, lower), "above" (eg, above, upper) are used herein to refer to other element(s) or feature(s) as exemplified in the drawings. It may be used for ease of description that describes the relationship of one element or feature to one another. Spatial relational terms are intended to include other orientations of the device in use or in operation in addition to the orientations represented in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations), and the spatial relation descriptors used herein may be similarly interpreted accordingly.

다음의 설명에서, 본 개시 내용의 다양한 실시예에 대한 철저한 이해를 제공하기 위해 어떤 특정 상세가 설명된다. 그러나, 당업자는 본 개시 내용이 이러한 특정 상세 없이 실시될 수 있음을 이해할 것이다. 다른 예에서, 전자 부품 및 제조 기술과 관련된 잘 알려진 구조체는 본 개시 내용의 실시예의 설명을 불필요하게 모호하게하는 것을 피하기 위해 상세하게 설명되지 않았다.In the following description, certain specific details are set forth in order to provide a thorough understanding of various embodiments of the present disclosure. However, it will be understood by those skilled in the art that the present disclosure may be practiced without these specific details. In other instances, well-known structures associated with electronic components and manufacturing techniques have not been described in detail to avoid unnecessarily obscuring the description of embodiments of the present disclosure.

문맥이 달리 요구하지 않는 한, 다음의 명세서 및 청구 범위 전체에 걸쳐, "포함하다"및 "포함하는"과 같은 "포함한다" 및 그 변형의 단어는 개방적이고 포괄적인 의미, 즉 "포함하지만 이에 제한되지 않는"으로 해석되어야 한다.Unless the context requires otherwise, throughout the following specification and claims, the words "comprises" and variations thereof, such as "comprises" and "comprising," have an open and inclusive meaning, i.e., "including but not limited to should be construed as "unlimited".

제1, 제2 및 제3과 같은 서수를 사용하는 것은 반드시 순위의 의미를 의미하는 것은 아니며 오히려 단지 여러 인스턴스의 동작 또는 구조체를 구별할 수 있다.The use of ordinal numbers such as 1st, 2nd and 3rd does not necessarily imply rank meaning, but rather can only distinguish between several instances of an operation or structure.

본 명세서 전체에서 "일 실시예" 또는 "실시예"에 대한 언급은 해당 실시예와 관련하여 설명된 특별한 특징, 구조 또는 특성이 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전반에 걸쳐 다양한 곳에서 "일 실시 예에서" 또는 "실시예에서"라는 문구의 출현은 반드시 모두 동일한 실시예를 지칭하는 것은 아니다. 더욱이, 특별한 특징, 구조 또는 특성은 하나 이상의 실시예에서 임의의 적절한 방식으로 결합될 수 있다.Reference throughout this specification to “one embodiment” or “an embodiment” means that a particular feature, structure, or characteristic described in connection with the embodiment is included in at least one embodiment. Thus, the appearances of the phrases "in one embodiment" or "in an embodiment" in various places throughout this specification are not necessarily all referring to the same embodiment. Moreover, a particular feature, structure, or characteristic may be combined in any suitable manner in one or more embodiments.

본 명세서 및 첨부된 청구 범위에서 사용된 단수 형태의 표현은 내용이 명백하게 달리 지시하지 않는 한, 복수의 지시 대상을 포함한다. 또한, "또는"이라는 용어는 내용이 달리 명시하지 않는 한, "및/또는"을 포함하는 의미로 일반적으로 사용됨을 알아야 한다.As used in this specification and the appended claims, the singular and the singular include plural referents unless the content clearly dictates otherwise. It should also be understood that the term "or" is generally used in its sense including "and/or", unless the context dictates otherwise.

본 개시 내용의 실시예는 신뢰할 수 있는 두께 및 조성의 박막을 제공한다. 본 개시 내용의 실시예는 에칭 공정 사이 또는 심지어 에칭 공정 도중의 박막 에칭 공정 파라미터를 조정하기 위해 머신 러닝 기술을 이용한다. 본 개시 내용의 실시예는 머신 러닝 기술을 사용하여 다음 박막 에칭 공정 또는 심지어 현재 박막 에칭 공정의 다음 단계에 대해 구현되어야 하는 공정 파라미터를 결정하기 위해 분석 모델을 학습한다. 그 결과, 박막 에칭 공정은 목표 사양에 확실히 해당하는 잔류 두께와 조성을 가진 박막을 생성한다. 해당 박막을 포함하는 집적 회로는 해당 박막이 제대로 형성되지 않을 경우 발생할 수 있는 성능 문제를 가지지 않는다. 더욱이, 반도체 웨이퍼의 배치(batch)는 수율이 향상되고 웨이퍼 스크랩이 감소된다.Embodiments of the present disclosure provide thin films of reliable thickness and composition. Embodiments of the present disclosure use machine learning techniques to tune thin film etch process parameters between or even during etch processes. Embodiments of the present disclosure use machine learning techniques to train an analytical model to determine process parameters that should be implemented for the next thin film etch process or even the next step of the current thin film etch process. As a result, the thin film etch process produces a thin film with a residual thickness and composition that reliably meets target specifications. An integrated circuit including the thin film does not have performance problems that may occur if the thin film is not properly formed. Moreover, batches of semiconductor wafers improve yield and reduce wafer scrap.

도 1a는 일 실시예에 따른 집적 회로(100)의 단면도이다. 집적 회로(100)는 반도체 기판(102)을 포함한다. 반도체 기판(102)은 실리콘, 게르마늄, 실리콘 게르마늄, 갈륨 비소화물, 실리콘 탄화물 또는 다른 유형의 반도체 중 일종 이상을 포함할 수 있다. 반도체 기판(102)은 단결정 반도체를 포함할 수 있다. 반도체 기판(102)은 상이한 단결정 반도체 재료의 다중 구조체를 포함할 수 있다. 본 개시 내용의 범위를 벗어나지 않고 반도체 기판(102)에 다른 재료를 사용할 수 있다.1A is a cross-sectional view of an integrated circuit 100 according to one embodiment. The integrated circuit 100 includes a semiconductor substrate 102 . The semiconductor substrate 102 may include one or more of silicon, germanium, silicon germanium, gallium arsenide, silicon carbide, or other types of semiconductors. The semiconductor substrate 102 may include a single crystal semiconductor. The semiconductor substrate 102 may include multiple structures of different single crystal semiconductor materials. Other materials may be used for the semiconductor substrate 102 without departing from the scope of the present disclosure.

반도체 기판(102)은 다양한 도핑 영역을 포함할 수 있다. 도핑 영역은 N-우물, P-우물, 소스 및 드레인 영역, 채널 영역, 안티-펀치 스루 영역 및 다른 유형의 도핑 영역을 포함할 수 있다. 도핑 영역은 이온 주입 공정, 확산 공정 또는 다른 유형의 도핑 공정으로 형성될 수 있다. 도펀트는 N-형 도펀트 및 P-형 도펀트를 포함할 수 있다. 다양한 도핑 영역은 반도체 기판(102)과 함께 트랜지스터를 형성하는 데 사용될 수 있다.The semiconductor substrate 102 may include various doped regions. The doped regions may include N-wells, P-wells, source and drain regions, channel regions, anti-punch through regions, and other types of doped regions. The doped region may be formed by an ion implantation process, a diffusion process, or another type of doping process. The dopant may include an N-type dopant and a P-type dopant. Various doped regions may be used to form a transistor with the semiconductor substrate 102 .

일 실시예에서, 반도체 기판(102)은 복수의 반도체 나노시트 또는 나노와이어를 포함한다. 반도체 나노시트는 게이트-올-어라운드 트랜지스터의 일부가 될 수 있다. 각각의 나노시트는 일종 이상의 게이트 유전체 재료에 피복될 수 있다. 일종 이상의 게이트 유전체 재료는 금속 게이트 재료에 피복될 수 있다. 일례로, 나노시트는 실리콘 또는 실리콘 게르마늄을 포함한다. 나노시트는 교대로 형성된 실리콘과 실리콘 게르마늄의 층으로 형성될 수 있다. 본 발명의 범위를 벗어나지 않고 다른 유형의 재료 및 구조체가 반도체 층(102)에 포함될 수 있다.In one embodiment, the semiconductor substrate 102 includes a plurality of semiconductor nanosheets or nanowires. The semiconductor nanosheet can be part of a gate-all-around transistor. Each nanosheet may be covered with one or more gate dielectric materials. One or more gate dielectric materials may be coated over the metal gate material. In one example, the nanosheet includes silicon or silicon germanium. The nanosheet may be formed of alternating layers of silicon and silicon germanium. Other types of materials and structures may be included in the semiconductor layer 102 without departing from the scope of the present invention.

집적 회로(100)는 얕은 트렌치 분리부(104)를 포함한다. 얕은 트렌치 분리부(104)는 반도체 기판(102)과 함께 형성된 트랜지스터 구조체의 그룹을 분리하는 데 사용될 수 있다. 얕은 트렌치 분리부(104)는 유전체 재료를 포함할 수 있다. 얕은 트렌치 분리부(104)를 위한 유전체 재료는 LPCVD(저압 화학적 기상 성막), 플라즈마 강화 CVD 또는 유동성 CVD에 의해 형성되는, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물(SiON), SiOCN, SiCN, 불소-도핑된 실리케이트 유리(FSG) 또는 로우-k 유전체 재료를 포함할 수 있다. 본 개시 내용의 범위를 벗어나지 않고 얕은 트렌치 분리부(104)에 다른 재료 및 구조체가 사용될 수 있다.The integrated circuit 100 includes a shallow trench isolation 104 . Shallow trench isolation 104 may be used to isolate groups of transistor structures formed with semiconductor substrate 102 . Shallow trench isolation 104 may include a dielectric material. The dielectric material for the shallow trench isolation 104 is silicon oxide, silicon nitride, silicon oxynitride (SiON), SiOCN, SiCN, fluorine-, formed by LPCVD (low pressure chemical vapor deposition), plasma enhanced CVD or flowable CVD. doped silicate glass (FSG) or low-k dielectric material. Other materials and structures may be used for the shallow trench isolation 104 without departing from the scope of the present disclosure.

집적 회로(100)는 얕은 트렌치 분리부(104) 및 기판(102) 상에 위치된 층간 유전체 층(106)을 포함한다. 층간 유전체 층(106)은 실리콘 산화물, 실리콘 질화물, SiCOH, SiOC, 또는 유기 중합체 중 일종 이상을 포함할 수 있다. 본 개시 내용의 범위를 벗어나지 않고 다른 유형의 유전체 재료가 층간 유전체 층(106)에 사용될 수 있다.The integrated circuit 100 includes a shallow trench isolation 104 and an interlayer dielectric layer 106 positioned over a substrate 102 . The interlayer dielectric layer 106 may include one or more of silicon oxide, silicon nitride, SiCOH, SiOC, or an organic polymer. Other types of dielectric materials may be used for the interlayer dielectric layer 106 without departing from the scope of the present disclosure.

집적 회로(100)는 층간 유전체 층(106) 상에 위치된 층간 유전체 층(108)을 포함한다. 층간 유전체 층(108)은 실리콘 산화물, 실리콘 질화물, SICOH, SiOC, 또는 유기 중합체 중 일종 이상을 포함할 수 있다. 본 개시 내용의 범위를 벗어나지 않고 다른 유형의 유전체 재료가 층간 유전체 층(108)에 사용될 수 있다.The integrated circuit 100 includes an interlayer dielectric layer 108 positioned over an interlayer dielectric layer 106 . The interlayer dielectric layer 108 may include one or more of silicon oxide, silicon nitride, SICOH, SiOC, or an organic polymer. Other types of dielectric materials may be used for the interlayer dielectric layer 108 without departing from the scope of the present disclosure.

집적 회로(100)는 금속 게이트(114)를 포함한다. 금속 게이트(114)는 반도체 기판(102)과 함께 형성된 트랜지스터의 게이트 전극에 대응할 수 있다. 일례로, 금속 게이트(114)는 게이트-올-어라운드 트랜지스터의 금속 게이트이다. 이 경우, 금속 게이트(114)는 전술한 바와 같이 반도체 나노시트를 피복할 수 있다. 반도체 나노시트는 게이트 유전체에 대응하는 유전체 재료의 하나 이상의 층으로 피복될 수 있으며, 이들 층은 다시 금속 게이트(114)에 의해 피복된다. 나노시트는 게이트-올-어라운드 트랜지스터의 채널 영역에 대응한다.The integrated circuit 100 includes a metal gate 114 . The metal gate 114 may correspond to a gate electrode of a transistor formed with the semiconductor substrate 102 . In one example, the metal gate 114 is a metal gate of a gate-all-around transistor. In this case, the metal gate 114 may cover the semiconductor nanosheet as described above. The semiconductor nanosheets may be covered with one or more layers of dielectric material corresponding to the gate dielectric, which layers are in turn covered by the metal gate 114 . The nanosheet corresponds to the channel region of the gate-all-around transistor.

금속 게이트(114)는 하나 이상의 도전 재료층을 포함한다. 도전 재료는 폴리실리콘, 알루미늄, 구리, 티타늄, 탄탈, 텅스텐, 코발트, 몰리브덴, 탄탈 질화물, 니켈 실리사이드, 코발트 실리사이드, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, 금속 합금 또는 다른 유형의 도전 재료 중 일종 이상을 포함할 수 있다. 본 개시 내용의 범위를 벗어나지 않고 다른 재료가 금속 게이트에 사용될 수 있다.Metal gate 114 includes one or more layers of conductive material. Conductive materials may include polysilicon, aluminum, copper, titanium, tantalum, tungsten, cobalt, molybdenum, tantalum nitride, nickel silicide, cobalt silicide, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, metal alloy or other type of conductive material. It may include one or more of the materials. Other materials may be used for the metal gate without departing from the scope of the present disclosure.

금속 게이트(114)는 측벽 스페이서(116)에 의해 피복될 수 있다. 측벽 스페이서(116)는 복수의 유전체 재료층을 포함할 수 있다. 복수의 유전체 재료층은 실리콘 질화물, SiON, SiOCN, SiCN, 실리콘 산화물 또는 다른 유전체 재료를 포함할 수 있다. 본 개시 내용의 범위를 벗어나지 않고 측벽 스페이서(116)에 다른 유전체 재료가 사용될 수 있다.The metal gate 114 may be covered by sidewall spacers 116 . The sidewall spacers 116 may include a plurality of layers of dielectric material. The plurality of layers of dielectric material may include silicon nitride, SiON, SiOCN, SiCN, silicon oxide, or other dielectric material. Other dielectric materials may be used for the sidewall spacers 116 without departing from the scope of the present disclosure.

집적 회로(100)는 예시된 실시예에서 에피택셜 층인 소스 및 드레인 영역(110)을 포함한다. 소스 및 드레인 에피택셜 층(110)은 기판(102)으로부터 에피택셜 방식으로 형성된다. N-채널 트랜지스터의 예에서, 소스 및 드레인 에피택셜 층(110)은 SiCP의 예에서 Si, SiP, SiC 중 일종 이상을 포함할 수 있다. P-채널 트랜지스터의 예에서, 소스 및 드레인 에피택셜 영역(110)은 Si, Ge 또는 SiGe를 포함할 수 있다. 소스 및 드레인 에피택셜 영역(110)은 다양한 N-형 및 P-형 도펀트로 도핑될 수 있다. 본 개시 내용의 범위를 벗어나지 않고 소스 및 드레인 에피택셜 영역(110)에 다른 재료 및 구조체가 사용될 수 있다. 소스 및 드레인 영역 에피택셜 층(110) 및 금속 게이트(114)는 트랜지스터(103)의 단자이다.Integrated circuit 100 includes source and drain regions 110 which are epitaxial layers in the illustrated embodiment. Source and drain epitaxial layers 110 are formed epitaxially from substrate 102 . In the example of an N-channel transistor, the source and drain epitaxial layer 110 may include one or more of Si, SiP, and SiC in the example of SiCP. In the example of a P-channel transistor, the source and drain epitaxial regions 110 may include Si, Ge, or SiGe. The source and drain epitaxial regions 110 may be doped with various N-type and P-type dopants. Other materials and structures may be used for the source and drain epitaxial region 110 without departing from the scope of the present disclosure. Source and drain region epitaxial layer 110 and metal gate 114 are the terminals of transistor 103 .

집적 회로(100)는 층간 유전체 층(108)에 형성된 트렌치(120, 121)를 포함한다. 트렌치(120)는 소스 및 드레인 에피택셜 영역(110) 중 하나까지 연장된다. 트렌치(121)는 금속 게이트(114)까지 연장된다. 유전체 재료층(122)이 층간 유전체 층(108)의 상부 표면, 트렌치(120, 121)의 측벽 및 노출된 소스 및 드레인 에피택셜 영역(110) 및 금속 게이트(114)의 상부를 피복한다. 일례로, 유전체 재료층은 실리콘 질화물을 포함하지만, 본 개시 내용의 범위를 벗어나지 않고 다른 재료가 사용될 수 있다.The integrated circuit 100 includes trenches 120 , 121 formed in an interlayer dielectric layer 108 . Trench 120 extends to one of source and drain epitaxial regions 110 . Trench 121 extends to metal gate 114 . A dielectric material layer 122 covers the top surface of the interlayer dielectric layer 108 , the sidewalls of the trenches 120 , 121 and the top of the exposed source and drain epitaxial regions 110 and the metal gate 114 . In one example, the dielectric material layer includes silicon nitride, although other materials may be used without departing from the scope of the present disclosure.

도 1b는 일 실시예에 따른 처리의 중간 단계에서의 집적 회로 다이(100)의 단면도이다. 도 1b에서, 실리사이드 층(126)이 소스/드레인 에피택셜 영역(110) 상에 형성되어 있다. 도 1b에서, 티타늄 질화물 층(124)이 층간 유전체 층(108)의 상부 표면, 트렌치(120, 121)의 측벽(119), 금속 게이트(114) 및 소스/드레인 에피택셜 영역(110) 상에 형성되어 있다. 티타늄 질화물 층(124)은 도 1a의 질화물 층(122) 상에 티타늄 층을 성막함으로써 형성될 수 있다. 티타늄 층은 물리적 기상 성막(PVD), CVD 또는 다른 적절한 성막 공정에 의해 성막될 수 있다. 티타늄 층이 성막된 후, 티타늄 질화물 층(124)은 티타늄 층의 질화에 의해 형성된다. 질화는 350 ℃ 내지 450 ℃의 온도에서 티타늄에 NH3를 흘리는 것으로 수행될 수 있다. 이로 인해 질소가 티타늄에 흡착될 수 있다. 그 결과, 티타늄 질화물 층(124)이 형성된다. 티타늄 질화물 층(124)은 트렌치 내의 금속 플러그로부터 다른 층 및 구조체로 불순물의 확산을 억제하는 장벽층이다.1B is a cross-sectional view of an integrated circuit die 100 at an intermediate stage of processing in accordance with one embodiment. In FIG. 1B , a silicide layer 126 is formed over the source/drain epitaxial region 110 . In FIG. 1B , a titanium nitride layer 124 is deposited on the top surface of the interlayer dielectric layer 108 , the sidewalls 119 of the trenches 120 , 121 , the metal gate 114 , and the source/drain epitaxial region 110 . is formed The titanium nitride layer 124 may be formed by depositing a titanium layer on the nitride layer 122 of FIG. 1A . The titanium layer may be deposited by physical vapor deposition (PVD), CVD, or other suitable deposition process. After the titanium layer is deposited, the titanium nitride layer 124 is formed by nitridation of the titanium layer. Nitriding may be performed by flowing NH 3 to titanium at a temperature of 350 °C to 450 °C. This may allow nitrogen to be adsorbed to the titanium. As a result, a titanium nitride layer 124 is formed. Titanium nitride layer 124 is a barrier layer that inhibits diffusion of impurities from the metal plug in the trench to other layers and structures.

티타늄 질화물 층(124)이 형성된 후, 실리사이드(126)가 소스/드레인 에피택셜 영역(110)과 티타늄 질화물 층(124) 사이의 계면에 형성된다. 실리사이드(126)는 TiSix(TiSi, TiSi2 등)이며, 여기서 "x”는 각 티타늄 원자에 대한 실리콘 원자의 수를 나타낸다. 실리사이드(126)는 티타늄 질화물 층(124)이 성막된 후에 열 어닐링을 수행하는 것에 의해 형성된다. 열 어닐링으로 TiSix가 생성된다.After the titanium nitride layer 124 is formed, silicide 126 is formed at the interface between the source/drain epitaxial region 110 and the titanium nitride layer 124 . The silicide 126 is TiSi x (TiSi, TiSi 2 , etc.), where “x” represents the number of silicon atoms for each titanium atom. The silicide 126 is thermally annealed after the titanium nitride layer 124 is deposited. It is formed by performing thermal annealing to produce TiSi x .

일 실시예에서, 티타늄 층의 성막 전에, 사전 세정 동작이 수행될 수 있다. 사전 세정 동작은 티타늄의 성막 이전에 천연 산화물 또는 다른 원치 않는 물질을 제거할 수 있다.In one embodiment, prior to deposition of the titanium layer, a pre-clean operation may be performed. A pre-clean operation may remove native oxides or other unwanted materials prior to deposition of titanium.

도 1c는 일 실시예에 따른 처리의 중간 단계에서의 집적 회로(100)의 단면도이다. 하부 반사 방지 코팅(128)이 실리콘 질화물 층(124)상의 트렌치(120, 121)에 성막되어 있다. 하부 반사 방지 코팅(128)은 초기에 층간 유전체 층(108) 위의 티타늄 질화물 층(124) 상의 트렌치(120, 121)에 퇴적된다. 하부 반사 방지 코팅(128)의 퇴적 후에, 층간 유전체 층(108) 위의 티타늄 질화물 층(124)의 상부로부터 하부 반사 방지 코팅(128)을 제거하기 위해 시간 설정 에칭이 수행된다. 하부 반사 방지 코팅(128)은 트렌치(120, 121)에 잔류한다. 하부 반사 방지 코팅(128)은 트렌치(120, 121)를 완전히 채우지 않는다. 하부 반사 방지 코팅(128)은 유기 재료 또는 무기 재료를 포함할 수 있다. 일례로, 하부 반사 방지 코팅(128)은 테트라메틸 암모늄 하이드록사이드로 형성되지만, 본 개시 내용의 범위를 벗어나지 않고 다른 재료가 사용될 수 있다.1C is a cross-sectional view of the integrated circuit 100 at an intermediate stage of processing according to one embodiment. A bottom anti-reflective coating 128 is deposited in the trenches 120 , 121 on the silicon nitride layer 124 . A bottom anti-reflective coating 128 is initially deposited in the trenches 120 , 121 on the titanium nitride layer 124 over the interlayer dielectric layer 108 . After deposition of the bottom anti-reflective coating 128 , a timed etch is performed to remove the bottom anti-reflective coating 128 from the top of the titanium nitride layer 124 over the interlayer dielectric layer 108 . An underlying anti-reflective coating 128 remains in the trenches 120 , 121 . The bottom anti-reflective coating 128 does not completely fill the trenches 120 , 121 . The bottom anti-reflective coating 128 may include an organic material or an inorganic material. In one example, the bottom anti-reflective coating 128 is formed of tetramethyl ammonium hydroxide, although other materials may be used without departing from the scope of the present disclosure.

도 1d는 일 실시예에 따른 처리의 중간 단계에서의 집적 회로(100)의 단면도이다. 티타늄 질화물 층(124)은 층간 유전체 층(108)의 상부로부터 제거되어 있다. 티타늄 질화물 층(124)은 습식 에칭에 의해 제거될 수 있다. 대안적으로, 티타늄 질화물을 제거하기 위해 다른 에칭 공정이 적용될 수 있다.1D is a cross-sectional view of the integrated circuit 100 at an intermediate stage of processing according to one embodiment. The titanium nitride layer 124 has been removed from the top of the interlayer dielectric layer 108 . The titanium nitride layer 124 may be removed by wet etching. Alternatively, other etching processes may be applied to remove the titanium nitride.

도 1e는 일 실시예에 따른 처리의 중간 단계에서의 집적 회로(100)의 단면도이다. 도 1d에서, 하부 반사 방지 코팅(128)이 제거되어 있다. 하부 반사 방지 코팅(128)은 에칭 공정을 수행하는 것에 의해 제거될 수 있다. 일례로, 에칭 공정은 O2의 존재하에 플라즈마 애싱(ash) 공정을 포함한다. 본 개시 내용의 범위를 벗어나지 않고 다른 에칭 공정이 적용될 수 있다.1E is a cross-sectional view of an integrated circuit 100 at an intermediate stage of processing according to one embodiment. 1D, the bottom anti-reflective coating 128 has been removed. The bottom anti-reflective coating 128 may be removed by performing an etching process. In one example, the etching process includes a plasma ash process in the presence of O 2 . Other etching processes may be applied without departing from the scope of the present disclosure.

도 1f는 일 실시예에 따른 처리의 중간 단계에서의 집적 회로(100)의 단면도이다. 도 1f에서, 티타늄 질화물 층(124)은 에칭되어 트렌치(120, 121)의 측벽(119)으로부터 대부분 제거되어 있다. 티타늄 질화물 층(124)의 일부는 트렌치(120, 121)의 바닥의 측벽(119)과 접촉한 채로 잔류한다. 티타늄 질화물 층(124)은 실리사이드(126) 및 금속 게이트(114) 상에 잔류한다.1F is a cross-sectional view of the integrated circuit 100 at an intermediate stage of processing according to one embodiment. In FIG. 1F , titanium nitride layer 124 has been etched away mostly from sidewalls 119 of trenches 120 and 121 . A portion of the titanium nitride layer 124 remains in contact with the sidewalls 119 of the bottom of the trenches 120 and 121 . A titanium nitride layer 124 remains on the silicide 126 and the metal gate 114 .

일 실시예에서, 티타늄 질화물 층(124)을 에칭하여 도 1f에 예시된 구조체를 형성하기 위해 원자층 에칭(ALE) 공정이 적용된다. ALE 공정은 원자층 성막 공정(ALD)과 유사하다. ALE 공정에서는 다양한 가스, 유체 또는 재료가 선택된 기간 동안 공정 챔버로 유입된다. ALE 공정의 각 사이클은 여러 단계에서 여러 재료를 유동시키는 것을 포함한다. 각 사이클로부터 티타늄 질화물 층(124)의 원자층 또는 분자층이 제거될 수 있다.In one embodiment, an atomic layer etching (ALE) process is applied to etch the titanium nitride layer 124 to form the structure illustrated in FIG. 1F . The ALE process is similar to the atomic layer deposition process (ALD). In an ALE process, various gases, fluids or materials are introduced into the process chamber for a selected period of time. Each cycle of the ALE process involves flowing different materials in different stages. An atomic or molecular layer of titanium nitride layer 124 may be removed from each cycle.

일례로, ALE 사이클은 예를 들어, 1초 내지 10초의 선택된 시간 동안 공정 챔버 내로 WCl5를 유동시키는 것을 포함한다. 그런 다음, ALE 사이클은 예컨대 1-6초간 공정 챔버 내로 아르곤 가스를 흘리는 퍼지 단계를 포함한다. 그런 다음, ALE 사이클은 예컨대, 1-10초의 선택된 시간 동안 공정 챔버 내로 O2를 흘리는 단계를 포함한다. 그런 다음, ALE 사이클은 예컨대, 2-15초의 선택된 시간 동안 공정 챔버 내로 아르곤 가스를 흘리는 제2 퍼지 단계를 포함한다. 각 사이클로부터 티타늄 질화물 층(124)의 원자층 또는 분자층이 제거된다. ALE 공정의 사이클 수를 제어함으로써 티타늄 질화물 층(124)의 에칭량을 엄격하게 제어할 수 있다. 본 개시 내용의 범위를 벗어나지 않고 다른 ALE 공정, 사이클, 시간 및 재료가 사용될 수 있다.In one example, the ALE cycle includes flowing WCl 5 into the process chamber for a selected time of, for example, 1 second to 10 seconds. The ALE cycle then includes a purge step, for example, flowing argon gas into the process chamber for 1-6 seconds. The ALE cycle then includes flowing O 2 into the process chamber for a selected time period of, for example, 1-10 seconds. The ALE cycle then includes a second purge step of flowing argon gas into the process chamber for a selected time period of, for example, 2-15 seconds. An atomic or molecular layer of titanium nitride layer 124 is removed from each cycle. By controlling the number of cycles of the ALE process, it is possible to strictly control the etching amount of the titanium nitride layer 124 . Other ALE processes, cycles, times, and materials may be used without departing from the scope of the present disclosure.

아래에서 더 상세히 설명되는 바와 같이, ALE 공정에 대한 파라미터를 동적으로 선택하는 데 머신 러닝 프로세스가 적용된다. 머신 러닝 프로세스는 각 ALE 공정에 대한 파라미터를 동적으로 선택하도록 분석 모델을 학습한다. 분석 모델은 원하는 양의 티타늄 질화물 층(124)을 제거하기 위해 ALE 공정과 관련된 재료, 유동 지속 시간, 유동 압력, 온도 및 기타 파라미터를 선택할 수 있다.As described in more detail below, a machine learning process is applied to dynamically select parameters for the ALE process. The machine learning process trains the analytic model to dynamically select parameters for each ALE process. The analytical model may select the material, flow duration, flow pressure, temperature, and other parameters associated with the ALE process to remove the desired amount of titanium nitride layer 124 .

도 1g는 일 실시예에 따른 처리의 중간 단계에서의 집적 회로(100)의 단면도이다. 도 1g에서, 티타늄 질화물 층(130)이 트렌치(120, 121)의 측벽(119) 및 층간 유전체 층(108)의 상부에 성막되어 있다. 티타늄 질화물 층(130)은 장벽층일 수 있다. 티타늄 질화물 층(130)은 ALD 공정으로 성막될 수 있다. ALD 공정은 티타늄 질화물 층(130)을 고도로 조절된 방식으로 원하는 두께로 성막한다. 특히, 티타늄 질화물 층(130)의 두께는 성막 공정에 사용되는 ALD 사이클의 수를 기초로 조절될 수 있다. 티타늄 질화물 층(130)은 본 개시 내용의 범위를 벗어나지 않고 다른 성막 공정을 이용하여 성막될 수 있다. 티타늄 질화물 층(130)은 티타늄 질화물 층(124)과 접촉한다. 티타늄 질화물 층(130)은 해당 티타늄 질화물 층(130)이 원자층 성막 공정으로 형성되는 반면, 티타늄 질화물 층(124)은 도 1b와 관련하여 전술한 바와 같이 티타늄 층의 질화에 의해 형성된다는 점에서 티타늄 질화물 층(124)과 상이하다. 티타늄 질화물 층(130)과 티타늄 질화물 층(124)은 모두 트렌치(120, 121)의 측벽(119)과 접한다. 티타늄 질화물 층(130)은 티타늄 질화물 층(124)의 잔류부의 수직 높이(vertical extent)보다 높은 트렌치(120, 121) 내에서의 수직 높이를 가진다. 일 실시예에서, 티타늄 질화물 층(130)을 형성하기 전에 사전 세정 공정이 수행된다.1G is a cross-sectional view of the integrated circuit 100 at an intermediate stage of processing according to one embodiment. In FIG. 1G , a titanium nitride layer 130 is deposited over the sidewalls 119 of the trenches 120 , 121 and the interlayer dielectric layer 108 . The titanium nitride layer 130 may be a barrier layer. The titanium nitride layer 130 may be formed by an ALD process. The ALD process deposits the titanium nitride layer 130 to the desired thickness in a highly controlled manner. In particular, the thickness of the titanium nitride layer 130 may be adjusted based on the number of ALD cycles used in the deposition process. Titanium nitride layer 130 may be deposited using other deposition processes without departing from the scope of the present disclosure. Titanium nitride layer 130 is in contact with titanium nitride layer 124 . The titanium nitride layer 130 is formed by nitridation of the titanium layer as described above with respect to FIG. 1B , whereas the titanium nitride layer 130 is formed by an atomic layer deposition process. It is different from the titanium nitride layer 124 . Both the titanium nitride layer 130 and the titanium nitride layer 124 abut the sidewalls 119 of the trenches 120 and 121 . Titanium nitride layer 130 has a vertical height in trenches 120 , 121 that is higher than a vertical extent of the remainder of titanium nitride layer 124 . In one embodiment, a pre-clean process is performed prior to forming the titanium nitride layer 130 .

도 1g에서, 코발트 시드층(132)이 티타늄 질화물 층(124) 상에 형성된다. 코발트 시드층(132)은 PVD 공정에 의해 성막될 수 있다. 코발트 시드층(132)은 예를 들어, 두께가 6 nm 미만으로 매우 얇다. 본 개시 내용의 범위를 벗어나지 않고 코발트 시드층(132)을 위한 다른 성막 공정 및 두께가 적용될 수 있다.In FIG. 1G , a cobalt seed layer 132 is formed on the titanium nitride layer 124 . The cobalt seed layer 132 may be formed by a PVD process. The cobalt seed layer 132 is very thin, for example less than 6 nm thick. Other deposition processes and thicknesses for the cobalt seed layer 132 may be applied without departing from the scope of the present disclosure.

도 1h는 일 실시예에 따른 처리의 중간 단계에서의 집적 회로(100)의 단면도이다. 도 1h에서, 코발트 층(134)dl 시드층(132) 상에 성막된다. 특히, 시드층(132)은 코발트 층(134)을 성장시키기 위해 사용된다. 코발트 층(134)은 트렌치(120, 121)를 채운다. 일례로, 코발트 층(134)은 무전해 코발트 도금 공정에 의해 성막된다. 무전해 코발트 도금 공정은 시드층(130)으로부터 코발트 층(134)을 성장시킨다. 시드층(130)은 구리층(134)에 의해 포함되었기 때문에 도 1h에서 부호 병기되지 않는다. 본 개시 내용의 범위를 벗어나지 않고 코발트 층(134)의 성막에 다른 공정이 적용될 수 있다.1H is a cross-sectional view of the integrated circuit 100 at an intermediate stage of processing according to one embodiment. In FIG. 1H , a cobalt layer 134 dl is deposited on the seed layer 132 . In particular, the seed layer 132 is used to grow the cobalt layer 134 . A cobalt layer 134 fills the trenches 120 and 121 . In one example, the cobalt layer 134 is deposited by an electroless cobalt plating process. The electroless cobalt plating process grows the cobalt layer 134 from the seed layer 130 . The seed layer 130 is not referenced in FIG. 1H because it is covered by the copper layer 134 . Other processes may be applied to the deposition of the cobalt layer 134 without departing from the scope of the present disclosure.

도 1i는 일 실시예에 따른 중간 처리 단계에서의 집적 회로(100)의 단면도이다. 도 1i에서, 화학적 기계적 평탄화 공정(CMP)이 수행되었다. CMP 공정은 층간 유전체 층(108)의 상부로부터 코발트 층(134) 및 티타늄 질화물 층(130)을 제거한다. 또한, CMP 공정은 층간 유전체 층(108)의 일부를 제거한다. 이 공정에 의해 코발트 플러그(136)가 트렌치(120, 121)에 형성되었다. 코발트 플러그(136)는 티타늄 질화물 층(124) 및 티타늄 질화물 층(130) 상에 위치된다. 본 개시 내용의 범위를 벗어나지 않고 코발트 플러그(136)를 형성하는 데 다른 공정이 이용될 수 있다.1I is a cross-sectional view of the integrated circuit 100 at an intermediate processing stage according to one embodiment. In FIG. 1I , a chemical mechanical planarization process (CMP) was performed. The CMP process removes the cobalt layer 134 and the titanium nitride layer 130 from the top of the interlayer dielectric layer 108 . The CMP process also removes a portion of the interlayer dielectric layer 108 . A cobalt plug 136 was formed in the trenches 120 and 121 by this process. A cobalt plug 136 is positioned on the titanium nitride layer 124 and the titanium nitride layer 130 . Other processes may be used to form the cobalt plug 136 without departing from the scope of the present disclosure.

도 1j는 일 실시예에 따른 처리의 중간 단계에서의 집적 회로(100)의 단면도이다. 도 1j에서, MCESL 층(140)이 층간 유전체 층(108) 및 코발트 플러그(136) 상에 증착되었다. 일례로, MCESL 층(140)은 100Å 내지 140Å의 두께를 가진다. MCESL 층은 물리적 기상 성막, 화학적 기상 성막, 원자층 성막 또는 다른 적절한 성막 공정에 의해 형성될 수 있다. 본 개시 내용의 범위를 벗어나지 않고 MCESL 층(140)에 대해 다른 공정 및 두께가 적용될 수 있다.1J is a cross-sectional view of the integrated circuit 100 at an intermediate stage of processing according to one embodiment. In FIG. 1J , an MCESL layer 140 was deposited over the interlayer dielectric layer 108 and the cobalt plug 136 . In one example, the MCESL layer 140 has a thickness of 100 Angstroms to 140 Angstroms. The MCESL layer may be formed by physical vapor deposition, chemical vapor deposition, atomic layer deposition, or other suitable deposition process. Other processes and thicknesses may be applied to the MCESL layer 140 without departing from the scope of the present disclosure.

티타늄 질화물 층(142)이 MCESL 층(140) 상에 성막되었다. 티타늄 질화물 층(142)은 40Å 내지 80Å의 두께를 가진다. 티타늄 질화물 층(142)은 PVD 공정에 의해 형성된 고 저항 티타늄 질화물 층일 수 있다. 본 개시 내용의 범위를 벗어나지 않고 티타늄 질화물 층(142)에 대해 다른 공정 및 두께가 적용될 수 있다.A titanium nitride layer 142 was deposited on the MCESL layer 140 . Titanium nitride layer 142 has a thickness of 40 Angstroms to 80 Angstroms. The titanium nitride layer 142 may be a high resistance titanium nitride layer formed by a PVD process. Other processes and thicknesses may be applied to the titanium nitride layer 142 without departing from the scope of the present disclosure.

MCESL 층(144)이 티타늄 질화물 층(142) 상에 성막되었다. 일례로, MCESL 층(144)은 70Å 내지 110Å의 두께를 가진다. MCESL 층(144)은 PVD 공정, CVD 공정, ALD 공정 또는 다른 적절한 성막 공정에 의해 형성될 수 있다. 본 개시 내용의 범위를 벗어나지 않고 MCESL 층(144)에 대해 다른 공정 및 두께가 적용될 수 있다.An MCESL layer 144 was deposited on the titanium nitride layer 142 . In one example, the MCESL layer 144 has a thickness of 70 Angstroms to 110 Angstroms. The MCESL layer 144 may be formed by a PVD process, a CVD process, an ALD process, or other suitable deposition process. Other processes and thicknesses may be applied to the MCESL layer 144 without departing from the scope of the present disclosure.

도 1k는 일 실시예에 따른 처리의 중간 단계에서의 집적 회로(100)의 단면도이다. 도 1k에서, 티타늄 질화물 층(142) 및 MCESL 층(144)의 엣지를 패턴화하기 위해 포토리소그래피 공정이 적용된다. 이에 따라 MCESL 층(140)의 일부가 노출된다. 포토리소그래피 공정은 포토레지스트를 퇴적 및 패턴화하고, 습식 에칭, 건식 에칭 또는 다른 유형의 에칭을 수행하는 것을 포함할 수 있다.1K is a cross-sectional view of the integrated circuit 100 at an intermediate stage of processing according to one embodiment. In FIG. 1K , a photolithography process is applied to pattern the edges of the titanium nitride layer 142 and the MCESL layer 144 . Accordingly, a portion of the MCESL layer 140 is exposed. The photolithography process may include depositing and patterning photoresist and performing wet etching, dry etching, or other types of etching.

도 1l은 일 실시예에 따른 처리의 중간 단계에서의 집적 회로(100)의 단면도이다. 도 1l에서, MCESL 층(144)이 다시 성막되었다. 특히, 상기 층(144)에 추가의 80-120Å의 MCESL이 퇴적되었다. 추가로, 층간 유전체 층(146)이 성막되었다. 층간 유전체 층(146)은 실리콘 산화물을 포함할 수 있다. 층간 유전체 층(146)은 CVD, PVD 또는 다른 적절한 성막 공정에 의해 성막될 수 있다. 본 개시 내용의 범위를 벗어나지 않고 충간 유전체 층(146)에 대해 다른 재료 및 공정이 적용될 수 있다.11 is a cross-sectional view of an integrated circuit 100 at an intermediate stage of processing according to one embodiment. 11 , the MCESL layer 144 is again deposited. Specifically, an additional 80-120 Angstroms of MCESL was deposited on the layer 144 . Additionally, an interlayer dielectric layer 146 was deposited. Interlayer dielectric layer 146 may include silicon oxide. Interlayer dielectric layer 146 may be deposited by CVD, PVD, or other suitable deposition process. Other materials and processes may be applied to the interstitial dielectric layer 146 without departing from the scope of the present disclosure.

도 1m은 일 실시예에 따른 처리의 중간 단계에서의 집적 회로(100)의 단면도이다. 도 1m에서, 트렌치(148, 150, 152)가 MCESL 층(144), 층간 유전체 층(146) 및 MCESL 층(140)에서 개방됨으로써 티타늄 질화물 층(142) 및 코발트 플러그(136)가 노출된다. 트렌치는 습식 에칭, 건식 에칭 또는 다른 유형의 에칭에 의해 형성될 수 있다.1M is a cross-sectional view of an integrated circuit 100 at an intermediate stage of processing according to one embodiment. In FIG. 1M , trenches 148 , 150 , 152 are opened in MCESL layer 144 , interlayer dielectric layer 146 and MCESL layer 140 to expose titanium nitride layer 142 and cobalt plug 136 . The trench may be formed by wet etching, dry etching, or other types of etching.

도 1n은 일 실시예에 따른 처리의 중간 단계에서의 집적 회로(100)의 단면도이다. 도 1n에서, 코발트 플러그(136)의 일부가 습식 에칭을 통해 제거되었다.1N is a cross-sectional view of the integrated circuit 100 at an intermediate stage of processing according to one embodiment. In FIG. 1N , a portion of the cobalt plug 136 was removed through wet etching.

도 1o는 일 실시예에 따른 처리의 중간 단계에서의 집적 회로(100)의 단면도이다. 도 1o에서, 노출된 코발트 플러그(136) 및 티타늄 질화물 층(142)의 노출된 부분 상에 캡(154)이 형성되었다. 캡(154)은 텅스텐을 포함할 수 있고, 상향식 성막으로 형성될 수 있다. 본 개시 내용의 범위를 벗어나지 않고 캡(154)에 대해 다른 재료 및 성막 공정이 적용될 수 있다.1O is a cross-sectional view of an integrated circuit 100 at an intermediate stage of processing according to one embodiment. In FIG. 1O , a cap 154 was formed over the exposed portion of the exposed cobalt plug 136 and the titanium nitride layer 142 . The cap 154 may include tungsten and may be formed by bottom-up deposition. Other materials and deposition processes may be applied to the cap 154 without departing from the scope of the present disclosure.

도 1p는 일 실시예에 따른 처리의 중간 단계에서의 집적 회로(100)의 단면도이다. 도 1p에서, 도전 플러그(156)가 캡(154)과 접촉되게 트렌치(148, 150, 152)에 형성되었다. 도전 플러그(156)는 플러그(136) 및 티타늄 질화물 층(142)에 전기적으로 연결된다. 도전 플러그(156)는 루테늄을 포함할 수 있고, 상향식 외부 성막 공정으로 성막될 수 있다. 플러그(156)에 대해 다른 재료 및 성막 공정이 적용될 수 있다. 본 개시 내용의 범위를 벗어나지 않고 상기 플러그(156), 상기 층(144) 및 상기 층간 유전체 층(146)의 상부를 평탄화하기 위해 CMP 공정이 수행될 수 있다.1P is a cross-sectional view of an integrated circuit 100 at an intermediate stage of processing according to one embodiment. In FIG. 1P , a conductive plug 156 has been formed in the trenches 148 , 150 , 152 to contact the cap 154 . The conductive plug 156 is electrically connected to the plug 136 and the titanium nitride layer 142 . The conductive plug 156 may include ruthenium and may be formed by a bottom-up external deposition process. Other materials and deposition processes may be applied for the plug 156 . A CMP process may be performed to planarize the top of the plug 156 , the layer 144 , and the interlayer dielectric layer 146 without departing from the scope of the present disclosure.

도 1q는 일 실시예에 따른 처리의 중간 단계에서의 집적 회로(100)의 단면도이다. 도 1q에서, 알루미늄 산화물 층(160) 및 로우-k 유전체 층(162)이 성막되었다. 로우-k 유전체 층(162)은 다공성 실리콘 산화물, 유기 실리케이트 유리 또는 다른 유형의 로우-k 유전체를 포함할 수 있다. 본 개시 내용의 범위를 벗어나지 않고 전술한 것 이외의 다른 재료가 사용될 수 있다.1Q is a cross-sectional view of the integrated circuit 100 at an intermediate stage of processing according to one embodiment. In FIG. 1Q , an aluminum oxide layer 160 and a low-k dielectric layer 162 were deposited. The low-k dielectric layer 162 may include porous silicon oxide, organosilicate glass, or other type of low-k dielectric. Materials other than those described above may be used without departing from the scope of the present disclosure.

알루미늄 산화물 층(160) 및 로우-k 유전체 층(162)은 도전 플러그(156)를 노출시키는 트렌치(164, 166, 168)를 형성하기 위해 패턴화되고 에칭되었다. 트렌치(164, 166, 168)는 포토레지스트의 패턴화 및 습식 또는 건식 에칭의 수행을 포함하는 표준 포토리소그래피 및 에칭 기술을 이용하여 형성될 수 있다.Aluminum oxide layer 160 and low-k dielectric layer 162 were patterned and etched to form trenches 164 , 166 , 168 exposing conductive plug 156 . The trenches 164 , 166 , 168 may be formed using standard photolithography and etching techniques including patterning of photoresist and performing wet or dry etching.

도 1r은 일 실시예에 따른 집적 회로(100)의 단면도이다. 도 1r에서, 구리 플러그(170)가 트렌치(164, 166, 168)에 형성되었다. 구리 플러그(170)는 물리적 기상 성막 또는 다른 적절한 공정을 이용하여 구리 시드층(172)을 성막함으로써 형성될 수 있다. 구리 시드층(172)이 형성된 후, 구리 플러그(170)는 무전해 구리 도금 공정에 의해 형성될 수 있다. 구리 플러그(170)가 형성된 후에, CMP 공정이 수행될 수 있다. 본 개시 내용의 범위를 벗어나지 않고 다른 공정 및 재료가 사용될 수 있다.1R is a cross-sectional view of an integrated circuit 100 according to one embodiment. In FIG. 1R , copper plugs 170 have been formed in trenches 164 , 166 , and 168 . Copper plug 170 may be formed by depositing copper seed layer 172 using physical vapor deposition or other suitable process. After the copper seed layer 172 is formed, the copper plug 170 may be formed by an electroless copper plating process. After the copper plug 170 is formed, a CMP process may be performed. Other processes and materials may be used without departing from the scope of the present disclosure.

도 2a는 일 실시예에 따른 도 1a-1r의 집적 회로(100)의 확대 단면도(도 1r의 2A-2A 라인을 따른)이다. 도 2a의 도면은 소스/드레인 에피택셜 영역(110)과 접촉하는 코발트 플러그(136)의 영역에 초점을 맞추고 있다. 도 2a의 도면은 질화를 통해 티타늄 질화물 층(124)을 형성하는 공정의 일부로서 성막된 티타늄 층의 부분(174)을 예시한다. 티타늄 층의 부분(174)은 실리사이드 층(126)과 접촉되게 위치된다. 전술한 바와 같이 질화에 의해 형성된 티타늄 질화물 층(124)은 티타늄 층(174) 상에 위치되고 층간 유전체 층(108)에 형성된 트렌치(120)의 측벽(119)의 하부 부분과 접촉한다. 전술한 바와 같이 ALD 공정으로 형성된 티타늄 질화물 층(130)은 티타늄 질화물 층(124) 상에 위치되고 트렌치(120)의 측벽(119)의 상부 부분과 접촉한다.2A is an enlarged cross-sectional view (along line 2A-2A of FIG. 1R ) of the integrated circuit 100 of FIGS. 1A-1R according to one embodiment. The view of FIG. 2A focuses on the region of the cobalt plug 136 in contact with the source/drain epitaxial region 110 . The diagram of FIG. 2A illustrates a portion 174 of a titanium layer deposited as part of a process of forming a titanium nitride layer 124 via nitridation. A portion 174 of the titanium layer is placed in contact with the silicide layer 126 . A titanium nitride layer 124 formed by nitriding as described above is located on the titanium layer 174 and contacts a lower portion of the sidewall 119 of the trench 120 formed in the interlayer dielectric layer 108 . As described above, the titanium nitride layer 130 formed by the ALD process is located on the titanium nitride layer 124 and is in contact with an upper portion of the sidewall 119 of the trench 120 .

도 2a의 구조체는 낮은 전류 누설을 제공하는 장점이 있다. 트렌치(120)의 측벽(119)의 하부 부분과 접촉하는 티타늄 질화물 층(124)에 의해 제공되는 여분의 버퍼로 인해, 상기 구조체는 전술한 ALE 공정을 정교하게 제어함으로써 형성될 수 있다. 특히, ALE 공정은 티타늄 질화물 층(124)의 일부가 트렌치(120)의 측벽(119) 상에 남아 있도록 보장하는 방식으로 수행될 수 있다.The structure of Figure 2a has the advantage of providing low current leakage. Due to the extra buffer provided by the titanium nitride layer 124 in contact with the lower portion of the sidewall 119 of the trench 120, the structure can be formed by precisely controlling the ALE process described above. In particular, the ALE process may be performed in a manner that ensures that a portion of the titanium nitride layer 124 remains on the sidewalls 119 of the trench 120 .

도 2b는 다른 실시예에 따른 도 1a-1r의 집적 회로(100)의 확대 단면도(도 1r의 2A-2A 라인을 따라)이다. 도 2b의 실시예에서, ALE 공정은 티타늄 질화물 층(124)이 트렌치(120)의 측벽(119) 상에 잔류하지 않도록 정교하게 제어되었다. 이에 따라 소스/드레인 에피택셜 영역(110)에 낮은 저항 접촉을 제공하는 장점이 제공된.2B is an enlarged cross-sectional view (along line 2A-2A of FIG. 1R) of the integrated circuit 100 of FIGS. 1A-1R according to another embodiment. In the embodiment of FIG. 2B , the ALE process was carefully controlled so that the titanium nitride layer 124 does not remain on the sidewalls 119 of the trench 120 . Thus provided the advantage of providing a low ohmic contact to the source/drain epitaxial region 110 .

도 3a는 일 실시예에 따른 도 1a-1r의 집적 회로(100)의 확대 단면도(도 1r의 3A-3A 라인을 따라)이다. 도 3a의 도면은 금속 게이트(114)와 접촉하는 코발트 플러그(136)에 초점을 맞추고 있다. 도 3a의 도면은 질화를 통해 티타늄 질화물 층(120)을 형성하기 위한 공정의 일부로서 성막된 티타늄 층의 부분(176)을 예시한다. 티타늄 층의 부분(176)은 금속 게이트(114)와 접촉되게 위치된다. 전술한 바와 같이 질화에 의해 형성된 티타늄 질화물 층(124)은 티타늄 층(176) 상에 위치되고 층간 유전체 층(108)에 형성된 트렌치(121)의 측벽(119)의 하부 부분과 접촉한다. 전술한 바와 같이 ALD 공정으로 형성된 티타늄 질화물 층(130)은 티타늄 질화물 층(124) 상에 위치되고, 트렌치(121)의 측벽(119)의 상부 부분과 접촉한다.3A is an enlarged cross-sectional view (along line 3A-3A of FIG. 1R ) of the integrated circuit 100 of FIGS. 1A-1R in accordance with one embodiment. The view of FIG. 3A focuses on the cobalt plug 136 in contact with the metal gate 114 . 3A illustrates a portion 176 of a titanium layer deposited as part of a process for forming a titanium nitride layer 120 via nitridation. A portion 176 of the titanium layer is placed in contact with the metal gate 114 . The titanium nitride layer 124 formed by nitriding as described above is located on the titanium layer 176 and contacts the lower portion of the sidewall 119 of the trench 121 formed in the interlayer dielectric layer 108 . As described above, the titanium nitride layer 130 formed by the ALD process is positioned on the titanium nitride layer 124 and is in contact with an upper portion of the sidewall 119 of the trench 121 .

도 3a의 구조체는 낮은 전류 누설을 제공하는 장점이 있다. 트렌치(121)의 측벽(119)의 하부 부분과 접촉하는 티타늄 질화물 층(124)에 의해 제공되는 여분의 버퍼로 인해, 상기 구조체 전술한 ALE 공정을 정교하게 제어함으로써 형성될 수 있다. 특히, ALE 공정은 티타늄 질화물 층(124)의 일부가 트렌치(121)의 측벽(119) 상에 잔류하도록 보장하는 방식으로 수행될 수 있다.The structure of Figure 3a has the advantage of providing low current leakage. Due to the extra buffer provided by the titanium nitride layer 124 in contact with the lower portion of the sidewall 119 of the trench 121, the structure can be formed by precisely controlling the ALE process described above. In particular, the ALE process may be performed in a manner that ensures that a portion of the titanium nitride layer 124 remains on the sidewall 119 of the trench 121 .

도 3b는 대안적인 실시예에 따른 도 1a-1r의 집적 회로(100)의 확대 단면도(도 1r의 3A-3A 라인을 따라)이다. 도 3b의 실시예에서, ALE 공정은 티타늄 질화물 층(124)이 트렌치(121)의 측벽(119) 상에 잔류하지 않도록 정교하게 제어되었다. 이에 따라 금속 게이트(114)에 낮은 저항 접촉을 제공하는 장점이 제공된다.3B is an enlarged cross-sectional view (along line 3A-3A of FIG. 1R ) of the integrated circuit 100 of FIGS. 1A-1R in accordance with an alternative embodiment. In the embodiment of FIG. 3B , the ALE process was carefully controlled so that the titanium nitride layer 124 does not remain on the sidewalls 119 of the trenches 121 . This provides the advantage of providing a low resistance contact to the metal gate 114 .

도 4a는 일 실시예에 따른 도 1a-1r의 집적 회로(100)의 확대 단면도(도 1r의 2A-2A 라인을 따른)이다. 도 4a의 구조체는 티타늄 질화물 층(124, 130) 및 티타늄 층(174)이 곡선 프로파일이 아닌 평탄한 프로파일을 가지는 것을 제외하고는 도 2a의 구조체와 실질적으로 유사하다. 도 4b는 대안적인 실시예에 따른 도 1a-1r의 집적 회로(100)의 확대 단면도(도 1r의 2A-2A 라인을 따른)이다. 도 4b의 구조체는 티타늄 질화물 층(124, 130)과 티타늄 층(174)이 곡선 프로파일이 아닌 평탄한 프로파일을 가진다는 점을 제외하고는 도 2b의 구조체와 실질적으로 유사하다.4A is an enlarged cross-sectional view (along line 2A-2A of FIG. 1R ) of the integrated circuit 100 of FIGS. 1A-1R in accordance with one embodiment. The structure of FIG. 4A is substantially similar to the structure of FIG. 2A except that the titanium nitride layers 124 and 130 and the titanium layer 174 have a flat profile rather than a curved profile. 4B is an enlarged cross-sectional view (along line 2A-2A of FIG. 1R ) of the integrated circuit 100 of FIGS. 1A-1R in accordance with an alternative embodiment. The structure of FIG. 4B is substantially similar to the structure of FIG. 2B except that the titanium nitride layers 124 and 130 and the titanium layer 174 have a flat profile rather than a curved profile.

도 5a는 일 실시예에 따른 도 1a-1r의 집적 회로(100)의 확대 단면도(도 1r의 3A-3A 라인을 따른)이다. 도 5a의 구조체는 티타늄 질화물 층(124, 130) 및 티타늄 층(176)이 곡선 프로파일이 아닌 평탄한 프로파일을 가진다는 것을 제외하고는 도 3a의 구조체와 실질적으로 유사하다. 도 5b는 대안적인 실시예에 따른 도 1a-1r의 집적 회로(100)의 확대 단면도(도 1r의 3A-3A 라인을 따른)이다. 도 5b의 구조체는 티타늄 질화물 층(124, 130) 및 티타늄 층(176)이 곡선 프로파일이 아닌 평탄한 프로파일을 가진다는 것을 제외하고는 도 3b의 구조체와 실질적으로 유사하다.5A is an enlarged cross-sectional view (along line 3A-3A of FIG. 1R ) of the integrated circuit 100 of FIGS. 1A-1R according to one embodiment. The structure of FIG. 5A is substantially similar to the structure of FIG. 3A except that the titanium nitride layers 124 and 130 and the titanium layer 176 have a flat profile rather than a curved profile. 5B is an enlarged cross-sectional view (along line 3A-3A of FIG. 1R ) of the integrated circuit 100 of FIGS. 1A-1R in accordance with an alternative embodiment. The structure of FIG. 5B is substantially similar to the structure of FIG. 3B except that the titanium nitride layers 124 and 130 and the titanium layer 176 have a flat profile rather than a curved profile.

도 6a는 일 실시예에 따른 반도체 프로세스 시스템(600)의 예시이다. 반도체 프로세스 시스템(600)은 도 1a-5b와 관련하여 예시되고 설명된 공정 및 구조체와 함께 ALE 공정을 수행하는 데 사용될 수 있다. 반도체 프로세스 시스템(600)은 내부 공간(603)을 포함하는 공정 챔버(602)를 포함한다. 지지체(606)가 내부 공간(603) 내에 위치되고, 박막 에칭 공정 중에 기판(604)을 지지하도록 구성된다. 반도체 프로세스 시스템(600)은 기판(604) 상의 박막을 에칭하도록 구성된다. 반도체 프로세스 시스템(600)은 박막 에칭 파라미터를 동적으로 조정하는 제어 시스템(624)을 포함한다. 제어 시스템(624)의 상세는 반도체 프로세스 시스템(600)의 동작의 설명 후에 제공된다.6A is an illustration of a semiconductor process system 600 according to one embodiment. The semiconductor process system 600 may be used to perform an ALE process in conjunction with the processes and structures illustrated and described with respect to FIGS. 1A-5B . The semiconductor process system 600 includes a process chamber 602 that includes an interior space 603 . A support 606 is positioned within the interior space 603 and is configured to support the substrate 604 during the thin film etching process. The semiconductor process system 600 is configured to etch a thin film on the substrate 604 . The semiconductor process system 600 includes a control system 624 that dynamically adjusts thin film etch parameters. Details of the control system 624 are provided after the description of the operation of the semiconductor process system 600 .

일 실시예에서, 반도체 프로세스 시스템(600)은 제1 유체 공급원(608) 및 제2 유체 공급원(610)을 포함한다. 제1 유체 공급원(608)은 내부 공간(603)에 제1 유체를 공급한다. 제2 유체 공급원(610)은 내부 공간에 제2 유체를 공급한다. 제1 및 제2 유체는 모두 기판(604) 상의 박막의 에칭에 기여한다. 도 6a는 유체 공급원(608, 610)을 예시하지만, 실제, 유체 공급원(608, 610)은 유체 이외의 물질을 포함하거나 공급할 수 있다. 예를 들어, 유체 공급원(608, 610)은 에칭 공정을 위한 모든 물질을 제공하는 물질 공급원을 포함할 수 있다.In one embodiment, the semiconductor process system 600 includes a first fluid source 608 and a second fluid source 610 . The first fluid supply source 608 supplies a first fluid to the interior space 603 . The second fluid supply source 610 supplies a second fluid to the internal space. Both the first and second fluids contribute to the etching of the thin film on the substrate 604 . 6A illustrates fluid sources 608 , 610 , in practice, fluid sources 608 , 610 may contain or supply materials other than fluids. For example, fluid sources 608 and 610 may include material sources that provide all materials for the etching process.

일 실시예에서, 반도체 프로세스 시스템(600)은 ALE 공정을 수행하는 ALE 시스템이다. ALE 시스템은 에칭 공정을 여러 사이클로 수행한다. 각 사이클은 유체 공급원(608)으로부터 제1 에칭 유체를 유동시킨 다음, 퍼지 공급원(612, 624) 중 하나 또는 양자 모두로부터 퍼지 가스를 유동시킴으로써 에칭 챔버로부터 제1 에칭 유체를 퍼징한 다음, 유체 공급원(610)으로부터 제2 에칭 유체를 유동시킨 다음, 퍼지 공급원(612, 624) 중 하나 또는 양자 모두로부터 퍼지 가스를 유동시킴으로써 에칭 챔버로부터 제2 에칭 유체를 퍼지하는 것을 포함한다. 이것은 단일 ALE 사이클에 대응한다. 각 사이클은 에칭되는 박막으로부터 원자층 또는 분자층을 에칭한다.In one embodiment, the semiconductor process system 600 is an ALE system that performs an ALE process. The ALE system performs the etch process in several cycles. Each cycle purges the first etching fluid from the etch chamber by flowing a first etching fluid from a fluid source 608 and then a purge gas from one or both of the purge sources 612 , 624 , followed by a flow of the first etching fluid from the fluid source. purging the second etching fluid from the etch chamber by flowing a second etching fluid from 610 and then flowing a purge gas from one or both of the purge sources 612 , 624 . This corresponds to a single ALE cycle. Each cycle etches an atomic or molecular layer from the thin film being etched.

반도체 프로세스 시스템(600)에 의해 생성된 박막의 파라미터는 많은 공정 조건에 의해 영향을 받을 수 있다. 공정 조건은 한정되는 것은 아니지만, 유체 공급원(608, 610)에 남아 있는 유체 또는 물질의 잔류량, 유체 공급원(608, 610)으로부터의 유체 또는 물질의 유량, 유체 공급원(608, 610)에 의해 제공되는 유체의 압력, 유체 또는 재료를 공정 챔버(602) 내로 운반하는 튜브 또는 도관의 길이, 공정 챔버(602)를 정의하거나 이에 포함되는 앰플의 사용 기간, 공정 챔버(602) 내의 온도, 공정 챔버(602) 내의 습도, 공정 챔버(602) 내의 압력, 공정 챔버(602) 내의 반사광 흡수, 반도체 웨이퍼(604)의 표면 특징, 유체 공급원(608, 610)에 의해 제공된 재료의 조성, 유체 공급원(608. 610)에 의해 제공된 재료의 상(phase), 에칭 공정의 지속 시간, 에칭 공정의 개별 단계의 지속 시간 및 위에 구체적으로 열거되지 않은 인자를 포함한 다양한 기타 인자를 포함할 수 있다.The parameters of the thin film produced by the semiconductor process system 600 may be affected by many process conditions. Process conditions include, but are not limited to, the residual amount of fluid or material remaining in fluid sources 608 , 610 , the flow rate of fluid or material from fluid sources 608 , 610 , provided by fluid sources 608 , 610 . the pressure of the fluid, the length of the tube or conduit that transports the fluid or material into the process chamber 602 , the duration of use of the ampoule defining or contained in the process chamber 602 , the temperature within the process chamber 602 , the process chamber 602 ), the pressure in the process chamber 602, the reflected light absorption in the process chamber 602, the surface characteristics of the semiconductor wafer 604, the composition of the material provided by the fluid sources 608, 610, the fluid sources 608. 610 ), the duration of the etching process, the duration of the individual steps of the etching process, and various other factors including factors not specifically listed above.

에칭 공정 도중의 다양한 공정 조건의 조합은 ALE 공정에 의해 에칭된 박막의 잔여 두께를 결정한다. 공정 조건으로 인해 목표 파라미터에 해당하는 잔여 두께를 가지지 않는 박막이 생성될 수 있다. 이 경우, 반도체 웨이퍼(604)로부터 형성된 집적 회로는 적절하게 기능하지 않을 수 있다. 반도체 웨이퍼의 배치(batch)의 품질이 저하될 수 있다. 경우에 따라, 일부 반도체 웨이퍼를 폐기해야 할 수도 있다.The combination of various process conditions during the etching process determines the remaining thickness of the thin film etched by the ALE process. A thin film that does not have a residual thickness corresponding to a target parameter may be generated due to process conditions. In this case, the integrated circuit formed from the semiconductor wafer 604 may not function properly. The quality of the batch of semiconductor wafers may be degraded. In some cases, it may be necessary to discard some semiconductor wafers.

반도체 프로세스 시스템(600)은 제어 시스템(624)을 이용하여 공정 조건을 동적으로 조정하여 에칭 공정이 목표 파라미터 또는 특성 내에 속하는 파라미터 또는 특성을 갖는 박막을 생성하도록 보장한다. 제어 시스템(624)은 반도체 프로세스 시스템(600)과 관련된 처리 장비에 연결된다. 처리 장비는 도 6a에 예시된 구성 요소 및 도 6a에 예시되지 않은 구성 요소를 포함할 수 있다. 제어 시스템(624)은 유체 공급원(608, 610)으로부터의 재료의 유량, 유체 공급원(608, 610)에 의해 공급되는 재료의 온도, 유체 공급원(608, 610)에 의해 제공되는 유체의 압력, 퍼지 공급원(612, 614)으로부터의 물질의 유량, 유체 공급원(608, 610) 및 퍼지 공급원(612, 614)으로부터의 물질의 흐름 지속 시간, 공정 챔버(602) 내의 온도, 공정 챔버(602) 내의 압력, 공정 챔버(602) 내의 습도 및 박막 에칭 공정의 다른 측면을 제어할 수 있다. 제어 시스템(624)은 박막 에칭 공정이 목표 잔여 두께, 목표 조성, 목표 결정 배향 등과 같은 목표 파라미터를 가지는 박막을 형성하도록 이러한 공정 파라미터를 제어한다. 제어 시스템에 관한 추가의 상세는 도 7-9와 관련하여 제공된다.The semiconductor process system 600 uses the control system 624 to dynamically adjust process conditions to ensure that the etch process produces thin films with parameters or properties that fall within target parameters or properties. Control system 624 is coupled to processing equipment associated with semiconductor process system 600 . The processing equipment may include components illustrated in FIG. 6A and components not illustrated in FIG. 6A . Control system 624 controls the flow rate of material from fluid sources 608 , 610 , the temperature of the material supplied by fluid sources 608 , 610 , the pressure of the fluid provided by fluid sources 608 , 610 , purge flow rate of material from sources 612 , 614 , duration of flow of material from fluid sources 608 , 610 and purge sources 612 , 614 , temperature within process chamber 602 , pressure within process chamber 602 . , the humidity within the process chamber 602 and other aspects of the thin film etching process. Control system 624 controls these process parameters so that the thin film etch process forms a thin film having target parameters such as target residual thickness, target composition, target crystal orientation, and the like. Further details regarding the control system are provided in connection with Figures 7-9.

일 실시예에서, 제어 시스템(624)은 하나 이상의 통신 채널(625)을 통해 제1 및 제2 유체 공급원(608, 610)에 통신 가능하게 결합된다. 제어 시스템(624)은 통신 채널(625)을 통해 제1 유체 공급원(608) 및 제2 유체 공급원(610)에 신호를 전송할 수 있다. 제어 시스템(624)은 부분적으로 부산물 센서(622)로부터의 센서 신호에 응답하여 제1 및 제2 유체 공급원(608, 610)의 기능을 제어할 수 있다.In one embodiment, the control system 624 is communicatively coupled to the first and second fluid sources 608 , 610 via one or more communication channels 625 . The control system 624 can send signals to the first fluid source 608 and the second fluid source 610 via the communication channel 625 . Control system 624 may control the function of first and second fluid sources 608 , 610 in response to sensor signals from byproduct sensor 622 , in part.

일 실시예에서, 반도체 프로세스 시스템(600)은 제1 유체 공급원(608)으로부터의 제1 유체의 유량을 제어하기 위한 하나 이상의 밸브, 펌프 또는 다른 유동 제어 메커니즘을 포함할 수 있다. 이러한 유동 제어 메커니즘은 유체 공급원(608)의 일부일 수 있거나 유체 공급원(608)으로부터 분리될 수 있다. 제어 시스템(624)은 이러한 유동 제어 메커니즘 또는 이러한 유동 제어 메커니즘을 제어하는 시스템에 통신 가능하게 결합될 수 있다. 제어 시스템(624)은 이들 메커니즘을 제어함으로써 제1 유체의 유량을 제어할 수 있다. 제어 시스템(600)은 제1 유체 및 제1 유체 공급원(608)과 관련하여 전술한 것과 동일한 방식으로 제2 유체 공급원(610)으로부터 제2 유체의 흐름을 제어하는 밸브, 펌프, 또는 다른 유동 제어 메커니즘을 포함할 수 있다.In one embodiment, the semiconductor process system 600 may include one or more valves, pumps, or other flow control mechanisms for controlling the flow rate of the first fluid from the first fluid source 608 . This flow control mechanism may be part of the fluid source 608 or may be separate from the fluid source 608 . Control system 624 may be communicatively coupled to such a flow control mechanism or a system for controlling such a flow control mechanism. Control system 624 may control the flow rate of the first fluid by controlling these mechanisms. The control system 600 is a valve, pump, or other flow control that controls the flow of the second fluid from the second fluid source 610 in the same manner as described above with respect to the first fluid source 608 and the first fluid source 608 . Mechanisms may be included.

일 실시예에서, 반도체 프로세스 시스템(600)은 매니폴드 믹서(616) 및 유체 분배기(618)를 포함한다. 매니폴드 믹서(616)는 제1 유체 공급원(608)과 제2 유체 공급원(610)으로부터 제1 유체와 제2 유체를 함께 또는 개별적으로 수용한다. 매니폴드 믹서(616)는 제1 유체, 제2 유체, 또는 제1 및 제2 유체의 혼합물을 유체 분배기(618)에 제공한다. 유체 분배기(618)는 매니폴드 믹서(616)로부터 일종 이상의 유체를 수용하고 해당 일종 이상의 유체를 공정 챔버(602)의 내부 공간(603) 내로 분배한다.In one embodiment, semiconductor process system 600 includes a manifold mixer 616 and a fluid distributor 618 . The manifold mixer 616 receives the first fluid and the second fluid from the first fluid source 608 and the second fluid source 610 together or separately. Manifold mixer 616 provides a first fluid, a second fluid, or a mixture of first and second fluids to a fluid distributor 618 . The fluid distributor 618 receives one or more fluids from the manifold mixer 616 and distributes the one or more fluids into the interior space 603 of the process chamber 602 .

일 실시예에서, 제1 유체 공급원(608)은 제1 유체 채널(630)에 의해 매니폴드 믹서(616)에 결합된다. 제1 유체 채널(630)은 유체 공급원(608)으로부터 매니폴드 믹서(616)로 제1 유체를 운반한다. 제1 유체 채널(630)은 제1 유체 공급원(608)으로부터 매니폴드 믹서(616)로 제1 유체를 통과시키기 위한 튜브, 파이프 또는 다른 적절한 채널일 수 있다. 제2 유체 공급원(610)은 제2 유체 채널(632)에 의해 매니폴드 믹서(616)에 결합된다. 제2 유체 채널(632)은 제2 유체 공급원(610)으로부터 매니폴드 믹서(616)로 제2 유체를 운반한다.In one embodiment, the first fluid source 608 is coupled to the manifold mixer 616 by a first fluid channel 630 . The first fluid channel 630 carries a first fluid from the fluid source 608 to the manifold mixer 616 . The first fluid channel 630 may be a tube, pipe, or other suitable channel for passing a first fluid from the first fluid source 608 to the manifold mixer 616 . A second fluid source 610 is coupled to the manifold mixer 616 by a second fluid channel 632 . The second fluid channel 632 carries a second fluid from the second fluid source 610 to the manifold mixer 616 .

일 실시예에서, 매니폴드 믹서(616)는 제3 유체 라인(634)에 의해 유체 분배기(618)에 결합된다. 제3 유체 라인(634)은 매니폴드 믹서(616)로부터 유체 분배기(618)로 유체를 운반한다. 제3 유체 라인(634)은 아래에서 더 상세히 설명되는 바와 같이 제1 유체, 제2 유체, 제1 및 제2 유체의 혼합물 또는 다른 유체를 운반할 수 있다. In one embodiment, the manifold mixer 616 is coupled to the fluid distributor 618 by a third fluid line 634 . A third fluid line 634 carries fluid from the manifold mixer 616 to the fluid distributor 618 . The third fluid line 634 may carry a first fluid, a second fluid, a mixture of first and second fluids, or another fluid, as described in more detail below.

제1 및 제2 유체 공급원(608, 610)은 유체 탱크를 포함할 수 있다. 유체 탱크는 제1 및 제2 유체를 저장할 수 있다. 유체 탱크는 제1 및 제2 유체를 선택적으로 유출할 수 있다.The first and second fluid sources 608 , 610 may include fluid tanks. The fluid tank may store first and second fluids. The fluid tank may selectively drain the first and second fluids.

일 실시예에서, 반도체 프로세스 시스템(600)은 제1 퍼지 공급원(612) 및 제2 퍼지 공급원(614)을 포함한다. 제1 퍼지 공급원은 제1 퍼지 라인(636)에 의해 제1 유체 라인(630)에 결합된다. 제2 퍼지 소스는 제2 퍼지 라인(638)에 의해 유체 라인(632)에 결합된다. 실제, 제1 및 제2 퍼지 공급원은 단일 퍼지 공급원일 수 있다.In one embodiment, the semiconductor process system 600 includes a first purge source 612 and a second purge source 614 . The first purge source is coupled to the first fluid line 630 by a first purge line 636 . A second purge source is coupled to the fluid line 632 by a second purge line 638 . In practice, the first and second purge sources may be a single purge source.

일 실시예에서, 제1 및 제2 퍼지 공급원(612, 614)은 공정 챔버(602)의 내부 공간(603) 내로 퍼지 가스를 공급한다. 퍼지 유체는 공정 챔버(602)의 내부 공간(603)으로부터의 제1 유체, 제2 유체, 제1 또는 제2 유체의 부산물 또는 다른 유체를 퍼지 또는 운반하도록 선택된 유체이다. 퍼지 유체는 기판(604), 기판(604) 상의 박막층, 제1 및 제2 유체 및 해당 제1 및 제2 유체의 부산물과 상호 작용하지 않도록 선택된다. 따라서, 퍼지 유체는 Ar 또는 N2를 포함하지만 이에 제한되지 않는 불활성 가스일 수 있다.In one embodiment, the first and second purge sources 612 , 614 supply a purge gas into the interior space 603 of the process chamber 602 . The purge fluid is a fluid selected to purge or transport the first fluid, the second fluid, a byproduct of the first or second fluid, or another fluid from the interior space 603 of the process chamber 602 . The purge fluid is selected such that it does not interact with the substrate 604 , the thin film layer on the substrate 604 , the first and second fluids and byproducts of the first and second fluids. Accordingly, the purge fluid may be an inert gas including, but not limited to, Ar or N 2 .

도 6a는 제1 유체 공급원(608) 및 제2 유체 공급원(610)을 예시하지만, 실제로 반도체 프로세스 시스템(600)은 다른 수의 유체 공급원을 포함할 수 있다. 예를 들어, 반도체 프로세스 시스템(600)은 오직 하나의 유체 공급원 또는 3개 이상의 유체 공급원을 포함할 수 있다. 따라서, 반도체 프로세스 시스템(600)은 본 개시 내용의 범위를 벗어나지 않고 2개가 아닌 갯수의 유체 공급원을 포함할 수 있다.Although FIG. 6A illustrates a first fluid source 608 and a second fluid source 610 , in practice the semiconductor process system 600 may include other numbers of fluid sources. For example, semiconductor process system 600 may include only one fluid source or three or more fluid sources. Accordingly, semiconductor process system 600 may include more than two fluid sources without departing from the scope of the present disclosure.

도 6b는 일 실시예에 따른 ALE 공정의 사이클을 예시하는 그래프이다. 도 6b의 그래프는 도 6a의 반도체 프로세스 시스템(600)에 의해 수행되는 ALE 공정에 대응할 수 있고, 도 1a-5b와 관련하여 예시되고 설명된 공정을 수행하여 구조체를 생성하는 데 이용될 수 있다. 시간(T1)에서 제1 에칭 유체가 흐르기 시작한다. 도 6b의 예에서, 제1 에칭 유체는 WCl5 이다. 제1 에칭 유체는 유체 공급원(608)으로부터 내부 공간(603) 내로 흐른다. 내부 공간(603)에서, 제1 에칭 유체는 티타늄 질화물 층(124)의 상부 노출층과 반응한다. 시간(T2)에서, 제1 에칭 유체(WCl5)는 유동을 중지한다. 일례로, T1과 T2 사이의 경과 시간은 1초 내지 10초이다.6B is a graph illustrating a cycle of an ALE process according to an embodiment. The graph of FIG. 6B may correspond to the ALE process performed by the semiconductor process system 600 of FIG. 6A and may be used to perform the process illustrated and described in connection with FIGS. 1A-5B to create a structure. At time T1 the first etching fluid begins to flow. In the example of FIG. 6B , the first etching fluid is WCl 5 . A first etching fluid flows from the fluid source 608 into the interior space 603 . In the interior space 603 , the first etching fluid reacts with the upper exposed layer of the titanium nitride layer 124 . At time T2 , the first etching fluid WCl 5 stops flowing. In one example, the elapsed time between T1 and T2 is between 1 second and 10 seconds.

시간(T3)에서, 퍼지 가스가 흐르기 시작한다. 퍼지 가스는 퍼지 공급원((612, 624) 중 하나 또는 양자 모두로부터 흐른다. 일례로, 퍼지 가스는 아르곤, N2 또는 티타늄 질화물 층(124)과 반응하지 않고 제1 에칭 유체(WCl5)를 퍼지할 수 있는 다른 불활성 가스 중 하나이다. 시간(T4)에서, 퍼지 가스는 유동이 중지된다. 일례로, T3와 T4 사이의 경과 시간은 6초 내지 15초이다.At time T3, the purge gas begins to flow. A purge gas flows from one or both of the purge sources 612 , 624 . In one example, the purge gas purges the first etching fluid WCl 5 without reacting with the argon, N 2 or titanium nitride layer 124 . It is one of the other inert gases that can do.At time T4, the purge gas stops flowing. For example, the elapsed time between T3 and T4 is between 6 seconds and 15 seconds.

시간(T5)에서, 제2 에칭 유체가 내부 공간(603) 내로 흐른다. 제2 에칭 유체는 유체 공급원(610)으로부터 내부 공간(603) 내로 흐른다. 일례로, 제2 에칭 유체는 O2이다. O2는 티타늄 질화물 층(124)의 상부 원자층 또는 분자층과 반응하고, 티타늄 질화물 층(124)의 상부 원자층 또는 분자층의 에칭을 완료한다. 시간(T6)에서, 제2 에칭 유체는 유동을 중지한다. 일례로, T5와 T6 사이의 경과 시간은 1초 내지 10초이다.At time T5 , a second etching fluid flows into the interior space 603 . A second etching fluid flows from the fluid source 610 into the interior space 603 . In one example, the second etching fluid is O 2 . O 2 reacts with the upper atomic or molecular layer of the titanium nitride layer 124 , and completes etching of the upper atomic or molecular layer of the titanium nitride layer 124 . At time T6, the second etching fluid stops flowing. In one example, the elapsed time between T5 and T6 is between 1 second and 10 seconds.

시간(T7)에서, 퍼지 가스가 다시 유동하여 제2 에칭 유체의 내부 공간(603)을 퍼지한다. 시간(T8)에서, 퍼지 가스가 유동을 중지한다. T1과 T8 사이의 시간은 단일 ALE 사이클에 대응한다.At time T7, the purge gas flows again to purge the interior space 603 of the second etching fluid. At time T8, the purge gas stops flowing. The time between T1 and T8 corresponds to a single ALE cycle.

실제로, ALE 공정은 티타늄 질화물 층의 초기 두께 및 티타늄 질화물 층의 원하는 최종 두께에 따라 5 내지 50 사이클을 포함할 수 있다. 각각의 사이클은 티타늄 질화물 층(124)의 원자층 또는 분자층을 제거한다. 본 개시 내용의 범위를 벗어나지 않고 다른 재료, 공정 및 경과 시간이 적용될 수 있다.In practice, the ALE process may include 5 to 50 cycles depending on the initial thickness of the titanium nitride layer and the desired final thickness of the titanium nitride layer. Each cycle removes an atomic or molecular layer of titanium nitride layer 124 . Other materials, processes, and elapsed times may be applied without departing from the scope of the present disclosure.

도 7은 일 실시예에 따른 제어 시스템(624)의 블록도이다. 도 7의 제어 시스템(624)은 일 실시예에 따라 ALE 시스템(600)의 동작을 제어하도록 구성된다. 제어 시스템(624)은 도 1a-6b와 관련하여 설명된 공정, 구조체 및 시스템과 함께 적용될 수 있다. 제어 시스템(624)은 ALE 시스템(600)의 파라미터를 조정하기 위해 머신 러닝을 이용한다. 제어 시스템(624)은 ALE 공정에 의해 형성된 박막층이 선택된 사양에 속하는 것을 보장하기 위해 ALE 실행 사이 또는 심지어 ALE 사이클 사이에서 ALE 시스템(600)의 파라미터를 조정할 수 있다.7 is a block diagram of a control system 624 according to one embodiment. The control system 624 of FIG. 7 is configured to control the operation of the ALE system 600 according to one embodiment. Control system 624 may be applied with the processes, structures, and systems described with respect to FIGS. 1A-6B . The control system 624 uses machine learning to tune parameters of the ALE system 600 . Control system 624 may adjust parameters of ALE system 600 between ALE runs or even between ALE cycles to ensure that the thin film layers formed by the ALE process fall within selected specifications.

일 실시예에서, 제어 시스템(624)은 분석 모델(640) 및 트레이닝 모듈(training module)(641)을 포함한다. 트레이닝 모듈은 머신 러닝 프로세스로 분석 모델(640)을 트레이닝한다. 머신 러닝 프로세스는 선택된 특성을 갖는 박막을 형성하는 ALE 공정에 대한 파라미터를 선택하도록 분석 모델(640)을 트레이닝한다. 트레이닝 모듈(641)은 분석 모델(640)과 분리된 것으로 예시되었지만, 실제로 트레이닝 모듈(641)은 분석 모델(640)의 일부일 수 있다.In one embodiment, the control system 624 includes an analysis model 640 and a training module 641 . The training module trains the analytic model 640 in a machine learning process. The machine learning process trains the analytical model 640 to select parameters for the ALE process that forms a thin film with selected properties. Although the training module 641 is illustrated as separate from the analysis model 640 , in practice the training module 641 may be part of the analysis model 640 .

제어 시스템(624)은 트레이닝 세트 데이터(642)를 포함하거나 저장한다. 트레이닝 세트 데이터(642)는 히스토리 박막 데이터(644) 및 히스토리 공정 조건 데이터(646)를 포함한다. 히스토리 박막 데이터(644)는 ALE 공정으로부터 생성되는 박막과 관련된 데이터를 포함한다. 히스토리 공정 조건 데이터(646)는 박막을 생성한 ALE 공정 도중의 공정 조건과 관련된 데이터를 포함한다. 아래에서 더 상세히 설명되는 바와 같이, 트레이닝 모듈(641)은 머신 러닝 프로세스로 분석 모델(640)을 트레이닝하기 위해 히스토리 박막 데이터(644) 및 히스토리 공정 조건 데이터(646)를 활용한다.Control system 624 includes or stores training set data 642 . The training set data 642 includes historical thin film data 644 and historical process condition data 646 . The historical thin film data 644 includes data related to thin films generated from the ALE process. The historical process condition data 646 includes data related to process conditions during the ALE process in which the thin film is generated. As described in more detail below, the training module 641 utilizes the historical thin film data 644 and the historical process condition data 646 to train the analytical model 640 with a machine learning process.

일 실시예에서, 히스토리 박막 데이터(644)는 이전에 에칭된 박막의 잔여 두께와 관련된 데이터를 포함한다. 예를 들어, 반도체 제조 시설의 운전 중에 수천 또는 수백 만 개의 반도체 웨이퍼가 몇 개월 또는 몇 년에 걸쳐 처리될 수 있다. 반도체 웨이퍼 각각은 ALE 공정에 의해 에칭된 박막을 포함할 수 있다. 각 ALE 공정 후에 박막의 두께는 품질 관리 공정의 일부로 측정된다. 히스토리 박막 데이터(644)는 ALE 공정에 의해 에칭된 각 박막의 두께를 포함한다. 따라서, 히스토리 박막 데이터(644)는 ALE 공정에 의해 에칭된 다수의 박막에 대한 두께 데이터를 포함할 수 있다.In one embodiment, historical thin film data 644 includes data relating to the residual thickness of a previously etched thin film. For example, thousands or millions of semiconductor wafers may be processed over months or years during operation of a semiconductor manufacturing facility. Each of the semiconductor wafers may include a thin film etched by an ALE process. After each ALE process, the thickness of the thin film is measured as part of the quality control process. The historical thin film data 644 includes the thickness of each thin film etched by the ALE process. Accordingly, the historical thin film data 644 may include thickness data for a plurality of thin films etched by the ALE process.

일 실시예에서, 히스토리 박막 데이터(644)는 또한 박막 에칭 공정의 중간 단계에서의 박막의 두께와 관련된 데이터를 포함할 수 있다. 예를 들어, ALE 공정은 박막의 개별층이 에칭되는 중의 다수의 에칭 사이클을 포함할 수 있다. 히스토리 박막 데이터(644)는 개별 에칭 사이클 또는 에칭 사이클 그룹 이후의 박막에 대한 두께 데이터를 포함할 수 있다. 따라서, 히스토리 박막 데이터(644)는 ALE 공정 완료 후의 박막의 총 두께와 관련된 데이터뿐만 아니라 ALE 공정의 다양한 단계에서의 박막의 두께와 관련된 데이터도 포함할 수 있다.In one embodiment, the historical thin film data 644 may also include data related to the thickness of the thin film at an intermediate stage of the thin film etching process. For example, an ALE process may include multiple etch cycles while individual layers of the thin film are being etched. The historical thin film data 644 may include thickness data for the thin film after an individual etch cycle or group of etch cycles. Accordingly, the historical thin film data 644 may include not only data related to the total thickness of the thin film after completion of the ALE process, but also data related to the thickness of the thin film at various stages of the ALE process.

일 실시예에서, 히스토리 박막 데이터(644)는 ALE 공정에 의해 에칭된 박막의 조성과 관련된 데이터를 포함한다. 박막이 에칭된 후, 박막의 원소 또는 분자 조성을 판정하기 위해 측정을 수행할 수 있다. 박막을 성공적으로 에칭하면 특정 잔여 두께를 포함하는 박막이 생성된다. 성공적이지 않은 에칭 공정은 원하는 두께 또는 조성을 포함하지 않는 박막을 생성할 수 있다. 히스토리 박막 데이터(644)는 다양한 박막을 구성하는 원소 또는 화합물을 나타내는 측정 데이터를 포함할 수 있다.In one embodiment, the historical thin film data 644 includes data related to the composition of the thin film etched by the ALE process. After the thin film is etched, measurements can be performed to determine the elemental or molecular composition of the thin film. Successful etching of the thin film results in a thin film with a specified residual thickness. An unsuccessful etching process can produce thin films that do not contain the desired thickness or composition. The historical thin film data 644 may include measurement data representing elements or compounds constituting various thin films.

일 실시예에서, 히스토리 공정 조건(646)은 히스토리 박막 데이터(644)와 연관된 박막을 에칭하는 ALE 공정 중의 다양한 공정 조건 또는 파라미터를 포함한다. 따라서, 히스토리 박막 데이터(644) 중의 데이터를 가지는 각 박막에 대해, 히스토리 공정 조건 데이터(646)는 박막의 에칭 중에 존재했던 공정 조건 또는 파라미터를 포함할 수 있다. 예를 들어, 히스토리 공정 조건 데이터(646)는 ALE 공정 중의 공정 챔버 내의 압력, 온도 및 유체 유량과 관련된 데이터를 포함할 수 있다.In one embodiment, historical process conditions 646 include various process conditions or parameters during an ALE process for etching a thin film associated with historical thin film data 644 . Thus, for each thin film having data in the historical thin film data 644 , the historical process condition data 646 may include process conditions or parameters that were present during etching of the thin film. For example, historical process condition data 646 may include data related to pressure, temperature, and fluid flow rate within a process chamber during an ALE process.

히스토리 공정 조건 데이터(646)는 ALE 공정 중에 유체 공급원에 남아있는 전구체 물질의 잔류량과 관련된 데이터를 포함할 수 있다. 히스토리 공정 조건 데이터(646)는 공정 챔버(602)의 사용 기간, 공정 챔버(602)에서 수행된 에칭 공정의 수, 즉, 공정 챔버(602)의 최근의 세정 사이클 이후에 공정 챔버(602)에서 수행된 에칭 공정의 수에 관련된 데이터 또는 공정 챔버(602)와 관련된 다른 데이터를 포함할 수 있다. 히스토리 공정 조건 데이터(646)는 에칭 공정 중의 공정 챔버(602) 내로 도입된 화합물 또는 유체와 관련된 데이터를 포함할 수 있다. 화합물과 관련된 데이터는 화합물의 종류, 화합물의 상(고체, 기체 또는 액체), 화합물의 혼합물, 또는 공정 챔버(602)에 도입된 화합물 또는 유체와 관련된 기타 측면을 포함할 수 있다. 히스토리 공정 조건 데이터(646)는 ALE 공정 중의 공정 챔버(602) 내의 습도와 관련된 데이터를 포함한다. 히스토리 공정 조건 데이터(646)는 공정 챔버(602)와 관련된 광 흡수, 광 흡착 및 광 반사와 관련된 데이터를 포함할 수 있다. 히스토리 공정 조건 데이터(626)는 ALE 공정 도중에 공정 챔버(602) 내로 화합물 또는 유체를 운반하는 파이프, 튜브 또는 도관의 길이에 관련된 데이터를 포함할 수 있다. 히스토리 공정 조건 데이터(646)는 ALE 공정 도중에 공정 챔버(602) 내로 화합물 또는 유체를 운반하는 캐리어 가스의 조건과 관련된 데이터를 포함할 수 있다.The historical process condition data 646 may include data related to the residual amount of the precursor material remaining in the fluid source during the ALE process. The historical process condition data 646 includes the period of use of the process chamber 602 , the number of etching processes performed in the process chamber 602 , that is, the number of etching processes performed in the process chamber 602 after the most recent cleaning cycle of the process chamber 602 . data pertaining to the number of etching processes performed or other data pertaining to the process chamber 602 . The historical process condition data 646 may include data relating to a compound or fluid introduced into the process chamber 602 during an etching process. Data related to a compound may include the type of compound, the phase of the compound (solid, gas or liquid), a mixture of compounds, or other aspects related to the compound or fluid introduced into the process chamber 602 . The historical process condition data 646 includes data related to humidity in the process chamber 602 during the ALE process. The historical process condition data 646 may include data related to light absorption, light absorption, and light reflection related to the process chamber 602 . The historical process condition data 626 may include data relating to the length of a pipe, tube, or conduit that transports a compound or fluid into the process chamber 602 during an ALE process. The historical process condition data 646 may include data relating to conditions of a carrier gas that transports a compound or fluid into the process chamber 602 during an ALE process.

일 실시예에서, 히스토리 공정 조건 데이터(646)는 단일 ALE 공정의 복수의 개별 사이클 각각에 대한 공정 조건을 포함할 수 있다. 따라서, 히스토리 공정 조건 데이터(646)는 매우 많은 수의 ALE 사이클에 대한 공정 조건 데이터를 포함할 수 있다.In one embodiment, historical process condition data 646 may include process conditions for each of a plurality of individual cycles of a single ALE process. Accordingly, the historical process condition data 646 may include process condition data for a very large number of ALE cycles.

일 실시예에서, 트레이닝 세트 데이터(642)는 히스토리 박막 데이터(644)를 히스토리 공정 조건 데이터(646)와 연결한다. 즉, 히스토리 박막 데이터(644) 내의 박막과 관련된 박막 두께, 재료 조성 또는 결정 구조가 에칭 공정과 관련된 공정 조건 데이터에 연결된다. 아래에서 더 상세히 설명되는 바와 같이, 라벨링된 트레이닝 세트 데이터는 박막을 적절하게 형성하는 반도체 공정 조건을 예측하기 위해 분석 모델(640)을 트레이닝하는 머신 러닝 프로세스에 활용될 수 있다.In one embodiment, the training set data 642 connects the historical thin film data 644 with the historical process condition data 646 . That is, the thin film thickness, material composition, or crystal structure associated with the thin film in the historical thin film data 644 is coupled to process condition data related to the etching process. As will be described in more detail below, the labeled training set data can be utilized in a machine learning process to train the analytical model 640 to predict semiconductor process conditions to properly form thin films.

일 실시예에서, 제어 시스템(624)은 처리 리소스(648), 메모리 리소스(650) 및 통신 리소스(652)를 포함한다. 처리 리소스(648)는 하나 이상의 컨트롤러 또는 프로세서를 포함할 수 있다. 처리 리소스(648)는 소프트웨어 명령을 실행하고, 데이터를 처리하고, 박막 에칭 제어를 결정하고, 신호 처리를 수행하고, 메모리로부터 데이터를 판독하고, 데이터를 메모리에 기록하고, 다른 처리 작업을 수행하도록 구성된다. 처리 리소스(648)는 반도체 프로세스 시스템(600)의 사이트 또는 시설에 위치된 물리적 처리 리소스(648)를 포함할 수 있다. 처리 리소스는 반도체 프로세스 시스템(600)이 위치되는 사이트 또는 시설로부터 떨어진 원격 가상 처리 리소스(648)를 포함할 수 있다. 처리 리소스(648)는 하나 이상의 클라우드 컴퓨팅 플랫폼을 통해 액세스되는 프로세서 및 서버를 포함하는 클라우드 기반 처리 리소스를 포함할 수 있다.In one embodiment, the control system 624 includes a processing resource 648 , a memory resource 650 , and a communication resource 652 . Processing resources 648 may include one or more controllers or processors. The processing resource 648 is configured to execute software instructions, process data, determine thin film etch control, perform signal processing, read data from memory, write data to memory, and perform other processing tasks. is composed The processing resource 648 may include a physical processing resource 648 located at a site or facility of the semiconductor process system 600 . The processing resources may include remote virtual processing resources 648 remote from the site or facility where the semiconductor process system 600 is located. Processing resources 648 may include cloud-based processing resources, including processors and servers, accessed through one or more cloud computing platforms.

일 실시예에서, 메모리 리소스(650)는 하나 이상의 컴퓨터 판독 가능 메모리를 포함할 수 있다. 메모리 리소스(650)는 한정되는 것은 아니지만 분석 모델(640)을 포함하는 제어 시스템 및 그 구성 요소의 기능과 관련된 소프트웨어 명령을 저장하도록 구성된다. 메모리 리소스(650)는 제어 시스템(624) 및 그 구성 요소의 기능과 관련된 데이터를 저장할 수 있다. 데이터는 트레이닝 세트 데이터(642), 현재 프로세스 조건 데이터 및 제어 시스템(624) 또는 그 구성 요소 중 임의의 것과 관련된 임의의 다른 데이터를 포함할 수 있다. 메모리 리소스(650)는 반도체 프로세스 시스템(600)의 사이트 또는 시설에 위치된 물리적 메모리 리소스를 포함할 수 있다. 메모리 리소스는 반도체 프로세스 시스템(600)의 사이트 또는 시설로부터 원격으로 위치된 가상 메모리 리소스를 포함할 수 있다. 메모리 리소스(650)는 하나 이상의 클라우드 컴퓨팅 플랫폼을 통해 액세스되는 클라우드 기반 메모리 리소스를 포함할 수 있다.In one embodiment, memory resource 650 may include one or more computer readable memories. Memory resource 650 is configured to store software instructions related to the functioning of the control system and its components, including but not limited to analysis model 640 . The memory resource 650 may store data related to the functions of the control system 624 and its components. The data may include training set data 642 , current process condition data, and any other data related to control system 624 or any of its components. Memory resource 650 may include a physical memory resource located at a site or facility of semiconductor process system 600 . The memory resource may include a virtual memory resource located remotely from a site or facility of the semiconductor process system 600 . Memory resource 650 may include cloud-based memory resources accessed through one or more cloud computing platforms.

일 실시예에서, 통신 리소스는 제어 시스템(624)이 반도체 프로세스 시스템(600)과 연관된 장비와 통신할 수 있게 하는 리소스를 포함할 수 있다. 예를 들어, 통신 리소스(652)는 제어 시스템(624)이 반도체 프로세스 시스템(600)과 연관된 센서 데이터를 수신하고 반도체 프로세스 시스템(600)의 설비를 제어할 수 있게 하는 유선 및 무선 통신 리소스를 포함할 수 있다. 통신 리소스(652)는 제어 시스템(624)이 유체 공급원(608, 610) 및 퍼지 공급원(612, 614)으로부터의 유체 또는 다른 물질의 흐름을 제어할 수 있도록 할 수 있다. 통신 리소스(652)는 제어 시스템(624)이 히터, 전압 공급원, 밸브, 배기 채널, 웨이퍼 이송 장비 및 반도체 프로세스 시스템(600)과 관련된 임의의 다른 장비를 제어하도록 할 수 있다. 통신 리소스(652)는 제어 시스템(624)이 원격 시스템과 통신하도록 할 수 있다. 통신 리소스(652)는 유선 네트워크, 무선 네트워크, 인터넷 또는 인트라넷과 같은 하나 이상의 네트워크를 포함하거나 이를 통한 통신을 가능케 할 수 있다. 통신 리소스(652)는 제어 시스템(624)의 구성 요소가 서로 통신하도록 할 수 있다.In one embodiment, the communication resource may include a resource that enables the control system 624 to communicate with equipment associated with the semiconductor process system 600 . For example, communication resource 652 includes wired and wireless communication resources that enable control system 624 to receive sensor data associated with semiconductor process system 600 and control equipment of semiconductor process system 600 . can do. Communication resource 652 may enable control system 624 to control the flow of fluid or other material from fluid sources 608 , 610 and purge sources 612 , 614 . Communication resource 652 may enable control system 624 to control heaters, voltage sources, valves, exhaust channels, wafer transfer equipment, and any other equipment associated with semiconductor process system 600 . The communication resource 652 may enable the control system 624 to communicate with a remote system. Communication resources 652 may include or enable communication over one or more networks, such as a wired network, a wireless network, the Internet, or an intranet. The communication resource 652 may enable the components of the control system 624 to communicate with each other.

일 실시예에서, 분석 모델(640)은 처리 리소스(648), 메모리 리소스(650) 및 통신 리소스(652)를 통해 구현된다. 제어 시스템(624)은 구성 요소 및 리소스 및 위치가 서로 떨어져 있고 반도체 프로세스 시스템(600)으로부터 떨어져 있는 분산된 제어 시스템일 수 있다.In one embodiment, the analysis model 640 is implemented via a processing resource 648 , a memory resource 650 , and a communication resource 652 . Control system 624 may be a distributed control system with components and resources and locations remote from each other and remote from semiconductor process system 600 .

도 8a는 일 실시예에 따라 박막의 적절한 에칭을 유도할 공정 조건을 식별하기 위해 분석 모델을 트레이닝하기 위한 프로세스(800)의 흐름도이다. 분석 모델의 일례는 도 7의 분석 모델(640)이다. 프로세스(800)의 다양한 단계는 도 1a-7과 관련하여 설명된 구성 요소, 프로세스 및 기술을 이용할 수 있다. 따라서, 도 8a는 도 1a-7을 참조로 설명된다.8A is a flow diagram of a process 800 for training an analytical model to identify process conditions that will induce proper etching of a thin film according to one embodiment. An example of an analysis model is the analysis model 640 of FIG. 7 . The various steps of process 800 may utilize the components, processes, and techniques described in connection with FIGS. 1A-7 . Accordingly, Fig. 8A is described with reference to Figs. 1A-7.

802에서, 프로세스(800)는 히스토리 박막 데이터 및 히스토리 공정 조건 데이터를 포함하는 트레이닝 세트 데이터를 수집한다. 이는 데이터 채굴 시스템 또는 프로세스를 사용하여 수행될 수 있다. 데이터 채굴 시스템 또는 프로세스는 반도체 프로세스 시스템(600)과 연관된 하나 이상의 데이터베이스를 액세스하고 해당 하나 이상의 데이터베이스에 포함된 다양한 유형의 데이터를 수집 및 구성함으로써 트레이닝 세트 데이터를 수집할 수 있다. 데이터 채굴 시스템이나 프로세스 또는 다른 시스템이나 프로세스는 트레이닝 세트 데이터를 생성하기 위해 수집된 데이터를 처리하고 형식을 지정할 수 있다. 트레이닝 세트 데이터(642)는 도 7과 관련하여 설명된 바와 같이 히스토리 박막 데이터(644) 및 히스토리 공정 조건 데이터(646)를 포함할 수 있다.At 802 , process 800 collects training set data including historical thin film data and historical process condition data. This can be done using data mining systems or processes. A data mining system or process may collect training set data by accessing one or more databases associated with the semiconductor process system 600 and collecting and configuring various types of data contained in the one or more databases. A data mining system or process or other system or process may process and format the collected data to generate training set data. The training set data 642 may include historical thin film data 644 and historical process condition data 646 as described with reference to FIG. 7 .

804에서, 프로세스(800)는 히스토리 공정 조건 데이터를 분석 모델에 입력한다. 일례로, 이것은 도 7과 관련하여 설명된 바와 같이 트레이닝 모듈(641)을 사용하여 분석 모델(640)에 히스토리 공정 조건 데이터(646)를 입력하는 것을 포함할 수 있다. 히스토리 공정 조건 데이터는 분석 모델(640)에 연속적인 개별 세트로 제공될 수 있다. 각 개별 세트는 단일 박막 에칭 공정 또는 단일 박막 에칭 공정의 일부에 해당할 수 있다. 히스토리 공정 조건 데이터는 분석 모델(640)에 벡터로 제공될 수 있다. 각 세트는 분석 모델(640)에 의한 수신 처리를 위해 포맷된 하나 이상의 벡터를 포함할 수 있다. 히스토리 공정 조건 데이터는 본 개시 내용의 범위를 벗어나지 않고 다른 형식으로 분석 모델(640)에 제공될 수 있다.At 804 , process 800 inputs historical process condition data into the analytical model. In one example, this may include inputting historical process condition data 646 into the analytical model 640 using the training module 641 as described with respect to FIG. 7 . The historical process condition data may be provided to the analytical model 640 as a continuous discrete set. Each individual set may correspond to a single thin film etch process or part of a single thin film etch process. The historical process condition data may be provided as a vector to the analysis model 640 . Each set may include one or more vectors formatted for receiving processing by the analysis model 640 . Historical process condition data may be provided to the analytical model 640 in other formats without departing from the scope of the present disclosure.

806에서, 프로세스(800)는 히스토리 공정 조건 데이터를 기초로 예측된 박막 데이터를 생성한다. 특히, 분석 모델(640)은 히스토리 박막 조건 데이터(646)의 각 세트에 대해 예측된 박막 데이터를 생성한다. 예측된 박막 데이터는 특정 공정 조건 세트로부터 얻어지는 박막의 잔여 두께와 같은 특성의 예측에 해당한다. 예측된 박막 데이터에는 잔류 박막의 두께, 균일성, 조성, 결정 구조 또는 다른 측면을 포함할 수 있다.At 806 , process 800 generates predicted thin film data based on historical process condition data. In particular, the analytical model 640 generates predicted thin film data for each set of historical thin film condition data 646 . The predicted thin film data corresponds to the prediction of properties such as the residual thickness of the thin film obtained from a specific set of process conditions. The predicted thin film data may include the thickness, uniformity, composition, crystal structure, or other aspects of the residual thin film.

808에서, 예측된 박막 데이터는 히스토리 박막 데이터(644)와 비교된다. 특히, 각각의 히스토리 공정 조건 데이터 세트에 대한 예측된 박막 데이터는 해당 히스토리 공정 조건 데이터 세트와 관련된 히스토리 박막 데이터(644)와 비교된다. 비교는 예측된 박막 데이터가 히스토리 박막 데이터(644)와 얼마나 가깝게 일치하는 지를 나타내는 오차 함수를 유도할 수 있다. 이 비교는 각각의 예측된 박막 데이터 세트에 대해 수행된다. 일 실시예에서, 이 프로세스는 예측된 박막 데이터 전체(totality)가 히스토리 박막 데이터(644)와 어떻게 비교되는 지를 나타내는 집계 오차 함수 또는 표시를 생성하는 것을 포함할 수 있다. 이러한 비교는 트레이닝 모듈(641)에 의해 또는 분석 모델(640)에 의해 수행될 수 있다. 비교는 본 개시 내용의 범위를 벗어나지 않고 전술한 것 이외의 다른 유형의 함수 또는 데이터를 포함할 수 있다.At 808 , the predicted thin film data is compared to historical thin film data 644 . In particular, the predicted thin film data for each historical process condition data set is compared to historical thin film data 644 associated with that historical process condition data set. The comparison may derive an error function indicating how closely the predicted thin film data matches the historical thin film data 644 . This comparison is performed for each predicted thin film data set. In one embodiment, the process may include generating an aggregation error function or indication indicating how the predicted thin film data totality compares to the historical thin film data 644 . This comparison may be performed by the training module 641 or by the analysis model 640 . The comparison may include other types of functions or data other than those described above without departing from the scope of the present disclosure.

810에서, 프로세스(800)는 808 단계에서 생성된 비교를 기초로 예측된 박막 데이터가 히스토리 박막 데이터와 일치하는 지 여부를 판정한다. 예를 들어, 프로세스는 예측된 잔여 두께가 히스토리 에칭 공정 후의 실제 잔여 두께와 일치하는 지 여부를 판정한다. 일례로, 집계 오차 함수(aggregate error function)가 허용 오차 미만이면, 프로세스(800)는 박막 데이터가 히스토리 박막 데이터와 일치하지 않는다고 판정한다. 일례로, 집계 오차 함수가 허용 오차보다 크면, 프로세스(800)는 박막 데이터가 히스토리 박막 데이터와 일치한다고 판정한다. 일례로, 허용 오차는 0.1-0의 허용 오차를 포함할 수 있다. 즉, 총 백분율 오차가 0.1 또는 60% 미만이면, 프로세스(800)는 예측된 박막 데이터가 히스토리 박막 데이터와 일치한다고 간주한다. 총 백분율 오차가 0.1 또는 60%보다 크면, 프로세스(800)는 예측된 박막 데이터가 히스토리 박막 데이터와 일치하지 않는 것으로 간주한다. 본 개시 내용의 범위를 벗어나지 않고 다른 허용 범위가 적용될 수 있다. 본 개시 내용의 범위를 벗어나지 않고 다양한 방식으로 오차 점수를 계산할 수 있다. 트레이닝 모듈(641) 또는 분석 모델(640)은 프로세스 단계(810)와 관련된 판정을 행할 수 있다.At 810 , the process 800 determines whether the predicted thin film data matches the historical thin film data based on the comparison generated in 808 . For example, the process determines whether the predicted residual thickness matches the actual residual thickness after the historical etch process. In one example, if the aggregate error function is less than the tolerance, the process 800 determines that the thin film data does not match the historical thin film data. In one example, if the aggregation error function is greater than the tolerance, the process 800 determines that the thin film data matches the historical thin film data. As an example, the tolerance may include a tolerance of 0.1-0. That is, if the total percentage error is less than 0.1 or 60%, then process 800 considers the predicted thin film data to match the historical thin film data. If the total percentage error is greater than 0.1 or 60%, then the process 800 considers the predicted thin film data to be inconsistent with the historical thin film data. Other tolerances may be applied without departing from the scope of the present disclosure. The error score may be calculated in various ways without departing from the scope of the present disclosure. The training module 641 or the analysis model 640 may make a determination related to the process step 810 .

일 실시예에서, 810 단계에서 예측된 박막 데이터가 히스토리 박막 데이터(644)와 일치하지 않는 경우, 프로세스는 812 단계로 진행한다. 812 단계에서, 프로세스(800)는 분석 모델(640)과 관련된 내부 함수를 조정한다. 일례로, 트레이닝 모듈(641)은 분석 모델(640)과 관련된 내부 함수를 조정한다. 812 단계로부터, 프로세스는 804 단계로 복귀한다. 804 단계에서, 히스토리 공정 조건 데이터가 분석 모델(640)에 다시 제공된다. 분석 모델(640)의 내부 함수가 조정되었기 때문에, 분석 모델(640)은 이전 사이클에서와 다른 예측된 박막 데이터를 생성할 것이다. 프로세스는 806, 808 및 810 단계로 진행하고 집계 오차가 계산된다. 예측된 박막 데이터가 히스토리 박막 데이터와 일치하지 않으면, 프로세스는 812 단계로 복귀하고 분석 모델(640)의 내부 함수가 다시 조정된다. 이 프로세스는 분석 모델(640)이 히스토리 박막 데이터(644)와 일치하는 예측 박막 데이터를 생성할 때까지 반복적으로 진행된다.In one embodiment, if the thin film data predicted at step 810 do not match the historical thin film data 644 , the process proceeds to step 812 . At step 812 , process 800 adjusts an internal function associated with analysis model 640 . In one example, the training module 641 adjusts an internal function associated with the analysis model 640 . From step 812, the process returns to step 804. At 804 , historical process condition data is provided back to the analytical model 640 . Because the internal function of the analytical model 640 has been adjusted, the analytical model 640 will produce different predicted thin film data than in the previous cycle. The process proceeds to steps 806, 808 and 810 and an aggregation error is calculated. If the predicted thin film data does not match the historical thin film data, the process returns to step 812 and the internal function of the analytical model 640 is adjusted again. This process iterates until the analytical model 640 generates predictive thin film data consistent with the historical thin film data 644 .

일 실시예에서, 예측된 박막 데이터가 히스토리 박막 데이터와 일치하면, 프로세스(800)에서 810 프로세스 단계는 814로 진행한다. 814 단계에서, 트레이닝이 완료된다. 분석 모델(640)은 이제 공정 조건을 식별하기 위해 활용될 준비가 되었으며 반도체 프로세스 시스템(600)에 의해 수행되는 박막 에칭 공정에 활용될 수 있다. 본 개시 내용의 범위를 벗어나지 않고 프로세스(800)는 여기에 예시되고 설명되지 않은 다른 단계 또는 구성을 포함할 수 있다.In one embodiment, if the predicted thin film data matches the historical thin film data, process step 810 in process 800 proceeds to 814 . At step 814 , training is complete. The analytical model 640 is now ready to be utilized to identify process conditions and may be utilized in a thin film etch process performed by the semiconductor process system 600 . Process 800 may include other steps or configurations not illustrated and described herein without departing from the scope of the present disclosure.

도 8b는 일 실시예에 따른 분석 모델(640)의 동작 양태 및 트레이닝 양태를 예시하는 블록도이다. 분석 모델(640)은 도 6 및 도 7과 관련하여 설명된 분석 모델에 대응할 수 있다. 분석 모델(640)은 도 1a-8a와 관련하여 설명된 프로세스, 구조체 및 시스템과 함께 활용될 수 있다. 전술한 바와 같이, 트레이닝 세트 데이터(642)는 이전에 수행된 복수의 박막 에칭 공정과 관련된 데이터를 포함한다. 이전에 수행된 각각의 박막 에칭 공정은 특정 공정 조건으로 수행되어 특별한 특성을 갖는 박막이 형성되었다. 이전에 수행된 각각의 박막 에칭 공정에 대한 공정 조건은 개별 공정 조건 벡터(852)로 포맷된다. 공정 조건 벡터는 복수의 데이터 필드(854)를 포함한다. 각 데이터 필드(854)는 특정 공정 조건에 대응한다.8B is a block diagram illustrating an operational aspect and a training aspect of the analysis model 640 according to an embodiment. The analysis model 640 may correspond to the analysis model described with respect to FIGS. 6 and 7 . The analytical model 640 may be utilized with the processes, structures, and systems described with respect to FIGS. 1A-8A . As mentioned above, the training set data 642 includes data related to a plurality of thin film etching processes previously performed. Each thin film etching process previously performed was performed under specific process conditions to form a thin film having special properties. The process conditions for each previously performed thin film etch process are formatted into individual process condition vectors 852 . The process condition vector includes a plurality of data fields 854 . Each data field 854 corresponds to a specific process condition.

도 8b의 예는 트레이닝 프로세스 도중에 분석 모델(640)에 전달될 단일 공정 조건 벡터(852)를 예시한다. 도 8b의 예에서, 공정 조건 벡터(852)는 9개의 데이터 필드(854)를 포함한다. 제1 데이터 필드(854)는 이전에 수행된 박막 에칭 공정 중의 온도에 대응한다. 제2 데이터 필드(856)는 이전에 수행된 박막 에칭 공정 중의 압력에 대응한다. 제3 데이터 필드(854)는 이전에 수행된 박막 에칭 공정 중의 습도에 대응한다. 제4 데이터 필드(854)는 이전에 수행된 박막 에칭 공정 중의 에칭 물질의 유량에 대응한다. 제5 데이터 필드(854)는 이전에 수행된 박막 에칭 공정 중의 에칭 물질의 상(액체, 고체 또는 기체)에 대응한다. 제6 데이터 필드(854)는 이전에 수행된 박막 에칭 공정에 사용된 앰플의 사용 기간에 대응한다. 제7 데이터 필드(854)는 이전에 수행된 박막 에칭 공정 중에 웨이퍼 상의 에칭 영역의 크기에 대응한다. 제8 데이터 필드(854)는 이전에 수행된 박막 에칭 공정 중에 사용된 웨이퍼의 표면 특징부의 밀도에 대응한다. 제9 데이터 필드는 이전에 수행된 박막 에칭 공정 중의 표면 특징부의 측벽 각도에 대응한다. 실제로, 본 개시 내용의 범위를 벗어나지 않고 각 공정 조건 벡터(852)는 도 8b에 예시된 것보다 더 많거나 더 적은 데이터 필드를 포함할 수 있다. 본 개시 내용의 범위를 벗어나지 않고 각각의 공정 조건 벡터(852)는 상이한 유형의 공정 조건을 포함할 수 있다. 도 8b에 예시된 특정 공정 조건은 단지 예로써 제공된다. 각 공정 조건은 해당 데이터 필드(854)에서 수치값으로 표현된다. 물질의 상과 같이 자연적으로 숫자로 표현되지 않는 조건 유형의 경우, 각각의 가능한 상에 번호가 할당될 수 있다.The example of FIG. 8B illustrates a single process condition vector 852 to be passed to the analytical model 640 during the training process. In the example of FIG. 8B , the process condition vector 852 includes nine data fields 854 . The first data field 854 corresponds to the temperature during the thin film etching process performed previously. The second data field 856 corresponds to the pressure during the thin film etching process performed previously. The third data field 854 corresponds to the humidity during the thin film etching process performed previously. The fourth data field 854 corresponds to the flow rate of the etching material during the thin film etching process performed previously. A fifth data field 854 corresponds to a phase (liquid, solid, or gas) of the etching material during a previously performed thin film etching process. The sixth data field 854 corresponds to the period of use of the ampoule used in the thin film etching process performed previously. The seventh data field 854 corresponds to the size of the etching area on the wafer during the thin film etching process previously performed. The eighth data field 854 corresponds to the density of the surface features of the wafer used during the thin film etching process performed previously. The ninth data field corresponds to the sidewall angle of the surface feature during the thin film etching process performed previously. Indeed, each process condition vector 852 may include more or fewer data fields than illustrated in FIG. 8B without departing from the scope of this disclosure. Each process condition vector 852 may include a different type of process condition without departing from the scope of the present disclosure. The specific process conditions illustrated in FIG. 8B are provided by way of example only. Each process condition is expressed as a numerical value in the corresponding data field 854 . For types of conditions that are not naturally numerically expressed, such as phases of matter, each possible phase can be assigned a number.

분석 모델(640)은 복수의 신경층(856a-e)을 포함한다. 각각의 신경층은 복수의 노드(858)를 포함한다. 각각의 노드(858)는 또한 뉴런(neuron)으로 불릴 수 있다. 제1 신경층(856a)으로부터의 각 노드(858)는 처리 조건 벡터(852)로부터 각 데이터 필드에 대한 데이터 값을 수신한다. 따라서, 도 8b의 예에서, 제1 신경층(856a)으로부터의 각 노드(858)는 공전 조건 벡터(852)가 9개의 데이터 필드를 가지므로 9개의 데이터 값을 수신한다. 각각의 뉴런(858)은 도 8b에서 F(x)로 표시된 개별 내부 수학 함수를 포함한다. 제1 신경층(856a)의 각 노드(858)는 공정 조건 벡터(852)의 데이터 필드(854)로부터의 데이터 값에 내부 수학 함수(F(x))를 적용함으로써 스칼라 값을 생성한다. 내부 수학 함수(F(x))에 관한 추가의 상세가 아래에 제공된다.The analysis model 640 includes a plurality of neural layers 856a - e . Each neural layer includes a plurality of nodes 858 . Each node 858 may also be called a neuron. Each node 858 from the first neural layer 856a receives a data value for each data field from the processing condition vector 852 . Thus, in the example of FIG. 8B , each node 858 from the first neural layer 856a receives 9 data values because the orbital condition vector 852 has 9 data fields. Each neuron 858 includes a separate internal mathematical function denoted F(x) in FIG. 8B . Each node 858 of the first neural layer 856a generates a scalar value by applying an internal mathematical function F(x) to the data value from the data field 854 of the process condition vector 852 . Further details regarding the internal mathematical function F(x) are provided below.

제2 신경층(856b)의 각 노드(858)는 제1 신경층(856a)의 각 노드(858)에 의해 생성된 스칼라 값을 수신한다. 따라서, 도 8b의 예에서 제2 신경층(856b)의 각 노드는 제1 신경층(856a)에 4개의 노드(858)가 존재하므로 4개의 스칼라 값을 수신한다. 제2 신경층(856b)의 각 노드(858)는 개별 내부 수학 함수(F(x))를 제1 신경층(856a)으로부터의 스칼라 값에 적용함으로써 스칼라 값을 생성한다.Each node 858 of the second neural layer 856b receives a scalar value generated by each node 858 of the first neural layer 856a. Accordingly, in the example of FIG. 8B , each node of the second neural layer 856b receives four scalar values because there are four nodes 858 in the first neural layer 856a. Each node 858 of the second neural layer 856b generates a scalar value by applying a respective internal mathematical function F(x) to the scalar value from the first neural layer 856a.

제3 신경층(856c)의 각 노드(858)는 제2 신경층(856b)의 각 노드(858)에 의해 생성된 스칼라 값을 수신한다. 따라서,도 8b의 예에서 제3 신경층(856c)의 각 노드는 제2 신경층(856b)에 5개의 노드(858)가 존재하므로, 5개의 스칼라 값을 수신한다. 제3 신경층(856c)의 각 노드(858)는 개별 내부 수학 함수(F(x))를 제2 신경층(856b)의 노드(858)로부터의 스칼라 값에 적용함으로써 스칼라 값을 생성한다.Each node 858 of the third neural layer 856c receives a scalar value generated by each node 858 of the second neural layer 856b. Accordingly, in the example of FIG. 8B , each node of the third neural layer 856c receives five scalar values because five nodes 858 exist in the second neural layer 856b. Each node 858 of the third neural layer 856c generates a scalar value by applying a respective internal mathematical function F(x) to the scalar value from the node 858 of the second neural layer 856b.

신경층(856d)의 각 노드(858)는 이전 신경층(미도시)의 각 노드(858)에 의해 생성된 스칼라 값을 수신한다. 신경층(856d)의 각 노드(858)는 제2 신경층(856b)의 노드(858)로부터의 스칼라 값에 개별 내부 수학 함수(F(x))를 적용함으로써 스칼라 값을 생성한다.Each node 858 of the neural layer 856d receives a scalar value generated by each node 858 of the previous neural layer (not shown). Each node 858 of neural layer 856d generates a scalar value by applying a respective internal mathematical function F(x) to the scalar value from node 858 of second neural layer 856b.

최종 신경층은 오직 하나의 노드(858)를 포함한다. 최종 신경층은 이전 신경층(856d)의 각 노드(858)에 의해 생성된 스칼라 값을 수신한다. 최종 신경층(856e)의 노드(858)는 신경층(856d)의 노드(858)로부터 수신된 스칼라 값에 수학 함수(F(x))를 적용함으로써 데이터 값(868)을 생성한다.The final neural layer contains only one node 858 . The final neural layer receives the scalar value generated by each node 858 of the previous neural layer 856d. Node 858 of final neural layer 856e generates data values 868 by applying a mathematical function F(x) to the scalar value received from node 858 of neural layer 856d.

도 8b의 예에서, 데이터 값(868)은 공정 조건 벡터(852)에 포함된 값에 대응하는 공정 조건 데이터에 의해 생성된 박막의 예측 된 잔여 두께에 대응한다. 다른 실시예에서, 최종 신경층(856e)은 박막 결정 배향, 박막 균일성 또는 박막의 다른 특성과 같은 특정 박막 특성에 각각 대응하는 다중 데이터를 생성할 수 있다. 최종 신경층(856e)은 생성될 각각의 출력 데이터 값에 대한 각각의 노드(858)를 포함할 것이다. 예측된 박막 두께의 경우, 엔지니어는 예측된 박막 두께(868)가 일례로 0-50 nm 등의 선택된 범위 내에 속해야 함을 지정하는 제약을 제공할 수 있다. 분석 모델(640)은 예측된 박막 두께에 대응하는 데이터 값(868)이 특정 범위 내에 속하게 되는 것을 보장하기 위해 내부 함수(F(x))를 조정할 것이다.In the example of FIG. 8B , the data value 868 corresponds to the predicted residual thickness of the thin film generated by the process condition data corresponding to the value included in the process condition vector 852 . In other embodiments, the final neural layer 856e may generate multiple data, each corresponding to a particular thin film characteristic, such as thin film crystal orientation, thin film uniformity, or other properties of the thin film. The final neural layer 856e will include a respective node 858 for each output data value to be generated. For the predicted thin film thickness, the engineer may provide a constraint specifying that the predicted thin film thickness 868 must fall within a selected range, such as 0-50 nm, for example. The analytical model 640 will adjust the internal function F(x) to ensure that the data values 868 corresponding to the predicted thin film thicknesses fall within a certain range.

머신 러닝 프로세스 중에, 분석 모델은 데이터 값(868)으로 예측된 잔여 두께를 데이터 값(870)으로 표시된 바와 같은 박막의 실제 잔여 두께와 비교한다. 전술한 바와 같이, 트레이닝 세트 데이터(642)는 각각의 히스토리 공정 조건 데이터 세트에 대해 히스토리 박막 에칭 공정에서 형성된 박막의 특성을 나타내는 박막 특성 데이터를 포함한다. 따라서, 데이터 필드(870)는 공정 조건 벡터(852)에 반영된 에칭 공정으로부터 생성된 박막의 실제 잔여 두께를 포함한다. 분석 모델(640)은 데이터 값(868)으로부터 예측된 잔여 두께를 데이터 값(870)으로부터의 실제 잔여 두께와 비교한다. 분석 모델(640)은 데이터 값(868)으로부터 예측된 잔여 두께와 데이터 값(870)으로부터의 실제 잔여 두께 사이의 오차 또는 차이를 나타내는 오차값(872)을 생성한다. 오차값(872)은 분석 모델(640)의 트레이닝에 사용된다.During the machine learning process, the analytical model compares the residual thickness predicted by data value 868 to the actual residual thickness of the thin film as indicated by data value 870 . As described above, the training set data 642 includes thin film characteristic data representing characteristics of a thin film formed in the historical thin film etching process for each historical process condition data set. Accordingly, the data field 870 contains the actual residual thickness of the thin film resulting from the etching process reflected in the process condition vector 852 . The analytical model 640 compares the predicted residual thickness from the data value 868 to the actual residual thickness from the data value 870 . The analytical model 640 generates an error value 872 representing an error or difference between the predicted residual thickness from the data value 868 and the actual residual thickness from the data value 870 . The error value 872 is used to train the analytical model 640 .

분석 모델(640)의 트레이닝은 내부 수학적 함수(F(x))를 논의함으로써 더 완전히 이해될 수 있다. 모든 노드(858)가 내부 수학 함수(F(x))로 라벨링되지만, 각 노드의 수학 함수(F(x))는 고유하다. 일례로, 각 내부 수학 함수의 형식은 다음과 같다:The training of the analytical model 640 may be more fully understood by discussing the internal mathematical function F(x). Although all nodes 858 are labeled with an internal mathematical function F(x), each node's mathematical function F(x) is unique. As an example, the form of each internal mathematical function is:

Figure pat00001
Figure pat00001

위의 수학식에서, 각 값(x1-xn)은 이전 신경층의 노드(858)로부터 수신된 데이터 값에 대응하거나, 제1 신경층(856a)의 경우, 각 값(x1-xn)은 공정 조건 벡터(852)의 데이터 필드(854)로부터의 각각의 데이터 값에 대응한다. 따라서, 주어진 노드에 대한 n은 이전 신경층의 노드 수와 동일하다. w1-wn 값은 이전 계층의 해당 노드와 관련된 스칼라 가중치이다. 분석 모델(640)은 가중치(w1-wn)의 값을 선택한다. 상수 b는 스칼라 바이어스 값이며 가중치로 곱해질 수도 있다. 노드(858)에 의해 생성된 값은 가중치(w1-wn)에 기초한다. 따라서, 각 노드(858)는 n개의 가중치(w1-wn)를 가진다. 위에 예시되지 않았지만, 각 함수(F(x))는 활성화 함수도 포함할 수 있다. 상기 수학식에 언급된 합계는 활성화 함수로 곱해진다. 활성화 함수의 예는 정류 선형 유닛(ReLU) 함수, 시그모이드 함수(sigmoid function), 쌍곡선 장력 함수(hyperbolic tension function) 또는 다른 유형의 활성화 함수를 포함할 수 있다.In the above equation, each value (x 1 -x n ) corresponds to a data value received from the node 858 of the previous neural layer, or in the case of the first neural layer 856a, each value (x 1 -x n ) ) corresponds to each data value from data field 854 of process condition vector 852 . Thus, n for a given node is equal to the number of nodes in the previous neural layer. The w 1 -w n value is the scalar weight associated with the node in the previous layer. The analysis model 640 selects the values of the weights w 1 -w n . The constant b is a scalar bias value and may be multiplied by a weight. The value generated by node 858 is based on weights w 1 -w n . Accordingly, each node 858 has n weights w 1 -w n . Although not illustrated above, each function F(x) may also include an activation function. The sum mentioned in the above equation is multiplied by an activation function. Examples of activation functions may include rectifying linear unit (ReLU) functions, sigmoid functions, hyperbolic tension functions, or other types of activation functions.

오차값(872)이 계산된 후, 분석 모델(640)은 다양한 신경층(856a-356e)의 다양한 노드(858)에 대한 가중치(w1-wn)를 조정한다. 분석 모델(640)이 가중치(w1-wn)를 조정한 후, 분석 모델(640)은 다시 입력 신경층(856a)에 공정 조건 벡터(852)를 제공한다. 가중치는 분석 모델(640)의 다양한 노드(858)마다 다르기 때문에, 예측된 잔여 두께(868)는 이전 반복에서와 다를 것이다. 분석 모델(640)은 실제 잔여 두께(870)를 예측된 잔여 두께(868)와 비교함으로써 오차값(872)을 다시 생성한다.After the error value 872 is calculated, the analysis model 640 adjusts the weights w 1 -w n for the various nodes 858 of the various neural layers 856a - 356e. After the analytical model 640 adjusts the weights w 1 -w n , the analytical model 640 again provides the process condition vector 852 to the input neural layer 856a. Because the weights are different for the various nodes 858 of the analysis model 640 , the predicted residual thickness 868 will be different than in the previous iteration. The analytical model 640 re-generates the error value 872 by comparing the actual residual thickness 870 to the predicted residual thickness 868 .

분석 모델(640)은 다양한 노드(858)와 연관된 가중치(w1-wn)를 다시 조정한다. 분석 모델(640)은 다시 공전 조건 벡터(852)를 처리하고, 예측된 잔여 두께(868) 및 연관된 오차값(872)을 생성한다. 트레이닝 프로세스는 오차값(872)이 최소화될 때까지 가중치(w1-wn)를 반복 조정하는 것을 포함한다.The analysis model 640 readjusts the weights w 1 -w n associated with the various nodes 858 . The analysis model 640 again processes the idle condition vector 852 and produces a predicted residual thickness 868 and an associated error value 872 . The training process includes iteratively adjusting the weights w 1 -w n until the error value 872 is minimized.

도 8b는 분석 모델(640)에 전달되는 단일 공정 조건 벡터(852)를 예시한다. 실제로, 트레이닝 프로세스는 분석 모델(640)을 통해 다수의 공정 조건 벡터(852)를 전달하고, 각 공정 조건 벡터(852)에 대해 예측된 잔여 두께(868)를 생성하고, 각각의 예측된 잔여 두께에 대해 연관된 오차값(872)을 생성하는 것을 포함한다. 트레이닝 프로세스는 또한 공정 조건 벡터(852)의 배치에 대한 모든 예측된 잔여 두께에 대한 평균 오차를 나타내는 집계 오차값을 생성하는 것을 포함할 수 있다. 분석 모델(640)은 공전 조건 벡터(852)의 각 배치를 처리한 후 가중치(w1-wn)를 조정한다. 트레이닝 프로세스는 모든 공전 조건 벡터(852)에 걸친 평균 오차가 선택된 임계 허용 오차보다 작을 때까지 계속된다. 평균 오차가 선택된 임계 허용 오차보다 작으면, 분석 모델(640) 트레이닝이 완료되고 분석 모델은 공정 조건에 따라 박막의 두께를 정확하게 예측하도록 트레이닝된다. 그런 다음, 분석 모델(640)은 박막 두께를 예측하고 원하는 박막 두께를 가져올 공정 조건을 선택하는 데 사용될 수 있다. 트레이닝된 모델(640)을 사용하는 동안, 수행될 현재 박막 에칭 공정에 대한 현재 공정 조건을 나타내고 공정 조건 벡터(852)에서 동일한 포맷을 갖는 공정 조건 벡터가 트레이닝된 분석 모델(640)에 제공된다. 그러면, 트레이닝된 분석 모델(640)은 이들 공정 조건으로부터 얻어질 박막의 두께를 예측할 수 있다.8B illustrates a single process condition vector 852 passed to the analytical model 640 . In practice, the training process passes a number of process condition vectors 852 through an analytical model 640 , generates a predicted residual thickness 868 for each process condition vector 852 , and each predicted residual thickness and generating an associated error value 872 for The training process may also include generating an aggregate error value representing the average error for all predicted residual thicknesses for the batch of process condition vectors 852 . The analysis model 640 adjusts the weights w 1 -w n after processing each batch of the idle condition vector 852 . The training process continues until the average error across all idle condition vectors 852 is less than the selected threshold tolerance. If the average error is less than the selected threshold tolerance, training of the analytical model 640 is completed and the analytical model is trained to accurately predict the thickness of the thin film according to the process conditions. The analytical model 640 can then be used to predict the thin film thickness and select process conditions that will result in the desired thin film thickness. While using the trained model 640 , a process condition vector representing the current process conditions for the current thin film etching process to be performed and having the same format in the process condition vector 852 is provided to the trained analysis model 640 . Then, the trained analysis model 640 may predict the thickness of the thin film to be obtained from these process conditions.

신경망 기반 분석 모델(640)의 특정 예가 도 8b와 관련하여 설명되었다. 그러나, 본 개시 내용의 범위를 벗어나지 않고 다른 유형의 신경망 기반 분석 모델 또는 신경망 이외의 유형의 분석 모델이 적용될 수 있다. 더욱이, 본 개시 내용의 범위를 벗어나지 않고 신경망은 상이한 수의 노드를 갖는 상이한 수의 신경층을 포함할 수 있다.A specific example of a neural network-based analysis model 640 has been described with respect to FIG. 8B . However, other types of neural network-based analysis models or types of analysis models other than neural networks may be applied without departing from the scope of the present disclosure. Moreover, a neural network may include different numbers of neural layers with different numbers of nodes without departing from the scope of the present disclosure.

도 9는 일 실시예에 따라 박막 에칭 공정을 위한 공정 조건을 동적으로 선택하고 박막 에칭 공정을 수행하기 위한 프로세스(900)의 흐름도이다. 프로세스(900)의 다양한 단계는 도 1a-8b와 관련하여 설명된 구성 요소, 프로세스 및 기술을 활용할 수 있다. 따라서, 도 9는 도 6-3b를 참조로 설명된다.9 is a flow diagram of a process 900 for dynamically selecting process conditions for a thin film etch process and performing a thin film etch process in accordance with one embodiment. The various steps of process 900 may utilize the components, processes, and techniques described with respect to FIGS. 1A-8B . Accordingly, Fig. 9 is described with reference to Figs. 6-3B.

902에서, 프로세스(900)는 분석 모델(640)에 목표 박막 조건 데이터를 제공한다. 목표 박막 조건 데이터는 박막 에칭 공정에 의해 형성될 박막의 선택된 특성을 식별한다. 목표 박막 조건 데이터는 박막의 목표 잔여 두께, 목표 조성, 목표 결정 구조 또는 다른 특성을 포함할 수 있다. 목표 박막 조건 데이터는 다양한 두께를 포함할 수 있다. 선택될 수 있는 목표 조건 또는 특성은 트레이닝 프로세스에 활용되는 박막 특성(들)을 기초로 한다. 도 8b의 예에서, 트레이닝 프로세스는 박막 두께에 촛점을 맞춘 것이다.At 902 , process 900 provides target thin film condition data to analysis model 640 . The target thin film condition data identifies selected characteristics of the thin film to be formed by the thin film etching process. The target thin film condition data may include a target residual thickness, a target composition, a target crystal structure, or other properties of the thin film. The target thin film condition data may include various thicknesses. The target condition or characteristic that may be selected is based on the thin film characteristic(s) utilized in the training process. In the example of FIG. 8B , the training process is focused on thin film thickness.

904에서, 프로세스(900)는 분석 모델(640)에 정적 공정 조건을 제공한다. 정적 공정 조건은 다음 박막 에칭 공정을 위해 조정되지 않을 공정 조건을 포함한다. 정적 공정 조건은 박막 에칭 공정이 수행될 웨이퍼 상의 패턴 밀도를 나타내는 목표 디바이스 패턴 밀도를 포함할 수 있다. 정적 공정 조건은 유효 평면 영역 결정 배향, 유효 평면 영역 거칠기 지수, 반도체 웨이퍼 표면 상의 특징부의 유효 측벽 면적, 노출된 유효 측벽 경사각, 노출된 표면막 작용기, 노출된 측벽막 작용기, 반도체 웨이퍼의 회전 또는 틸팅, 공정 가스 파라미터(재료, 재료의 상 및 재료의 온도), 유체 공급원(608, 610)에 남아있는 물질 유체의 잔류량, 퍼지 공급원(612, 614) 내의 유체 잔류량, 공정 챔버 내의 습도, 에칭 공정에 사용된 앰플의 사용 기간, 공정 챔버 내의 광 흡수 또는 반사, 공정 챔버에 유체를 제공할 파이프 또는 도관의 길이 또는 다른 조건을 포함할 수 있다. 정적 공정 조건은 본 개시 내용의 범위를 벗어나지 않고 상기 설명된 것과 다른 조건을 포함할 수 있다. 또한, 일부 경우에, 상기 열거된 정적 공정 조건 중 일부는 아래에서 더 자세히 설명되는 바와 같이 조정될 수 있는 동적 공정 조건일 수 있다. 도 8b의 예에서, 동적 공정 조건은 온도, 압력, 습도 및 유량을 포함한다. 정적 공정 조건은 상, 앰플 사용 기간, 에칭 면적, 에칭 밀도 및 측벽 각도를 포함한다.At 904 , the process 900 provides static process conditions to the analytical model 640 . Static process conditions include process conditions that will not be adjusted for the next thin film etch process. The static process conditions may include a target device pattern density indicative of a pattern density on a wafer to be subjected to a thin film etch process. Static process conditions include effective planar area crystal orientation, effective planar area roughness index, effective sidewall area of features on the semiconductor wafer surface, exposed effective sidewall tilt angle, exposed surface film functionalities, exposed sidewall functionalities, rotation or tilting of the semiconductor wafer. , process gas parameters (material, phase of material and temperature of material), residual amount of material fluid remaining in fluid sources 608, 610, residual fluid in purge sources 612, 614, humidity in process chamber, etching process may include the age of the ampoules used, the absorption or reflection of light within the process chamber, the length of the pipe or conduit that will provide fluid to the process chamber, or other conditions. Static process conditions may include conditions other than those described above without departing from the scope of the present disclosure. Also, in some cases, some of the static process conditions enumerated above may be dynamic process conditions that can be adjusted as described in more detail below. In the example of FIG. 8B, the dynamic process conditions include temperature, pressure, humidity and flow rate. Static process conditions include phase, ampoule age, etch area, etch density, and sidewall angle.

906에서, 프로세스(900)는 일 실시예에 따라 분석 모델에 대한 동적 공정 조건을 선택한다. 동적 공정 조건은 정적 공정 조건으로 지정되지 않은 모든 공정 조건을 포함할 수 있다. 예를 들어, 트레이닝 세트 데이터는 히스토리 공정 조건 데이터(646)에 많은 다양한 유형의 공정 조건 데이터를 포함할 수 있다. 이러한 유형의 공정 조건 중 일부는 정적 공정 조건으로 정의되고 이러한 유형의 공정 조건 중 일부는 동적 공정 조건으로 정의될 것이다. 따라서, 904 단계에서 정적 공정 조건이 공급될 때, 나머지 유형의 공정 조건은 동적 공정 조건으로 정의될 수 있다. 분석 모델(640)은 초기에 동적 공정 조건에 대한 초기값을 선택할 수 있다. 동적 공정 조건에 대한 초기값을 선택한 후, 분석 모델은 분석할 전체 공정 조건 세트를 가진다. 일 실시예에서, 동적 공정 조건에 대한 초기값은 이전에 결정된 출발값을 기반으로 하거나 다른 방식에 따라 선택될 수 있다.At 906 , the process 900 selects dynamic process conditions for the analytical model according to one embodiment. Dynamic process conditions may include any process conditions that are not specified as static process conditions. For example, the training set data may include many different types of process condition data in the historical process condition data 646 . Some of these types of process conditions will be defined as static process conditions and some of these types of process conditions will be defined as dynamic process conditions. Accordingly, when static process conditions are supplied in step 904 , the remaining types of process conditions may be defined as dynamic process conditions. The analytical model 640 may initially select initial values for dynamic process conditions. After selecting the initial values for the dynamic process conditions, the analytical model has the entire set of process conditions to be analyzed. In one embodiment, the initial values for the dynamic process conditions may be selected based on previously determined starting values or according to other schemes.

동적 공정 조건은 에칭 공정 중의 유체 공급원(608, 610)으로부터의 유체 또는 물질의 유량을 포함할 수 있다. 동적 공정 조건은 퍼지 공급원(612, 614)으로부터의 유체 또는 물질의 유량을 포함할 수 있다. 동적 공정 조건은 공정 챔버 내의 압력, 공정 챔버 내의 온도, 공정 챔버 내의 습도, 에칭 공정의 다양한 단계의 지속 시간 또는 공정 챔버 내에 생성된 전압 또는 전기장을 포함할 수 있다. 동적 공정 조건은 본 개시 내용의 범위를 벗어나지 않고 다른 유형의 조건을 포함할 수 있다.Dynamic process conditions may include flow rates of fluids or materials from fluid sources 608 , 610 during the etching process. Dynamic process conditions may include flow rates of fluids or materials from purge sources 612 , 614 . Dynamic process conditions may include pressure within the process chamber, temperature within the process chamber, humidity within the process chamber, durations of various steps of the etching process, or voltages or electric fields generated within the process chamber. Dynamic process conditions may include other types of conditions without departing from the scope of the present disclosure.

908에서, 분석 모델(640)은 정적 및 동적 공정 조건에 기초하여 예측된 박막 데이터를 생성한다. 예측된 박막 데이터는 목표 박막 조건 데이터에 설정된 동일한 유형의 박막 특성을 포함한다. 특히, 예측된 박막 데이터는 도 8a 및 도 8b와 관련하여 설명된 트레이닝 프로세스로부터 예측된 박막 데이터의 유형을 포함한다. 예를 들어, 예측된 박막 데이터는 박막의 박막 두께, 박막 조성 또는 다른 파라미터를 포함할 수 있다.At 908 , the analytical model 640 generates predicted thin film data based on the static and dynamic process conditions. The predicted thin film data includes the same type of thin film characteristics set in the target thin film condition data. In particular, the predicted thin film data includes the type of thin film data predicted from the training process described with respect to FIGS. 8A and 8B . For example, the predicted thin film data may include thin film thickness, thin film composition, or other parameters of the thin film.

910에서, 프로세스는 예측된 박막 데이터를 목표 박막 데이터와 비교한다. 특히, 분석 모델(640)은 예측된 박막 데이터와 목표 박막 데이터를 비교한다. 비교는 예측된 박막 데이터가 목표 박막 데이터와 얼마나 가까이 일치하는 지를 나타낸다. 비교는 예측된 박막 데이터가 목표 박막 데이터에 의해 설정된 허용 오차 또는 범위 내에 있는 지 여부를 나타낼 수 있다. 예를 들어, 목표 박막 두께가 6-9 nm인 경우, 비교는 예측된 박막 데이터가 이 범위 내에 있는 지 여부를 나타내게 된다.At 910 , the process compares the predicted thin film data to the target thin film data. In particular, the analysis model 640 compares the predicted thin film data with the target thin film data. The comparison indicates how closely the predicted thin film data matches the target thin film data. The comparison may indicate whether the predicted thin film data is within a tolerance or range set by the target thin film data. For example, if the target thin film thickness is 6-9 nm, the comparison will indicate whether the predicted thin film data is within this range.

912에서, 예측된 박막 데이터가 목표 박막 데이터와 일치하지 않으면, 프로세스는 914로 진행한다. 914에서, 분석 모델(640)은 동적 공정 조건 데이터를 조정한다. 914에서, 프로세스는 908로 복귀한다. 908에서, 분석 모델(640)은 다시 정적 공정 조건 및 조정된 동적 공정 조건을 기초로 예측된 박막 데이터를 생성한다. 그런 다음, 분석 모델은 910에서 예측된 박막 데이터를 목표 박막 데이터와 비교한다. 912에서, 예측된 박막 데이터가 목표 박막 데이터와 일치하지 않으면, 프로세스가 914로 진행되고 분석 모델(640)은 다시 동적 공정 조건을 조정한다. 이 프로세스는 목표 박막 데이터와 일치하는 예측 박막 데이터가 생성될 때까지 진행된다. 예측된 박막 데이터가 목표 박막 데이터(912)와 일치하면, 프로세스는 916으로 진행한다.At 912 , if the predicted thin film data does not match the target thin film data, the process proceeds to 914 . At 914 , the analytical model 640 adjusts the dynamic process condition data. At 914 , the process returns to 908 . At 908 , the analytical model 640 again generates predicted thin film data based on the static process conditions and the adjusted dynamic process conditions. Then, the analysis model compares the predicted thin film data with the target thin film data at 910 . At 912 , if the predicted thin film data does not match the target thin film data, the process proceeds to 914 and the analytical model 640 again adjusts the dynamic process conditions. This process continues until predicted thin film data matching the target thin film data is generated. If the predicted thin film data matches the target thin film data 912 , the process proceeds to 916 .

916에서, 프로세스(900)는 목표 박막 데이터 내에서 예측된 박막 데이터를 획득한 동적 공정 조건을 기초로 반도체 프로세스 시스템(600)의 박막 공정 조건을 조정한다. 예를 들어, 제어 시스템(624)은 동적 공정 조건 데이터에 따라 유체 유량, 에칭 단계 지속 기간, 압력, 온도, 습도 또는 기타 인자를 조정할 수 있다.At 916 , the process 900 adjusts thin film processing conditions of the semiconductor process system 600 based on the dynamic process conditions obtained with the thin film data predicted within the target thin film data. For example, control system 624 may adjust fluid flow rate, etch step duration, pressure, temperature, humidity, or other factors according to dynamic process condition data.

918에서, 반도체 프로세스 시스템(600)은 분석 모델에 의해 식별된 조정된 동적 공정 조건에 따라 박막 에칭 공정을 수행한다. 일 실시예에서, 박막 에칭 공정은 ALE 공정이다. 그러나, 본 개시 내용의 범위를 벗어나지 않고 다른 박막 에칭 공정이 적용될 수 있다. 일 실시예에서, 반도체 프로세스 시스템(600)은 박막 에칭 공정 내의 개별 에칭 단계 사이의 분석 모델을 기초로 공정 파라미터를 조정한다. 예를 들어, ALE 공정에서 박막은 한 번에 한 층씩 에칭된다. 분석 모델(640)은 다음 층의 에칭에 활용될 파라미터를 식별할 수 있다. 따라서, 반도체 공정 시스템은 다양한 에칭 단계 사이에서 에칭 조건을 조정할 수 있다.At 918 , the semiconductor process system 600 performs a thin film etch process according to the adjusted dynamic process conditions identified by the analytical model. In one embodiment, the thin film etch process is an ALE process. However, other thin film etching processes may be applied without departing from the scope of the present disclosure. In one embodiment, semiconductor process system 600 adjusts process parameters based on analytical models between individual etch steps within a thin film etch process. For example, in ALE processes, thin films are etched one layer at a time. The analysis model 640 may identify parameters to be utilized for etching the next layer. Thus, the semiconductor processing system can adjust the etch conditions between the various etch steps.

일 실시예에서, 집적 회로는 단자를 포함하는 트랜지스터를 포함한다. 집적 회로는 유전체 층 - 해당 유전체 층은 단자 상에 배치되고, 제1 단자를 노출하고 측벽을 포함하는 제1 트렌치를 구비함 -, 단자 상에 배치된 제1 장벽층, 그리고 제1 장벽층 및 측벽 상에 배치되고 트렌치의 제1 장벽층의 수직 높이보다 높은 트렌치의 수직 높이를 가지는 제2 장벽층을 포함한다. 집적 회로는 트렌치 내에 위치되고 제2 장벽층과 접촉하는 도전 플러그를 포함한다.In one embodiment, an integrated circuit includes a transistor including a terminal. The integrated circuit comprises a dielectric layer, the dielectric layer disposed over the terminal and having a first trench exposing the first terminal and including a sidewall, a first barrier layer disposed over the terminal, and a first barrier layer and and a second barrier layer disposed on the sidewall and having a vertical height of the trench greater than a vertical height of the first barrier layer of the trench. The integrated circuit includes a conductive plug positioned within the trench and in contact with the second barrier layer.

일 실시예에서, 방법은 트랜지스터의 단자 상에 유전체 층을 형성하는 단계, 유전체 층에 트렌치를 형성함으로써 단자를 노출시키는 단계, 및 트랜지스터의 단자 상의 트렌치에 제1 티타늄 질화물 층을 형성하는 단계를 포함한다. 이 방법은 제1 장벽층 위의 트렌치 내부와 및 트렌치의 측벽 상에 제2 티타늄 질화물 층을 형성하고 트렌치 내에 코발트 플러그를 형성하는 단계를 포함한다.In one embodiment, a method includes forming a dielectric layer on a terminal of the transistor, exposing the terminal by forming a trench in the dielectric layer, and forming a first titanium nitride layer in the trench on the terminal of the transistor do. The method includes forming a second titanium nitride layer in the trench over the first barrier layer and on sidewalls of the trench and forming a cobalt plug in the trench.

일 실시예에서, 방법은 원자층 에칭 공정에 대한 파라미터를 선택하도록 머신 러닝 프로세스로 분석 모델을 트레이닝하는 단계와 트랜지스터 위의 박막을 에칭하는 단계를 포함한다. 방법은 선택된 에칭 파라미터를 포함하는 원자층 공정으로 박막을 에칭하기 위한 에칭 파라미터를 선택하는 단계를 포함한다.In one embodiment, a method includes training an analytical model with a machine learning process to select parameters for an atomic layer etching process and etching a thin film over a transistor. The method includes selecting etch parameters for etching the thin film with an atomic layer process comprising the selected etch parameters.

본 개시 내용의 실시예는 신뢰할 수 있는 두께 및 조성의 박막을 제공한다. 본 개시 내용의 실시예는 박막이 원하는 특성을 갖도록 보장하기 위해 공정 파라미터를 동적으로 조정한다.Embodiments of the present disclosure provide thin films of reliable thickness and composition. Embodiments of the present disclosure dynamically adjust process parameters to ensure that the thin film has the desired properties.

전술한 다양한 실시예들은 추가의 실시예들을 제공하기 위해 결합될 수 있다. 본 명세서에 언급되고 및/또는 출원 데이터 시트에 열거된 모든 미국 특허 출원 공보 및 미국 특허 출원은 그 전체가 본원에 참조로 포함된다. 실시예의 양태는 다른 추가의 실시예를 제공하기 위해 다양한 특허, 출원 및 간행물의 개념을 채용하도록 필요한 경우 변형될 수 있다.The various embodiments described above may be combined to provide further embodiments. All US patent application publications and US patent applications referred to herein and/or listed in the application data sheet are hereby incorporated by reference in their entirety. Aspects of the embodiments may be modified as necessary to employ the concepts of various patents, applications, and publications to provide still further embodiments.

상기 상세한 설명을 고려하여 상기 실시예들에 이들 및 다른 변경을 행할 수 있다. 일반적으로, 다음의 청구범위에서 사용되는 용어는 청구범위를 명세서 및 청구범위에 개시된 특정 실시예에 제한하는 것으로 해석되어서는 안되며, 이러한 청구범위의 자격의 균등물의 전체 범위와 함께 가능한 모든 실시예를 포함하는 것으로 해석되어야 한다. 따라서, 청구범위는 본 개시 내용에 의해 제한되지 않는다.These and other changes can be made to the above embodiments in light of the above detailed description. In general, the terminology used in the following claims is not to be construed as limiting the claims to the specific embodiments disclosed in the specification and claims, but rather to limit all possible embodiments together with the full scope of equivalents of the entitlement of such claims. should be construed as including Accordingly, the claims are not limited by the present disclosure.

[실시예 1][Example 1]

집적 회로로서,An integrated circuit comprising:

단자를 포함하는 트랜지스터;a transistor including a terminal;

상기 단자 상에 배치되고, 상기 단자를 노출시키고 측벽을 포함하는 제1 트렌치를 가지는 유전체 층;a dielectric layer disposed over the terminal, the dielectric layer exposing the terminal and having a first trench including a sidewall;

상기 단자 상에 배치된 제1 장벽층;a first barrier layer disposed on the terminal;

상기 제1 장벽층 상에 그리고 상기 측벽 상에 배치되고 상기 트렌치 내의 상기 제1 장벽층의 수직 높이(vertical extent)보다 높은 상기 트렌치 내의 수직 높이를 가지는 제2 장벽층; 및a second barrier layer disposed on the first barrier layer and on the sidewall and having a vertical height in the trench greater than a vertical extent of the first barrier layer in the trench; and

상기 트렌치 내에 위치되고 상기 제2 장벽층과 접촉하는 도전 플러그a conductive plug positioned within the trench and in contact with the second barrier layer

를 포함하는, 집적 회로.comprising: an integrated circuit.

[실시예 2][Example 2]

실시예 1에 있어서,In Example 1,

상기 제1 장벽층은 상기 제2 장벽층 아래의 상기 측벽 상에 위치된 것인, 집적 회로.and the first barrier layer is located on the sidewall below the second barrier layer.

[실시예 3][Example 3]

실시예 1에 있어서,In Example 1,

상기 제2 장벽층은 상기 제1 장벽층을 상기 측벽으로부터 격리시키는 것인, 집적 회로.and the second barrier layer isolates the first barrier layer from the sidewall.

[실시예 4][Example 4]

실시예 1에 있어서,In Example 1,

상기 제1 및 제2 장벽층은 티타늄 질화물인 것인, 집적 회로.wherein the first and second barrier layers are titanium nitride.

[실시예 5][Example 5]

실시예 4에 있어서,In Example 4,

상기 도전 플러그는 코발트인 것인, 집적 회로.and the conductive plug is cobalt.

[실시예 6][Example 6]

실시예 4에 있어서,In Example 4,

상기 제1 장벽층은 티타늄의 질화에 의해 형성되는 것인, 집적 회로.and the first barrier layer is formed by nitridation of titanium.

[실시예 7][Example 7]

실시예 6에 있어서,In Example 6,

상기 제1 장벽층은 원자층 성막 공정으로 형성되는 것인, 집적 회로.wherein the first barrier layer is formed by an atomic layer deposition process.

[실시예 8][Example 8]

실시예 1에 있어서,In Example 1,

상기 단자는 상기 트랜지스터의 금속 게이트인 것인, 집적 회로.and the terminal is a metal gate of the transistor.

[실시예 9][Example 9]

실시예 1에 있어서,In Example 1,

상기 단자는 상기 트랜지스터의 소스 단자인 것인, 집적 회로.and the terminal is a source terminal of the transistor.

[실시예 10][Example 10]

실시예 1에 있어서,In Example 1,

상기 트랜지스터는 복수의 반도체 나노시트를 포함하는 것인, 집적 회로.wherein the transistor comprises a plurality of semiconductor nanosheets.

[실시예 11][Example 11]

방법으로서,As a method,

트랜지스터의 단자 상에 유전체 층을 형성하는 단계;forming a dielectric layer on the terminals of the transistor;

상기 유전체 층에 트렌치를 형성하는 것에 의해 상기 단자를 노출시키는 단계;exposing the terminal by forming a trench in the dielectric layer;

상기 트랜지스터의 단자 상의 트렌치에 제1 티타늄 질화물 층을 형성하는 단계;forming a first titanium nitride layer in a trench on a terminal of the transistor;

상기 트렌치 내에서 상기 제1 장벽층 위 및 상기 트렌치의 측벽 상에 제2 티타늄 질화물 층을 형성하는 단계; 및forming a second titanium nitride layer in the trench over the first barrier layer and on sidewalls of the trench; and

상기 트렌치 내에 코발트 플러그를 형성하는 단계forming a cobalt plug in the trench;

를 포함하는, 방법.A method comprising

[실시예 12][Example 12]

실시예 11에 있어서,In Example 11,

상기 제1 장벽층의 원자층 에칭 공정을 위한 파라미터를 선택하는 단계; 및selecting parameters for an atomic layer etching process of the first barrier layer; and

상기 선택된 파라미터를 포함하는 상기 원자층 에칭 공정으로 상기 트렌치 내의 선택된 높이로 상기 제1 티타늄 질화물 층을 에칭하는 것에 의해 상기 트렌치 내에 상기 제1 티타늄 질화물 층을 형성하는 단계forming the first titanium nitride layer in the trench by etching the first titanium nitride layer to a selected height within the trench with the atomic layer etch process comprising the selected parameters;

를 더 포함하는, 방법.A method further comprising:

[실시예 13][Example 13]

실시예 11에 있어서,In Example 11,

상기 제1 티타늄 질화물 층을 형성하는 단계는,The step of forming the first titanium nitride layer,

티타늄의 층을 성막하는 단계; 및 depositing a layer of titanium; and

상기 티타늄을 질화시키는 단계 nitriding the titanium

를 포함하는 것인, 방법.A method comprising

[실시예 14][Example 14]

실시예 13에 있어서,In Example 13,

상기 제1 티타늄 질화물 층을 질화시키는 것은 상기 티타늄의 존재하에서 NH3를 유동시키는 것을 포함하는 것인, 방법.and nitridizing the first titanium nitride layer comprises flowing NH 3 in the presence of the titanium.

[실시예 15][Example 15]

실시예 13에 있어서,In Example 13,

상기 제2 티타늄 질화물 층을 형성하는 단계는 원자층 성막 공정을 수행하는 단계를 포함하는 것인, 방법.wherein forming the second titanium nitride layer comprises performing an atomic layer deposition process.

[실시예 16][Example 16]

실시예 11에 있어서,In Example 11,

상기 코발트 플러그를 형성하는 단계는 무전해 코발트 도금 공정을 수행하는 단계를 포함하는 것인, 방법.The method of claim 1, wherein forming the cobalt plug comprises performing an electroless cobalt plating process.

[실시예 17][Example 17]

방법으로서,As a method,

원자층 에칭 공정을 위한 파라미터를 선택하도록 머신 러닝 프로세스로 분석 모델을 트레이닝하는 단계;training an analytical model with a machine learning process to select parameters for an atomic layer etch process;

트랜지스터 위에 박막을 성막하는 단계;forming a thin film on the transistor;

상기 박막을 에칭하기 위한 에칭 파라미터를 선택하는 단계; 및selecting etching parameters for etching the thin film; and

상기 선택된 에칭 파라미터를 포함하는 원자층 에칭 공정으로 상기 박막을 에칭하는 단계etching the thin film with an atomic layer etching process comprising the selected etching parameters;

를 포함하는, 방법.A method comprising

[실시예 18][Example 18]

실시예 17에 있어서,In Example 17,

상기 선택된 파라미터는 원자층 에칭 사이클의 수를 포함하는 것인, 방법.wherein the selected parameter comprises a number of atomic layer etch cycles.

[실시예 19][Example 19]

실시예 18에 있어서,In Example 18,

상기 선택된 파라미터는 에칭 유체의 유량을 포함하는 것인, 방법.wherein the selected parameter comprises a flow rate of the etching fluid.

[실시예 20][Example 20]

실시예 17에 있어서,In Example 17,

상기 분석 모델은 부분적으로 상기 박막의 선택된 잔여 두께를 기초로 상기 파라미터를 선택하는 것인, 방법.and the analytical model selects the parameter based in part on the selected residual thickness of the thin film.

Claims (10)

집적 회로로서,
단자를 포함하는 트랜지스터;
상기 단자 상에 배치되고, 상기 단자를 노출시키고 측벽을 포함하는 제1 트렌치를 가지는 유전체 층;
상기 단자 상에 배치된 제1 장벽층;
상기 제1 장벽층 상에 그리고 상기 측벽 상에 배치되고 상기 트렌치 내의 상기 제1 장벽층의 수직 높이(vertical extent)보다 높은 상기 트렌치 내의 수직 높이를 가지는 제2 장벽층; 및
상기 트렌치 내에 위치되고 상기 제2 장벽층과 접촉하는 도전 플러그
를 포함하는, 집적 회로.
An integrated circuit comprising:
a transistor including a terminal;
a dielectric layer disposed over the terminal, the dielectric layer exposing the terminal and having a first trench including a sidewall;
a first barrier layer disposed on the terminal;
a second barrier layer disposed on the first barrier layer and on the sidewall and having a vertical height in the trench greater than a vertical extent of the first barrier layer in the trench; and
a conductive plug positioned within the trench and in contact with the second barrier layer
comprising: an integrated circuit.
제1항에 있어서,
상기 제1 장벽층은 상기 제2 장벽층 아래의 상기 측벽 상에 위치된 것인, 집적 회로.
According to claim 1,
and the first barrier layer is located on the sidewall below the second barrier layer.
제1항에 있어서,
상기 제2 장벽층은 상기 제1 장벽층을 상기 측벽으로부터 격리시키는 것인, 집적 회로.
According to claim 1,
and the second barrier layer isolates the first barrier layer from the sidewall.
제1항에 있어서,
상기 제1 및 제2 장벽층은 티타늄 질화물인 것인, 집적 회로.
According to claim 1,
wherein the first and second barrier layers are titanium nitride.
제4항에 있어서,
상기 도전 플러그는 코발트인 것인, 집적 회로.
5. The method of claim 4,
and the conductive plug is cobalt.
제4항에 있어서,
상기 제1 장벽층은 티타늄의 질화에 의해 형성되는 것인, 집적 회로.
5. The method of claim 4,
and the first barrier layer is formed by nitridation of titanium.
제1항에 있어서,
상기 단자는 상기 트랜지스터의 금속 게이트 또는 소스 단자인 것인, 집적 회로.
According to claim 1,
and the terminal is a metal gate or source terminal of the transistor.
제1항에 있어서,
상기 트랜지스터는 복수의 반도체 나노시트를 포함하는 것인, 집적 회로.
According to claim 1,
wherein the transistor comprises a plurality of semiconductor nanosheets.
방법으로서,
트랜지스터의 단자 상에 유전체 층을 형성하는 단계;
상기 유전체 층에 트렌치를 형성하는 것에 의해 상기 단자를 노출시키는 단계;
상기 트랜지스터의 단자 상의 상기 트렌치에 제1 티타늄 질화물 층을 형성하는 단계;
상기 트렌치 내에서 상기 제1 장벽층 위 및 상기 트렌치의 측벽 상에 제2 티타늄 질화물 층을 형성하는 단계; 및
상기 트렌치 내에 코발트 플러그를 형성하는 단계
를 포함하는, 방법.
As a method,
forming a dielectric layer on the terminals of the transistor;
exposing the terminal by forming a trench in the dielectric layer;
forming a first layer of titanium nitride in the trench on a terminal of the transistor;
forming a second titanium nitride layer in the trench over the first barrier layer and on sidewalls of the trench; and
forming a cobalt plug in the trench;
A method comprising
방법으로서,
원자층 에칭 공정을 위한 파라미터를 선택하도록 머신 러닝 프로세스로 분석 모델을 트레이닝하는 단계;
트랜지스터 위에 박막을 성막하는 단계;
상기 박막을 에칭하기 위한 에칭 파라미터를 선택하는 단계; 및
상기 선택된 에칭 파라미터를 포함하는 원자층 에칭 공정으로 상기 박막을 에칭하는 단계
를 포함하는, 방법.
As a method,
training an analytical model with a machine learning process to select parameters for an atomic layer etch process;
forming a thin film on the transistor;
selecting etching parameters for etching the thin film; and
etching the thin film with an atomic layer etching process comprising the selected etching parameters;
A method comprising
KR1020210057287A 2020-06-22 2021-05-03 Semiconductor device with contact structure KR20210157858A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063042172P 2020-06-22 2020-06-22
US63/042,172 2020-06-22
US17/193,807 2021-03-05
US17/193,807 US11776900B2 (en) 2020-06-22 2021-03-05 Semiconductor device with contact structure

Publications (1)

Publication Number Publication Date
KR20210157858A true KR20210157858A (en) 2021-12-29

Family

ID=77933037

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210057287A KR20210157858A (en) 2020-06-22 2021-05-03 Semiconductor device with contact structure

Country Status (5)

Country Link
US (2) US11776900B2 (en)
KR (1) KR20210157858A (en)
CN (1) CN113488466A (en)
DE (1) DE102021106324A1 (en)
TW (1) TWI776494B (en)

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140108960A (en) 2013-03-04 2014-09-15 삼성전자주식회사 Semiconductor device having dual metal silicide layer and method of manufacturing the same
CN104078445A (en) * 2013-03-29 2014-10-01 联华电子股份有限公司 Plug structure and manufacturing technology thereof
US9263281B2 (en) * 2013-08-30 2016-02-16 Vanguard International Semiconductor Corporation Contact plug and method for manufacturing the same
CN105374857B (en) * 2014-08-26 2018-07-10 中芯国际集成电路制造(上海)有限公司 Metal gate structure and forming method thereof
US9679813B2 (en) * 2015-05-12 2017-06-13 United Microelectronics Corp. Semiconductor structure and process for forming plug including layer with pulled back sidewall part
US9972478B2 (en) 2016-09-16 2018-05-15 Lam Research Corporation Method and process of implementing machine learning in complex multivariate wafer processing equipment
KR102217242B1 (en) * 2017-03-08 2021-02-18 삼성전자주식회사 Integrated circuit device and method of manufacturing the same
CN109087864B (en) 2017-06-14 2021-10-15 中芯国际集成电路制造(上海)有限公司 Semiconductor device and method of forming the same
US10651292B2 (en) 2018-02-19 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Dual metal via for contact resistance reduction
US11520953B2 (en) * 2018-05-03 2022-12-06 Lam Research Corporation Predicting etch characteristics in thermal etching and atomic layer etching
US11417566B2 (en) 2018-07-31 2022-08-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device structure with interconnect structure and method for forming the same
US11038036B2 (en) 2018-09-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Separate epitaxy layers for nanowire stack GAA device
JP2020155562A (en) * 2019-03-20 2020-09-24 ソニーセミコンダクタソリューションズ株式会社 Semiconductor device and manufacturing method thereof
US11164960B1 (en) * 2020-04-28 2021-11-02 International Business Machines Corporation Transistor having in-situ doped nanosheets with gradient doped channel regions

Also Published As

Publication number Publication date
US11776900B2 (en) 2023-10-03
TWI776494B (en) 2022-09-01
CN113488466A (en) 2021-10-08
TW202218085A (en) 2022-05-01
DE102021106324A1 (en) 2021-12-23
US20210398900A1 (en) 2021-12-23
US20230378057A1 (en) 2023-11-23

Similar Documents

Publication Publication Date Title
US11699736B2 (en) Gate structure and method
KR102544896B1 (en) Capacitor, memory device, and method
US20230065446A1 (en) Integrated logic and passive device structure
US20230369057A1 (en) Semiconductor device with metal gate fill structure
US20230063248A1 (en) Rram device as physical unclonable function device and manufacturing method
US20230270022A1 (en) Rram device structure and manufacturing method
US20220359656A1 (en) Semiconductor device with silicide gate fill structure
KR20210157858A (en) Semiconductor device with contact structure
US20220293750A1 (en) Integrated circuit including backside conductive vias
US12040375B2 (en) Multi-silicide structure for a semiconductor device and a method for manufacturing the same
US20220302278A1 (en) Multi-silicide structure for a semiconductor device and a method for manufacturing the same

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E90F Notification of reason for final refusal