KR20210110146A - Semiconductor device including recessed interconnect structure - Google Patents

Semiconductor device including recessed interconnect structure Download PDF

Info

Publication number
KR20210110146A
KR20210110146A KR1020200088745A KR20200088745A KR20210110146A KR 20210110146 A KR20210110146 A KR 20210110146A KR 1020200088745 A KR1020200088745 A KR 1020200088745A KR 20200088745 A KR20200088745 A KR 20200088745A KR 20210110146 A KR20210110146 A KR 20210110146A
Authority
KR
South Korea
Prior art keywords
interconnect
interconnect structure
gate
gate structure
semiconductor device
Prior art date
Application number
KR1020200088745A
Other languages
Korean (ko)
Other versions
KR102448773B1 (en
Inventor
구오-훼이 우
후이-종 주앙
치흐-리앙 첸
쳉-치 추앙
샹-웬 창
이-순 치우
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20210110146A publication Critical patent/KR20210110146A/en
Application granted granted Critical
Publication of KR102448773B1 publication Critical patent/KR102448773B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Noodles (AREA)
  • Bipolar Transistors (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)

Abstract

A semiconductor device includes a first gate structure extended along a first lateral direction. The semiconductor device includes a first interconnect structure disposed over a first gate structure that is extended along a second lateral direction perpendicular to the first lateral direction. The first interconnect structure includes a first portion and a second portion that are electrically isolated from each other by a first dielectric structure. The semiconductor device includes a second interconnect structure disposed between the first gate structure and the first interconnect structure that electrically couples the first gate structure to the first portion of the first interconnect structure. The second interconnect structure includes a recessed portion substantially aligned with the first gate structure and the dielectric structure along a vertical direction.

Description

리세싱된 상호연결 구조체를 포함하는 반도체 디바이스{SEMICONDUCTOR DEVICE INCLUDING RECESSED INTERCONNECT STRUCTURE}A semiconductor device comprising a recessed interconnect structure.

반도체 집적 회로(integrated circuit; IC) 산업은 기하 급수적 성장을 경험하여 왔다. 반도체 ID 설계에서, 칩 상에서의 반도체 디바이스의 설계를 위해 표준 셀 방법론(standard cells methodologies)이 일반적으로 사용된다. 표준 셀 방법론은, 단일의 칩 상에 수백만 개의 또는 수십억 개의 디바이스를 통합하기 위해 소정의 기능의 추상 표현으로서 표준 셀을 사용한다. IC가 계속 축소됨에 따라, 점점 더 많은 디바이스가 단일의 칩 상으로 통합된다. 이러한 축소(scaling down) 프로세스는, 일반적으로, 생산 효율성을 증가시키는 것 및 관련 비용을 더 낮추는 것에 의해 이점을 제공한다.The semiconductor integrated circuit (IC) industry has experienced exponential growth. In semiconductor ID design, standard cell methodologies are commonly used for the design of semiconductor devices on a chip. Standard cell methodology uses a standard cell as an abstract representation of a given function to integrate millions or billions of devices on a single chip. As ICs continue to shrink, more and more devices are integrated onto a single chip. This scaling down process generally provides advantages by increasing production efficiency and lowering associated costs.

본 개시의 양태는, 첨부의 도면과 함께 판독될 때 하기의 상세한 설명으로부터 가장 잘 이해된다. 산업 분야에서의 표준 관행에 따라, 다양한 피처는 일정한 축척으로 묘사되지 않는다는 것을 유의한다. 실제, 다양한 피처의 치수는 논의의 명확화를 위해 임의적으로 증가되거나 또는 감소될 수도 있다.
도 1은, 몇몇 실시형태에 따른, 리세싱된 상호연결 구조체(recessed interconnect structure)를 포함하는 예시적인 반도체 디바이스의 단면도를 예시한다.
도 2는, 몇몇 실시형태에 따른, 리세싱된 상호연결 구조체를 포함하는 다른 예시적인 반도체 디바이스의 단면도를 예시한다.
도 3은, 몇몇 실시형태에 따른, 예시적인 회로의 회로 다이어그램을 예시한다.
도 4는, 몇몇 실시형태에 따른, 도 3의 회로를 나타내는 표준 셀의 예시적인 레이아웃 설계를 예시한다.
도 5는, 몇몇 실시형태에 따른, 리세싱된 상호연결 구조체를 포함하는, 도 4의 레이아웃 설계의 적어도 일부에 의해 형성되는 반도체 디바이스의 단면도를 예시한다.
도 6a 및 도 6b는, 몇몇 실시형태에 따른, 도 3의 회로를 나타내는 표준 셀의 예시적인 레이아웃 설계를 예시한다.
도 7은, 몇몇 실시형태에 따른, 리세싱된 상호연결 구조체를 포함하는, 도 6a 및 도 6b의 레이아웃 설계의 적어도 일부에 의해 형성되는 반도체 디바이스의 사시도를 예시한다.
도 8은, 몇몇 실시형태에 따른, 반도체 디바이스를 제조하는 방법의 플로우차트를 예시한다.
도 9는, 몇몇 실시형태에 따른, IC 레이아웃 설계를 생성하는 시스템의 블록도를 예시한다.
도 10은, 몇몇 실시형태에 따른, IC 제조 시스템, 및 그와 관련되는 IC 제조 플로우의 블록도를 예시한다.
도 11은, 몇몇 실시형태에 따른, 리세싱된 상호연결 구조체를 포함하는 반도체 디바이스를 형성하기 위한 예시적인 방법의 플로우차트를 예시한다.
도 12a, 도 12b, 도 12c, 도 12d, 도 12e, 도 12f, 도 12g, 도 12h, 도 12i, 도 12j, 도 12k, 도 12l 및 도 12m은, 몇몇 실시형태에 따른, 도 11의 방법에 의해 제조되는 다양한 제조 단계 동안의 예시적인 반도체 디바이스의 단면도를 예시한다.
Aspects of the present disclosure are best understood from the following detailed description when read in conjunction with the accompanying drawings. It is noted that, in accordance with standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of various features may be arbitrarily increased or decreased for clarity of discussion.
1 illustrates a cross-sectional view of an example semiconductor device including a recessed interconnect structure, in accordance with some embodiments.
2 illustrates a cross-sectional view of another example semiconductor device including a recessed interconnect structure, in accordance with some embodiments.
3 illustrates a circuit diagram of an example circuit, in accordance with some embodiments.
4 illustrates an example layout design of a standard cell representing the circuit of FIG. 3 , in accordance with some embodiments.
5 illustrates a cross-sectional view of a semiconductor device formed by at least a portion of the layout design of FIG. 4 including a recessed interconnect structure, in accordance with some embodiments.
6A and 6B illustrate an example layout design of a standard cell representing the circuit of FIG. 3 , in accordance with some embodiments.
7 illustrates a perspective view of a semiconductor device formed by at least a portion of the layout design of FIGS. 6A and 6B including a recessed interconnect structure, in accordance with some embodiments.
8 illustrates a flowchart of a method of manufacturing a semiconductor device, in accordance with some embodiments.
9 illustrates a block diagram of a system for generating an IC layout design, in accordance with some embodiments.
10 illustrates a block diagram of an IC manufacturing system, and associated IC manufacturing flow, in accordance with some embodiments.
11 illustrates a flowchart of an example method for forming a semiconductor device including a recessed interconnect structure, in accordance with some embodiments.
12A, 12B, 12C, 12D, 12E, 12F, 12G, 12H, 12I, 12J, 12K, 12L, and 12M are the method of FIG. 11 , in accordance with some embodiments. Illustrates cross-sectional views of an exemplary semiconductor device during various fabrication steps fabricated by

하기의 개시는, 제공되는 주제의 상이한 피처를 구현하기 위한 많은 상이한 실시형태, 또는 예를 제공한다. 본 개시를 단순화하기 위해, 컴포넌트 및 배열(arrangement)의 특정한 예가 하기에서 설명된다. 이들은, 물론, 예에 불과하며 제한하도록 의도되는 것은 아니다. 예를 들면, 후속하는 설명에서 제2 피처 위에 또는 상에 제1 피처를 형성하는 것은, 제1 및 제2 피처가 직접적으로 접촉하여 형성되는 실시형태를 포함할 수도 있고, 또한 제1 피처와 제2 피처 사이에 추가적인 피처가 형성될 수도 있어서, 결과적으로 제1 및 제2 피처가 직접적으로 접촉하지 않을 수도 있는 실시형태도 포함할 수도 있다. 게다가, 본 개시는 다양한 예에서 참조 번호 및/또는 문자를 반복할 수도 있다. 이 반복은 간략화 및 명확화를 위한 것이며, 그 자체로는, 논의되는 다양한 실시형태 및/또는 구성 사이의 관계를 지시하는 것은 아니다.The following disclosure provides many different embodiments, or examples, for implementing different features of the presented subject matter. To simplify the present disclosure, specific examples of components and arrangements are described below. These are, of course, examples only and are not intended to be limiting. For example, in the description that follows, forming a first feature on or on a second feature may include embodiments in which the first and second features are formed in direct contact, and also include the first feature and the second feature. Additional features may be formed between the two features, including embodiments in which the first and second features may not be in direct contact as a result. In addition, this disclosure may repeat reference numbers and/or letters in the various examples. This repetition is for simplicity and clarity, and, in itself, does not indicate a relationship between the various embodiments and/or configurations being discussed.

게다가, 도면에서 예시되는 바와 같은 다른 엘리먼트(들) 또는 피처(들)에 대한 하나의 엘리먼트 또는 피처의 관계를 설명하는 설명의 용이성을 위해, "밑에(beneath)", "아래에(below)", "저부의(lower)", "위에(above)", "상부의(upper)" 및 등등과 같은 공간적으로 상대적인 용어가 본원에서 사용될 수도 있다. 공간적으로 상대적인 용어는, 도면에 묘사되는 방위 외에, 사용 또는 동작에서 디바이스의 상이한 방위를 포괄하도록 의도된다. 장치는 다르게 배향될 수도 있고(90 도 회전되거나 또는 다른 방위에 있을 수도 있고), 본원에서 사용되는 공간적으로 상대적인 서술어(descriptor)는 마찬가지로 그에 따라 해석될 수도 있다.Furthermore, for ease of explanation describing the relationship of one element or feature to another element(s) or feature(s) as illustrated in the figures, “beneath”, “below” Spatially relative terms such as , "lower", "above", "upper" and the like may be used herein. The spatially relative terms are intended to encompass different orientations of the device in use or operation, in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations), and the spatially relative descriptors used herein may likewise be interpreted accordingly.

IC를 축소하는 경향에 따라, 일반적으로, 표준 셀의 면적은 그에 따라 축소될 것이다. 표준 셀의 면적은 셀의 셀 폭 및/또는 셀의 셀 높이를 감소시키는 것에 의해 축소될 수 있다. 셀 폭은, 통상적으로, 셀이 포함할 수 있는, 수직 방향을 따라 연장되는 게이트 구조체 또는 피처(예를 들면, 통상적으로 "POLY"로서 공지됨)의 수에 비례하고; 셀 높이는, 통상적으로, 셀이 포함할 수 있는, 수평 방향을 따라 연장되는 신호 트랙의 수에 비례한다. (예를 들면, 신호 트랙의 수를 감소시키는 것에 의해) 셀 높이를 감소시키지만, 셀 폭(게이트 구조체의 수)은 증가되게 될 수도 있는데, 이것은 전체 면적을 효율적으로 감소시킬 수 없을 수도 있다. 비록 게이트 구조체의 수가 변경되지 않도록 강제될 수 있지만(예를 들면, 신호 트랙의 수만을 감소시킴), 그러한 셀 설계에 기초하여 반도체 디바이스를 제조하는 것은 다양한 라우팅 이슈와 조우할 수 있다. 예를 들면, 하나 이상의 상호연결 구조체는, 부분적으로 짧아진 셀 폭에 기인하여, 상대적으로 더 짧은 폭을 가지도록 형성(예를 들면, 절단(cut))될 수도 있다. 그것은 그러한 짧아진 상호연결 구조체 상에 상호연결 구조체(예를 들면, 비아 구조체)를 랜딩(landing)시키는 경우에 상당히 어려워지게 될 수 있는데, 이것은 셀의 기능 고장(예를 들면, 단락)을 야기할 가능성이 있을 수 있다.With the tendency to shrink the IC, in general, the area of a standard cell will shrink accordingly. The area of a standard cell may be reduced by reducing the cell width of the cell and/or the cell height of the cell. Cell width is typically proportional to the number of gate structures or features (eg, commonly known as “POLY”) extending along the vertical direction that the cell may contain; The cell height is typically proportional to the number of signal tracks that the cell can contain, extending along the horizontal direction. While reducing the cell height (eg, by reducing the number of signal tracks), the cell width (number of gate structures) may be increased, which may not effectively reduce the overall area. Although the number of gate structures can be forced unchanged (eg, only reducing the number of signal tracks), manufacturing semiconductor devices based on such cell designs may encounter various routing issues. For example, one or more interconnect structures may be formed (eg, cut) to have a relatively shorter width, in part due to the shortened cell width. It can become quite difficult in the case of landing an interconnect structure (eg, via structure) on such a shortened interconnect structure, which may cause malfunction (eg, short circuit) of the cell. There may be a possibility.

본 개시는 표준 셀에 의해 표현될 수 있는(또는 표준 셀에 기초하여 형성될 수 있는) 반도체 디바이스의 다양한 실시형태를 제공한다. 표준 셀의 면적은, 현존하는 기술이 조우하는 이슈로부터 영향을 받지 않으면서, 표준 셀의 셀 높이 및 셀 폭을 동시적으로 감소시키는 것에 의해 감소될 수 있다. 예를 들면, 반도체 디바이스는 다수의 트랜지스터를 포함하는데, 그 각각은 각각의 게이트 구조체 및 소스/드레인 구조체를 포함한다. 게이트 구조체는, 셀의 셀 폭과 관련되는, 셀의 복수의 게이트 피처에 의해 각각 정의될 수 있다. 게이트 구조체 및 소스/드레인 구조체는, 셀의 신호 트랙의 수에 의해 각각 정의되는 복수의 상호연결 구조체에 의해 연결될 수 있다. 반도체 디바이스는, 본원에서 개시되는 바와 같이, 적어도 하나의 대응하는 게이트 구조체와 적어도 하나의 대응하는 상호연결 구조체 사이에 각각 배치되는 하나 이상의 리세싱된 상호연결 구조체를 포함한다. 몇몇 실시형태에서, 리세싱된 상호연결 구조체는, 유전체 재료로 충전되는 부분적으로 또는 완전히 리세싱된 부분(recessed portion)을 포함할 수 있다. 예를 들면, 부분적으로 리세싱된 부분을 포함하는 리세싱된 상호연결 구조체는, 대응하는 게이트 구조체의 연결 지점을 측방향으로(laterally) 연장시키거나 또는 시프트할 수 있는데, 이것은, 대응하는 상호연결 구조체로 하여금 그에 따라 시프트되게 한다. 따라서, 다른 상호연결 구조체(예를 들면, 게이트 구조체에 연결되는 상호연결 구조체에 인접한 상호연결 구조체)는 짧아진 측방 폭(lateral width)을 가지도록 절단되지 않을 것이다. 그와 같이, 셀의 게이트 피처의 수 및 신호 트랙의 수를 감소시키는 것(그에 의해, 셀의 전체 면적을 감소시키는 것)에도 불구하고, 현존하는 기술이 직면하고 있는 상기 식별된 이슈는 해결될 수 있다. 몇몇 다른 실시형태에서, 완전히 리세싱된 부분을 포함하는 리세싱된 상호연결 구조체는 대응하는 전도성 구조체(예를 들면, 더미 게이트 구조체 및/또는 더미 소스/드레인 구조체에 연결되는 하나 이상의 상호연결 구조체)의 연결 지점을 수직으로 시프트시킬 수 있는데, 이것은, 상호연결층 내의 상호연결 구조체가, 리세싱된 상호연결 구조체 위에 형성되는 것을 허용한다. 그와 같이, 그 상호연결층의 라우팅 리소스는 유리하게 절약될 수 있다.The present disclosure provides various embodiments of a semiconductor device that can be represented by (or formed based on) a standard cell. The area of a standard cell can be reduced by simultaneously reducing the cell height and cell width of the standard cell, without being affected by the issues encountered by existing technologies. For example, a semiconductor device includes a plurality of transistors, each including a respective gate structure and a source/drain structure. A gate structure may be defined by a plurality of gate features of a cell, each associated with a cell width of the cell. The gate structure and the source/drain structure may be connected by a plurality of interconnect structures each defined by the number of signal tracks in the cell. A semiconductor device, as disclosed herein, includes one or more recessed interconnect structures each disposed between at least one corresponding gate structure and at least one corresponding interconnect structure. In some embodiments, the recessed interconnect structure may include a partially or fully recessed portion filled with a dielectric material. For example, a recessed interconnect structure comprising a partially recessed portion may laterally extend or shift a connection point of a corresponding gate structure, which may include: Causes the struct to shift accordingly. Accordingly, other interconnect structures (eg, interconnect structures adjacent to an interconnect structure connected to a gate structure) will not be cut to have a shortened lateral width. As such, in spite of reducing the number of gate features and the number of signal tracks of a cell (and thereby reducing the overall area of the cell), the above-identified issues facing the existing technology cannot be solved. can In some other embodiments, a recessed interconnect structure comprising a fully recessed portion is a corresponding conductive structure (eg, one or more interconnect structures coupled to a dummy gate structure and/or a dummy source/drain structure). can vertically shift the connection point of , which allows the interconnect structure in the interconnect layer to be formed over the recessed interconnect structure. As such, the routing resources of the interconnection layer can be advantageously saved.

도 1을 참조하면, 몇몇 실시형태에 따른, 리세싱된 상호연결 구조체를 포함하는 반도체 디바이스(100)의 일부의 단면도가 묘사된다. 반도체 디바이스(100)는, 도 1에서 도시되는 바와 같이, 예시의 목적을 위해 단순화되며, 따라서, 반도체 디바이스(100)는 본 개시의 범위 내에 남아 있는 동안 여러 가지 적절한 피처 중 임의의 것을 포함할 수 있다는 것이 이해된다. 예를 들면, 반도체 디바이스(100)는 복수의 트랜지스터(예를 들면, 평면형 상보형 금속 산화물 반도체 전계 효과 트랜지스터(planar complementary metal-oxide-semiconductor field-effect transistor)(NMOSFET), 핀 기반의 전계 효과 트랜지스터(fin-based field-effect transistor; FinFET), 나노시트 전계 효과 트랜지스터, 나노와이어 전계 효과 트랜지스터)를 포함할 수도 있는데, 이들의 각각은 각각의 게이트 구조체 및 소스/드레인 구조체(또는 소스/드레인 영역)에 의해 형성되지만, 도 1의 예시된 실시형태는 구조체의 일부를 포함할 수도 있다.1 , a cross-sectional view of a portion of a semiconductor device 100 including a recessed interconnect structure is depicted, in accordance with some embodiments. The semiconductor device 100 is simplified for purposes of illustration, as shown in FIG. 1 , and thus the semiconductor device 100 may include any of a number of suitable features while remaining within the scope of the present disclosure. It is understood that there is For example, semiconductor device 100 may include a plurality of transistors (eg, planar complementary metal-oxide-semiconductor field-effect transistors (NMOSFETs), fin-based field-effect transistors). (fin-based field-effect transistor; FinFET), nanosheet field effect transistor, nanowire field effect transistor), each of which has respective gate structures and source/drain structures (or source/drain regions) Although formed by , the illustrated embodiment of FIG. 1 may include a portion of the structure.

도시되는 바와 같이, 반도체 디바이스(100)는 기판(도시되지 않음) 위에 형성되는 제1 게이트 구조체(102), 제2 게이트 구조체(104), 및 제3 게이트 구조체(106)를 포함한다. 몇몇 실시형태에서, 게이트 구조체(102-106)는, 예를 들면, 하나 이상의 금속 재료, 폴리실리콘 재료, 등등과 같은 전도성 재료를 포함할 수도 있다. 비록 도 1에서 도시되지는 않지만, 게이트 구조체(102-106)의 각각은, 각각의 트랜지스터로서 기능하도록 게이트 구조체의 측부 상에 배치되는 대응하는 소스/드레인 구조체/영역을 갖는 하나 이상의 활성 영역(예를 들면, 하나 이상의 전도 채널) 위에 형성된다는 것이 이해된다. 소스/드레인 구조체는, 게이트 구조체에 의해 게이트 제어되는(gated)(예를 들면, 조절되는) 활성 영역을 통한 전도 전류를 소싱/드레인할 수 있다. 예를 들면, 게이트 구조체(102-106)의 각각은 FinFET를 통한 전류 전도를 조절하기 위해 FinFET의 활성 영역 위에(예를 들면, 활성 영역에 걸치도록) 형성될 수도 있다. 트랜지스터(및 다른 능동 디바이스, 예를 들면, 저항기, 커패시터, 등등)의 그러한 기능적 구조체는 집합적으로 라인 프론트 엔드(front-end-of-line; FEOL) 구조체로 지칭된다. 게이트 구조체(102-106)는 유전체층(108)에 임베딩된다. 유전체층(108)은, 예를 들면, 저유전율(low-k) 유전체 재료, 초저유전율(ultra-low-k) 유전체 재료와 같은 유전체 재료를 포함할 수도 있다. 그러한 유전체층(108)은 통상적으로 층간 유전체(inter-layer dielectric; ILD)층으로 지칭된다.As shown, the semiconductor device 100 includes a first gate structure 102 , a second gate structure 104 , and a third gate structure 106 formed over a substrate (not shown). In some embodiments, gate structures 102 - 106 may include a conductive material, such as, for example, one or more metallic materials, polysilicon materials, and the like. Although not shown in FIG. 1 , each of the gate structures 102 - 106 includes one or more active regions (eg, one or more active regions having a corresponding source/drain structure/region disposed on a side of the gate structure to function as a respective transistor). For example, it is understood that over one or more conducting channels). The source/drain structure may source/drain conduction current through the active region gated (eg, regulated) by the gate structure. For example, each of the gate structures 102 - 106 may be formed over (eg, across) the active region of the FinFET to regulate current conduction through the FinFET. Such functional structures of transistors (and other active devices, eg, resistors, capacitors, etc.) are collectively referred to as front-end-of-line (FEOL) structures. Gate structures 102 - 106 are embedded in dielectric layer 108 . Dielectric layer 108 may include a dielectric material, such as, for example, a low-k dielectric material, an ultra-low-k dielectric material. Such dielectric layer 108 is commonly referred to as an inter-layer dielectric (ILD) layer.

반도체 디바이스(100)는 유전체층(108) 위에 형성되는 상호연결 구조체(116)를 더 포함한다. 몇몇 실시형태에서, 상호연결 구조체(116)는, 예를 들면, 하나 이상의 금속 재료와 같은 전도성 재료를 포함할 수도 있다. 게이트 구조체 바로 위에 형성되는 그러한 상호연결 구조체(116)를 포함하는 층은 때때로 "M0"층으로 지칭된다. 통상적으로, M0층 내에 그리고 그 위에 형성되는 구조체(예를 들면, M1층, M2층, 등등)는 집합적으로 백엔드 오브 라인(back-end-of-line; BEOL) 구조체로 지칭된다. 반도체 디바이스(100)의 의도된 기능성(functionality)을 가능하게 하기 위해, 게이트 구조체(102-106)의 각각은 하나 이상의 BEOL 구조체에 전기적으로 커플링될 수도 있다. 예를 들면, 게이트 구조체(102)는 상호연결 구조체(116)의 상호연결 구조체(116-1)에 전기적으로 커플링되고; 게이트 구조체(104)는 상호연결 구조체(116)의 상호연결 구조체(116-2)에 전기적으로 커플링된다. 상호연결 구조체(116-1 및 116-2)는 동일한 신호 트랙을 따라 형성될 수도 있고 유전체 구조체(118)에 의해 서로 분리(예를 들면, 격리)될 수도 있다.The semiconductor device 100 further includes an interconnect structure 116 formed over the dielectric layer 108 . In some embodiments, interconnect structure 116 may include a conductive material, such as, for example, one or more metallic materials. A layer comprising such an interconnect structure 116 that is formed directly over the gate structure is sometimes referred to as a “M0” layer. Typically, structures formed in and over the M0 layer (eg, M1 layer, M2 layer, etc.) are collectively referred to as a back-end-of-line (BEOL) structure. To enable the intended functionality of the semiconductor device 100 , each of the gate structures 102 - 106 may be electrically coupled to one or more BEOL structures. For example, gate structure 102 is electrically coupled to interconnect structure 116 - 1 of interconnect structure 116 ; The gate structure 104 is electrically coupled to the interconnect structure 116 - 2 of the interconnect structure 116 . Interconnect structures 116 - 1 and 116 - 2 may be formed along the same signal track and may be isolated (eg, isolated) from each other by dielectric structure 118 .

게이트 구조체(102)는 유전체층(108)을 통해 연장되는 상호연결 구조체(110)를 통해 상호연결 구조체(116-1)에 전기적으로 커플링될 수 있으며; 게이트 구조체(104)는 유전체층(108)을 통해 연장되는 상호연결 구조체(112)를 통해 상호연결 구조체(116-2)에 전기적으로 커플링될 수 있다. 몇몇 실시형태에서, 상호연결 구조체(110)는 비아 상호연결 구조체로서 형성될 수도 있다. 게이트 구조체를, 게이트 구조체와 수직으로 정렬되는 M0층의 상호연결 구조체에 연결하는 그러한 비아 상호연결 구조체(110)는 때때로 "VG"로 지칭된다.The gate structure 102 may be electrically coupled to the interconnect structure 116 - 1 through the interconnect structure 110 extending through the dielectric layer 108 ; The gate structure 104 may be electrically coupled to the interconnect structure 116 - 2 through an interconnect structure 112 that extends through the dielectric layer 108 . In some embodiments, interconnect structure 110 may be formed as a via interconnect structure. Such a via interconnect structure 110 that connects the gate structure to the interconnect structure of the M0 layer that is vertically aligned with the gate structure is sometimes referred to as "VG".

본 개시의 다양한 실시형태에 따르면, 게이트 구조체를, 게이트 구조체와 수직으로 정렬되지 않는(예를 들면, 게이트 구조체로부터 측방향으로 변위(displace)되는) M0층의 상호연결 구조체에 연결하는 상호연결 구조체(112)는, 리세싱된 부분(112-1) 및 리세싱되지 않은 부분(112-2)을 포함하도록 형성될 수도 있다. 그와 같이, 상호연결 구조체(112)는 부분적으로 리세싱된 상호연결 구조체로 지칭될 수도 있다. 리세싱된 부분(112-1) 및 리세싱되지 않은 부분(112-2)은, 서로 접하는(또는 다르게는 인접하는) 각각의 비아 구조체로서 형성될 수도 있다. 리세싱된 부분(112-1)의 위치는 게이트 구조체(104)를 M0층의 상호연결 구조체에 연결하기 위한 비아 구조체(통상적으로 "VG"로 지칭됨)를 형성하기 위해 사용될 수도 있을 것이고; 리세싱되지 않은 부분(112-2)의 위치는 소스/드레인 상호연결 구조체(통상적으로 "MD"로 지칭됨)를 M0층의 상호연결 구조체에 연결하기 위한 비아 구조체(통상적으로 "VD"로 지칭됨)를 형성하기 위해 사용될 수도 있을 것이다. 소스/드레인 상호연결 구조체(MD)(도 1의 점선으로 도시됨)는 소스/드레인 구조체(예를 들면, 게이트 구조체(104)의 측부 중 하나 상에 형성되는 소스/드레인 구조체)를 상위 레벨의 상호연결 구조체(예를 들면, 116-2)에 커플링하기 위해 형성될 수 있다. 그러한 상호연결 구조체, 예를 들면, VG, VD, MD는 집합적으로 라인 미들 엔드(middle-end-of-line; MEOL) 구조체로 지칭될 수도 있다. 몇몇 실시형태에서, 리세싱된 부분(112-1) 및 리세싱되지 않은 부분(112-2)의 조합은 때때로 "VG+VD"로 지칭된다.In accordance with various embodiments of the present disclosure, an interconnect structure connecting a gate structure to an interconnect structure of the M0 layer that is not vertically aligned with the gate structure (eg, laterally displaced from the gate structure) 112 may be formed to include a recessed portion 112-1 and a non-recessed portion 112-2. As such, interconnect structure 112 may be referred to as a partially recessed interconnect structure. Recessed portion 112-1 and non-recessed portion 112-2 may be formed as respective via structures abutting (or otherwise adjacent to) each other. The location of the recessed portion 112-1 may be used to form a via structure (commonly referred to as “VG”) for connecting the gate structure 104 to the interconnect structure of the M0 layer; The location of the non-recessed portion 112 - 2 is a via structure (commonly referred to as “VD”) for connecting the source/drain interconnect structure (commonly referred to as “MD”) to the interconnect structure of the M0 layer. ) may be used to form A source/drain interconnect structure MD (shown by dashed lines in FIG. 1 ) connects a source/drain structure (eg, a source/drain structure formed on one of the sides of the gate structure 104 ) to a higher level. may be formed for coupling to an interconnect structure (eg, 116-2). Such interconnect structures, eg, VG, VD, MD, may be collectively referred to as a line middle-end-of-line (MEOL) structure. In some embodiments, the combination of recessed portion 112-1 and non-recessed portion 112-2 is sometimes referred to as “VG+VD”.

여전히 도 1을 참조하면, 더욱 상세하게, 리세싱된 부분(112-1)은 리세싱되지 않은 부분(112-2)과 관련하여 리세싱되어 리세스(113)를 정의한다. 몇몇 실시형태에서, 리세스(113)는 리세싱된 부분(112-1) 및 리세싱되지 않은 부분(112-2)의 각각의 상부 경계부의 오정렬 또는 변위에 의해 정의될 수도 있다. 리세스(113)는 유전체 재료로 충전되어 유전체 리세스 구조체(114)를 형성한다. 두 부분(112-1 및 112-2)의 각각은 게이트 구조체(104) 또는 상호연결 구조체(116-2) 중 어느 하나에 직접적으로 연결된다. 예를 들면, 리세싱된 부분(112-1)은 게이트 구조체(104)와만 직접적으로 접촉하고; 리세싱되지 않은 부분(112-2)은 상호연결 구조체(116-2)와만 직접적으로 접촉한다. 몇몇 다른 실시형태에서, 리세싱된 부분(112-1)은, 하나 이상의 전도성층(예를 들면, TaN층)을 사이에 배치한 상태에서, 게이트 구조체(104)에 커플링될 수도 있다. 유사하게, 리세싱되지 않은 부분(112-2)은, 하나 이상의 전도성층(예를 들면, TaN층)을 사이에 배치한 상태에서, 상호연결 구조체(116-2)에 커플링될 수도 있다.Still referring to FIG. 1 , more particularly, recessed portion 112-1 is recessed relative to non-recessed portion 112-2 to define recess 113 . In some embodiments, the recess 113 may be defined by a misalignment or displacement of the upper boundary of each of the recessed portion 112-1 and the non-recessed portion 112-2. Recess 113 is filled with a dielectric material to form dielectric recess structure 114 . Each of the two portions 112-1 and 112-2 is directly connected to either the gate structure 104 or the interconnect structure 116-2. For example, the recessed portion 112-1 is only in direct contact with the gate structure 104; The non-recessed portion 112 - 2 is in direct contact only with the interconnect structure 116 - 2 . In some other embodiments, the recessed portion 112-1 may be coupled to the gate structure 104 with one or more conductive layers (eg, TaN layers) interposed therebetween. Similarly, the non-recessed portion 112 - 2 may be coupled to the interconnect structure 116 - 2 with one or more conductive layers (eg, TaN layers) interposed therebetween.

그러한 리세싱된 상호연결 구조체(112)를 형성하는 것에 의해, 도 1에서 도시되는 바와 같이, 게이트 구조체(104)의 연결 지점(또는 비아 구조체 랜딩 지점)은 지점 "X"로부터 지점 "Y"로 측방향으로 시프트될 수 있다. 이것은 게이트 구조체(104)가, 게이트 구조체(104)로부터 측방향으로 변위되는 상호연결 구조체(116-2)에 전기적으로 연결되는 것을 허용한다. 게다가, 리세싱된 부분(112-1) 위에 유전체 리세스 구조체(114)를 형성하는 것에 의해, 게이트 구조체(104)는, 상호연결 구조체(116-2)를 제외한 임의의 다른 상호연결 구조체(예를 들면, 116-1)로부터 전기적으로 격리될 수 있다. 그와 같이, 상호연결 구조체(116-2)의 측방 폭은 충분히 크게 형성될 수 있는데, 이것은 상호연결 구조체(116-2) 상의 다른 상호연결 구조체(예를 들면, M0층(116)을, "VIA0"으로 통상적으로 칭해지는 다음 번(next) 상부 상호연결층인 M1층에 연결하는 비아 구조체)에 랜딩함에 있어서의 실패의 가능성을 상당히 감소시킬 수 있다. 현존하는 기술에서, 게이트 구조체(102 및 104)를 상호연결 구조체(116-1 및 116-2)에 각각 연결하기 위해, 상호연결 구조체(116-2)의 적어도 단부 부분(end portion)은 게이트 구조체(104)와 수직으로 정렬되도록 종종 형성되는데, 이것은 상호연결 구조체(116-1)의 측방 폭을 상당히 짧게 하거나 또는 압착시킬 수 있다. 따라서, VIA0의 오정렬이 발생할 가능성이 있을 수도 있는데, 이것은 반도체 디바이스(100)의 원래 기능성에 도달하지 못할 수 있다.By forming such a recessed interconnect structure 112 , as shown in FIG. 1 , the connection point (or via structure landing point) of the gate structure 104 is from point “X” to point “Y”. It can be shifted laterally. This allows the gate structure 104 to be electrically connected to an interconnect structure 116 - 2 that is laterally displaced from the gate structure 104 . Furthermore, by forming the dielectric recess structure 114 over the recessed portion 112-1, the gate structure 104 can be formed with any other interconnect structure (eg, the interconnect structure 116-2) except for the interconnect structure 116-2. For example, it can be electrically isolated from 116-1). As such, the lateral width of the interconnect structure 116 - 2 can be made large enough, which can be made to " The possibility of failure in landing to the next top interconnect layer, the via structure connecting to the M1 layer, commonly referred to as VIAO" can be significantly reduced. In existing technology, at least an end portion of interconnect structure 116-2 is formed to connect gate structures 102 and 104 to interconnect structures 116-1 and 116-2, respectively. Often formed to be vertically aligned with 104 , which can significantly shorten or compress the lateral width of interconnect structure 116 - 1 . Accordingly, there may be a possibility that the misalignment of VIAO may occur, which may not reach the original functionality of the semiconductor device 100 .

도 2를 참조하면, 몇몇 실시형태에 따른, 리세싱된 상호연결 구조체를 포함하는 반도체 디바이스(200)의 일부의 단면도가 묘사된다. 반도체 디바이스(200)는, 도 2에서 도시되는 바와 같이, 예시의 목적을 위해 단순화되며, 따라서, 반도체 디바이스(200)는 본 개시의 범위 내에 남아 있는 동안 여러 가지 적절한 피처 중 임의의 것을 포함할 수 있다는 것이 이해된다. 예를 들면, 반도체 디바이스(100)는 복수의 트랜지스터(예를 들면, 평면형 상보형 금속 산화물 반도체 전계 효과 트랜지스터(평면형 MOSFET), 핀 기반의 전계 효과 트랜지스터(FinFET), 나노시트 전계 효과 트랜지스터, 나노와이어 전계 효과 트랜지스터, 상보형 전계 효과 트랜지스터(complementary field-effect transistor; CFET))를 포함할 수도 있는데, 이들의 각각은 각각의 게이트 구조체 및 소스/드레인 구조체(또는 소스/드레인 영역)에 의해 형성되지만, 도 2의 예시된 실시형태는 구조체의 일부를 포함한다.Referring to FIG. 2 , a cross-sectional view of a portion of a semiconductor device 200 including a recessed interconnect structure is depicted, in accordance with some embodiments. The semiconductor device 200 is simplified for purposes of illustration, as shown in FIG. 2 , and thus the semiconductor device 200 may include any of a number of suitable features while remaining within the scope of the present disclosure. It is understood that there is For example, semiconductor device 100 may include a plurality of transistors (eg, planar complementary metal oxide semiconductor field effect transistors (planar MOSFETs), fin-based field effect transistors (FinFETs), nanosheet field effect transistors, nanowires). field-effect transistors, complementary field-effect transistors (CFETs), each formed by a respective gate structure and a source/drain structure (or source/drain region), The illustrated embodiment of FIG. 2 includes a portion of a structure.

도시되는 바와 같이, 반도체 디바이스(200)는 기판(도시되지 않음) 위에 형성되는 제1 게이트 구조체(202), 제2 게이트 구조체(204), 및 제3 게이트 구조체(206)를 포함한다. 몇몇 실시형태에서, 게이트 구조체(202-206)는, 예를 들면, 하나 이상의 금속 재료, 폴리실리콘 재료, 등등과 같은 전도성 재료를 포함할 수도 있다. 도 2에서 도시되지는 않지만, 게이트 구조체(202-206)의 각각은, 각각의 트랜지스터(들)를 조절하기 위해 하나 이상의 활성 영역(예를 들면, 하나 이상의 전도 채널) 위에 형성된다. 예를 들면, 게이트 구조체(202-206)의 각각은 FinFET를 통한 전류 전도를 조절하기 위해 FinFET의 활성 영역 위에(예를 들면, 활성 영역에 걸치도록) 형성될 수도 있다.As shown, the semiconductor device 200 includes a first gate structure 202 , a second gate structure 204 , and a third gate structure 206 formed over a substrate (not shown). In some embodiments, the gate structures 202-206 may include a conductive material, such as, for example, one or more metallic materials, polysilicon materials, and the like. Although not shown in FIG. 2 , each of the gate structures 202-206 is formed over one or more active regions (eg, one or more conducting channels) for conditioning the respective transistor(s). For example, each of the gate structures 202-206 may be formed over (eg, across) the active region of the FinFET to regulate current conduction through the FinFET.

반도체 디바이스(200)는 기판 위에 다수의 소스/드레인 상호연결 구조체(MD)(212, 214, 216, 및 218)를 더 포함한다. 비록 도 2에서 도시되지는 않지만, MD(212-218)의 각각은, 각각의 트랜지스터를 소싱 또는 드레인하기 위해 활성 영역(예를 들면, 에피택셜하게(epitaxially) 성장된 소스/드레인 구조체/영역) 위에 형성된다. 예를 들면, MD(212 및 214)는, 각각, 게이트 구조체(202)에 의해 게이트 제어되는 제1 트랜지스터의 소스 구조체 및 드레인 구조체에 연결되어, 제1 트랜지스터를 소싱 및 드레인할 수도 있고; MD(214 및 216)는, 각각, 게이트 구조체(204)에 의해 게이트 제어되는 제2 트랜지스터의 소스 구조체 및 드레인 구조체에 연결되어 제2 트랜지스터를 소싱 및 드레인할 수도 있고; MD(216 및 218)는, 각각, 게이트 구조체(206)에 의해 게이트 제어되는 제3 트랜지스터의 소스 구조체 및 드레인 구조체에 연결되어, 제3 트랜지스터를 소싱 및 드레인할 수도 있다.The semiconductor device 200 further includes a plurality of source/drain interconnect structures (MDs) 212 , 214 , 216 , and 218 over the substrate. Although not shown in FIG. 2 , each of MDs 212 - 218 has an active region (eg, an epitaxially grown source/drain structure/region) for sourcing or draining a respective transistor. formed on top For example, MDs 212 and 214 may be coupled to a source structure and a drain structure of a first transistor gated by gate structure 202 to source and drain the first transistor, respectively; MDs 214 and 216 may be coupled to source and drain structures of a second transistor gated by gate structure 204 to source and drain the second transistor, respectively; MDs 216 and 218 may be coupled to the source and drain structures of a third transistor gated by gate structure 206 to source and drain the third transistor, respectively.

게이트 구조체(202-206) 및 MD(212-218)는 유전체층(208)에 임베딩된다. 유전체층(208)은, 예를 들면, 저유전율 유전체 재료, 초저유전율 유전체 재료와 같은 유전체 재료를 포함할 수도 있다. 그러한 유전체층(208)은 통상적으로 층간 유전체(ILD)층으로 지칭된다. 유전체층(208) 위에는, 게이트 구조체(202-206) 및/또는 MD(212-218) 중 하나 이상을 연결하도록 상호연결 구조체(210)가 형성될 수도 있다. 상호연결 구조체(116)와 유사하게, 상호연결 구조체(210)를 포함하는 층은 통상적으로 M0층으로 지칭된다.Gate structures 202-206 and MDs 212-218 are embedded in dielectric layer 208 . The dielectric layer 208 may include a dielectric material such as, for example, a low-k dielectric material, an ultra-low-k dielectric material. Such dielectric layer 208 is commonly referred to as an interlayer dielectric (ILD) layer. An interconnect structure 210 may be formed over the dielectric layer 208 to couple one or more of the gate structures 202-206 and/or the MDs 212-218. Similar to interconnect structure 116 , the layer comprising interconnect structure 210 is commonly referred to as the M0 layer.

소정의 경우에, 게이트 구조체(204), 소스/드레인 구조체(214-216)에 의해 구성되는 제2 트랜지스터는 반도체 디바이스(200)에서 더미 트랜지스터로서 기능할 수도 있다. 더미 트랜지스터는 반도체 디바이스(200)의 동작 동안 활성 기능을 갖지 않을 수도 있다. 그와 같이, 게이트 구조체(204) 및 소스/드레인 구조체(214-216)는 상호연결 구조체(220)를 통해 서로 연결될 수 있다. 이와 관련하여, 몇몇 실시형태에 따르면, 상호연결 구조체(220)는 리세스, 예를 들면, 221을 포함할 수도 있다(또는 그에 커플링될 수도 있다). 리세스(221)는 유전체 재료로 충전되어 유전체 리세스 구조체(222)를 형성한다. MD(212)는 유전체층(208)을 통해 연장되는 상호연결 구조체(232)(이하 "VD(232)")를 통해 상호연결 구조체(210)에 전기적으로 커플링될 수 있고; MD(218)는 유전체층(208)을 통해 연장되는 상호연결 구조체(238)(이하 "VD(238)")를 통해 상호연결 구조체(210)에 전기적으로 커플링될 수 있다.In some cases, the second transistor constituted by gate structure 204 , source/drain structures 214 - 216 may function as a dummy transistor in semiconductor device 200 . The dummy transistor may not have an active function during operation of the semiconductor device 200 . As such, gate structure 204 and source/drain structures 214 - 216 may be coupled to each other via interconnect structure 220 . In this regard, according to some embodiments, interconnect structure 220 may include (or be coupled to) a recess, eg, 221 . Recess 221 is filled with a dielectric material to form dielectric recess structure 222 . MD 212 may be electrically coupled to interconnect structure 210 via interconnect structure 232 (hereinafter “VD 232 ”) that extends through dielectric layer 208 ; The MD 218 may be electrically coupled to the interconnect structure 210 via an interconnect structure 238 (hereinafter “VD 238 ”) that extends through the dielectric layer 208 .

상호연결 구조체(220)는 하나 이상의 리세싱된 부분(220-1, 220-2, 및 220-3)을 포함하도록 형성될 수도 있다. 그와 같이, 상호연결 구조체(220)는 완전히 리세싱된 상호연결 구조체로 지칭될 수도 있다. 몇몇 실시형태에 따르면, 리세싱된 부분(220-1 내지 220-3)은 서로 접하는(또는 다르게는 인접하는) 각각의 비아 구조체로서 형성될 수도 있다. 리세싱된 부분(220-1)의 위치는 MD(214)를 상호연결 구조체(210)에 연결하기 위한 VD를 형성하기 위해 사용될 수도 있을 것이고; 리세싱된 부분(220-2)의 위치는 게이트 구조체(204)를 상호연결 구조체(210)에 연결하기 위한 VG를 형성하기 위해 사용될 수도 있을 것이고; 리세싱된 부분(220-3)의 위치는 MD(216)를 상호연결 구조체(210)에 연결하기 위한 VD를 형성하기 위해 사용될 수도 있을 것이다. 몇몇 실시형태에서, 리세싱된 부분(220-1 내지 220-3)의 조합은 때때로 "VD+VG+VD"로 지칭된다.Interconnect structure 220 may be formed to include one or more recessed portions 220 - 1 , 220 - 2 , and 220 - 3 . As such, interconnect structure 220 may be referred to as a fully recessed interconnect structure. According to some embodiments, the recessed portions 220 - 1 - 220 - 3 may be formed as respective via structures that abut (or alternatively adjacent to) each other. The location of the recessed portion 220 - 1 may be used to form a VD for connecting the MD 214 to the interconnect structure 210 ; The location of the recessed portion 220 - 2 may be used to form a VG for connecting the gate structure 204 to the interconnect structure 210 ; The location of the recessed portion 220 - 3 may be used to form a VD for coupling the MD 216 to the interconnect structure 210 . In some embodiments, the combination of recessed portions 220-1 through 220-3 is sometimes referred to as “VD+VG+VD”.

현존하는 기술에서, 더미 트랜지스터를 구성하는 게이트 구조체(204) 및 소스/드레인 구조체(214-216)는 상호연결 구조체(210)의 절단 부분을 통해 서로 연결된다. 따라서, MD(212 및 218)를 연결하기 위해, M0층보다 다음 번 상부의 상호연결층(예를 들면, M1층)의 적어도 하나의 상호연결 구조체가 필요로 되는데, 이것은 M1층에서 라우팅 리소스를 상당히 낭비할 수 있다. 대조적으로, 리세싱된 상호연결 구조체(220)를 형성하는 것에 의해, 도 2에서 도시되는 바와 같이, 하나 이상의 상호연결 구조체(예를 들면, 220-1, 220-2, 220-3)의 연결 지점이 지점 "X"로부터 지점 "Y"로 수직으로 시프트될 수 있다. 이것은 MD(212 및 218)가 상호연결 구조체(210)를 통해 서로 직접적으로 연결되는 것을 허용하는데, 이것은 다음 번 상부 상호연결층에서 라우팅 리소스를 절약할 수 있다.In the existing technology, the gate structure 204 and the source/drain structures 214 - 216 constituting the dummy transistor are connected to each other through a cut portion of the interconnect structure 210 . Thus, in order to connect MDs 212 and 218, at least one interconnect structure of an interconnect layer next above layer M0 (eg, layer M1) is required, which provides routing resources at layer M1. It can be quite wasteful. In contrast, connection of one or more interconnect structures (eg, 220-1, 220-2, 220-3), as shown in FIG. 2 , by forming recessed interconnect structures 220 . A point may be shifted vertically from point “X” to point “Y”. This allows the MDs 212 and 218 to be connected directly to each other via the interconnect structure 210 , which may save routing resources in the next upper interconnect layer.

상기에서 논의되는 바와 같이, FEOL 전도성 구조체(예를 들면, 도 1의 104)를 연결하기 위한 개시된 리세싱된 상호연결 구조체(예를 들면, 도 1의 112)를 형성하는 것에 의해, FEOL 전도성 구조체의 연결 지점이 측방향으로 시프트되어, FEOL에 대응하는 BEOL 상호연결 구조체가 그에 따라 측방향으로 시프트되는 것을 허용할 수 있다. 결과적으로, 인접한 BEOL 상호연결 구조체(들)는 충분히 큰 랜딩 폭을 갖도록 형성될 수 있다. 이것은, 예를 들면, 표준 셀의 치수가 점점 더 축소되는 경우에 현존하는 기술이 현재 직면하고 있는 다양한 라우팅 이슈를 완화한다. 아래는, 리세싱된 상호연결 구조체의 채택에 기초하여 구성되는 회로의 다양한 예시적인 레이아웃 설계이다.As discussed above, by forming the disclosed recessed interconnect structure (eg, 112 in FIG. 1 ) for connecting the FEOL conductive structure (eg, 104 in FIG. 1 ), a FEOL conductive structure The connection points of the s may be laterally shifted to allow the BEOL interconnect structures corresponding to the FEOLs to be shifted laterally accordingly. Consequently, adjacent BEOL interconnect structure(s) can be formed to have a sufficiently large landing width. This alleviates various routing issues currently faced by existing technologies, for example when the dimensions of standard cells are increasingly reduced. Below are various exemplary layout designs of circuits constructed based on the adoption of recessed interconnect structures.

먼저 도 3을 참조하면, 예시적인 회로(300)의 회로 다이어그램이 묘사된다. 회로(300)는 AND-OR-반전(AND-OR-Invert; AOI) 로직 회로를 포함한다. AOI 로직 회로는, 일반적으로, 하나 이상의 AND 게이트와 다음의 NOR 게이트의 조합으로부터 구성된다. 도 3에서 도시되는 바와 같이, 회로(300)는 네 개의 입력: A1, A2, B1 및 B2; 및 다음의 불 함수(Boolean function):

Figure pat00001
를 수행하도록 구성되는 하나의 출력 ZN을 갖는다. 함수를 수행하기 위해, 회로(300)는 서로 전기적으로 커플링되는 여덟 개의 트랜지스터(302, 304, 306, 308, 310, 312, 314, 및 316)를 포함할 수 있다. 트랜지스터(302-308)는 각각 p 타입 MOS 트랜지스터로서 구현될 수 있으며; 트랜지스터(310-316)는 각각 n 타입 MOS 트랜지스터로서 구현될 수 있다. 그러나, 트랜지스터(302-316)의 각각은 다양한 다른 타입의 트랜지스터 중 임의의 것으로서 구현될 수 있다는 것이 이해된다.Referring first to FIG. 3 , a circuit diagram of an exemplary circuit 300 is depicted. Circuit 300 includes AND-OR-Invert (AOI) logic circuitry. AOI logic circuits are generally constructed from a combination of one or more AND gates followed by NOR gates. As shown in Figure 3, circuit 300 has four inputs: A1, A2, B1 and B2; and the following Boolean function:
Figure pat00001
It has one output ZN that is configured to perform To perform the function, circuit 300 may include eight transistors 302 , 304 , 306 , 308 , 310 , 312 , 314 , and 316 electrically coupled to each other. Transistors 302-308 may each be implemented as a p-type MOS transistor; Transistors 310-316 may each be implemented as an n-type MOS transistor. However, it is understood that each of transistors 302-316 may be implemented as any of a variety of other types of transistors.

한 실시형태에서, 트랜지스터(302)의 드레인은 트랜지스터(304)의 소스에 연결되고; 트랜지스터(306)의 드레인은 트랜지스터(308)의 소스에 연결된다. 트랜지스터(302)는 입력 B1을 수신하도록 구성되는 상호연결 구조체에 의해 게이트 제어되고, 제1 공급 전압(예를 들면, VDD)에 의해 소싱되고; 트랜지스터(304)는 입력 A1을 수신하도록 구성되는 상호연결 구조체에 의해 게이트 제어되고, 출력 ZN을 제공하도록 구성되는 상호연결 구조체로 드레인되고; 트랜지스터(306)는 입력 B2를 수신하도록 구성되는 상호연결 구조체에 의해 게이트 제어되고, 제1 공급 전압(예를 들면, VDD)에 의해 소싱되고; 트랜지스터(308)는 입력 A2를 수신하도록 구성되는 상호연결 구조체에 의해 게이트 제어되고, 출력 ZN을 제공하도록 구성되는 상호연결 구조체로 드레인된다. 트랜지스터(310)의 드레인은 트랜지스터(304)의 드레인에 연결되고, 출력 ZN을 제공하도록 구성되는 상호연결 구조체로 또한 드레인되고; 트랜지스터(314)의 드레인은 트랜지스터(308)의 드레인에 연결되고, 출력 ZN을 제공하도록 구성되는 상호연결 구조체로 또한 드레인된다. 트랜지스터(310)는 입력 A1을 수신하도록 구성되는 상호연결 구조체에 의해 게이트 제어되고; 트랜지스터(314)는 입력 B1을 수신하도록 구성되는 상호연결 구조체에 의해 게이트 제어된다. 제1 트랜지스터(310)의 소스는 트랜지스터(312)의 드레인에 연결되고; 트랜지스터(314)의 소스는 트랜지스터(316)의 드레인에 연결된다. 트랜지스터(312)는 입력 A2를 수신하도록 구성되는 상호연결 구조체에 의해 게이트 제어되고, 제2 공급 전압(예를 들면, VSS)에 의해 소싱되고; 트랜지스터(316)는 입력 B2를 수신하도록 구성되는 상호연결 구조체에 의해 게이트 제어되고, 제2 공급 전압(예를 들면, VSS)에 의해 소싱된다.In one embodiment, the drain of transistor 302 is connected to the source of transistor 304 ; The drain of transistor 306 is connected to the source of transistor 308 . transistor 302 is gate controlled by an interconnect structure configured to receive input B1 and sourced by a first supply voltage (eg, VDD); transistor 304 is gated by an interconnect structure configured to receive an input A1 and drained to an interconnect structure configured to provide an output ZN; transistor 306 is gate controlled by an interconnect structure configured to receive input B2 and sourced by a first supply voltage (eg, VDD); Transistor 308 is gated by an interconnect structure configured to receive input A2 and drained to an interconnect structure configured to provide output ZN. a drain of transistor 310 is coupled to the drain of transistor 304 and also drained into an interconnect structure configured to provide an output ZN; A drain of transistor 314 is coupled to the drain of transistor 308 and also drained into an interconnect structure configured to provide an output ZN. transistor 310 is gate controlled by an interconnect structure configured to receive input A1; Transistor 314 is gate controlled by an interconnect structure configured to receive input B1. the source of the first transistor 310 is connected to the drain of the transistor 312 ; The source of transistor 314 is connected to the drain of transistor 316 . transistor 312 is gate controlled by an interconnect structure configured to receive input A2 and sourced by a second supply voltage (eg, VSS); Transistor 316 is gate controlled by an interconnect structure configured to receive input B2 and sourced by a second supply voltage (eg, VSS).

도 4를 참조하면, 몇몇 실시형태에 따른, 표준 셀의 예시적인 레이아웃 설계(400)가 묘사된다. 레이아웃 설계(400)는 도 3의 회로(300)로서 기능하는 반도체 디바이스(예를 들면, 도 5의 500)의 적어도 일부를 제조하기 위해 사용될 수도 있다. 본원에서 개시되는 리세싱된 상호연결 구조체를 채택하는 것에 의해, 레이아웃 설계(400)(또는 표준 셀)의 치수(예를 들면, 면적)는 상기 식별된 라우팅 이슈로부터 영향을 받지 않으면서, 축소될 수 있다. 예를 들면, 표준 셀의 셀 폭은 상대적으로 적은 수의 게이트 구조체(예를 들면, 5 개 이하의 게이트 구조체 공간)에 비례하도록 감소될 수도 있고, 표준 셀의 셀 높이는 상대적으로 적은 수의 신호 트랙(예를 들면, 3 개 이하의 M0 상호연결 구조체 공간)에 비례하도록 감소될 수도 있다.4 , an exemplary layout design 400 of a standard cell is depicted, in accordance with some embodiments. The layout design 400 may be used to fabricate at least a portion of a semiconductor device (eg, 500 of FIG. 5 ) that functions as the circuit 300 of FIG. 3 . By employing the recessed interconnect structures disclosed herein, the dimensions (eg, area) of the layout design 400 (or standard cells) can be reduced without being affected by the routing issues identified above. can For example, the cell width of a standard cell may be reduced proportionally to a relatively small number of gate structures (eg, no more than 5 gate structure spaces), and the cell height of a standard cell has a relatively small number of signal tracks. (eg, no more than 3 M0 interconnect structure spaces) may be reduced proportionally.

레이아웃 설계(400)에 대응하는 반도체 디바이스는 단일의 레벨의 활성 영역을 따라 트랜지스터(302-316)의 각각의 활성 피처를 형성하는 것에 기초하여 제조될 수도 있다. 그러한 활성 영역은 하나 이상의 삼차원 전계 효과 트랜지스터(예를 들면, FinFET, 나노시트 트랜지스터 및 나노와이어 트랜지스터를 포함하는 게이트 올 어라운드(gate-all-around; GAA) 트랜지스터)의 핀 형상의 영역, 또는 하나 이상의 평면형 금속 산화물 반도체 전계 효과 트랜지스터(MOSFET)의 산화물 정의(oxide-definition; OD) 영역일 수도 있는데, 활성 영역은 각각의 트랜지스터(들)의 소스 피처 또는 드레인 피처로서 역할을 할 수도 있다. 본 개시의 몇몇 실시형태에서, 다수의 활성 영역의 "단일의 레벨"이라는 용어는, 활성 영역이 단일의 측방향 평면을 따라 형성되는 것으로 지칭될 수도 있다.A semiconductor device corresponding to layout design 400 may be fabricated based on forming respective active features of transistors 302-316 along a single level of active area. Such active regions may be fin-shaped regions of one or more three-dimensional field effect transistors (eg, gate-all-around (GAA) transistors including FinFETs, nanosheet transistors, and nanowire transistors), or one or more It may be an oxide-definition (OD) region of a planar metal oxide semiconductor field effect transistor (MOSFET), wherein the active region may serve as a source feature or drain feature of each transistor(s). In some embodiments of the present disclosure, the term “single level” of multiple active regions may refer to the active regions being formed along a single lateral plane.

도 4에서, 레이아웃 설계(400)는 패턴(402 및 404)을 포함한다. 기판 위에, 이하, 각각, "활성 영역(402)" 및 "활성 영역(404)"인 활성 영역을 형성하도록 구성되는 패턴(402 및 404)은 X 방향을 따라 연장될 수도 있다. 활성 영역(402)은 제1 전도 타입으로 특성 묘사될 수도 있고, 활성 영역(404)은 제2 전도 타입으로 특성 묘사될 수도 있다. 예를 들면, 활성 영역(402)은 p 타입 도핑 영역을 포함하고, 활성 영역(404)은 n 타입 도핑 영역을 포함한다. 레이아웃 설계(400)는 다양한 구성으로 트랜지스터(302-316)를 형성하기 위해 사용될 수 있다. 트랜지스터(302-316)가 FinFET으로서 형성될 하나의 예에서, 활성 영역(402)은 기판 위에 p 타입 핀 기반 구조체로서 형성될 수도 있고, 활성 영역(404)은 기판 위에서 n 타입 핀 기반의 구조체로서 형성될 수도 있다. 트랜지스터(302-316)가 나노시트 트랜지스터로서 형성될 다른 예에서, 활성 영역(402)은 기판 위에서 서로의 상부에 적층되는 하나 이상의 p 타입 나노시트로서 형성될 수도 있고, 활성 영역(404)은 기판 위에서 서로의 상부에 적층되는 하나 이상의 n 타입 나노시트로서 형성될 수도 있다. 트랜지스터(302-316)가 평면형 MOSFET로서 형성될 또 다른 예에서, 활성 영역(402)은 기판에서 리세싱되는 p 타입 영역으로서 형성될 수도 있고, 활성 영역(404)은 기판에서 리세싱되는 n 타입 영역으로서 형성될 수도 있다.In FIG. 4 , layout design 400 includes patterns 402 and 404 . On the substrate, patterns 402 and 404 configured to form active regions hereinafter referred to as “active regions 402” and “active regions 404”, respectively, may extend along the X direction. Active region 402 may be characterized as a first conduction type, and active region 404 may be characterized as a second conduction type. For example, active region 402 includes a p-type doped region, and active region 404 includes an n-type doped region. Layout design 400 may be used to form transistors 302-316 in various configurations. In one example where transistors 302-316 are to be formed as a FinFET, active region 402 may be formed over a substrate as a p-type fin-based structure, and active region 404 as an n-type fin-based structure over a substrate. may be formed. In another example where transistors 302-316 are to be formed as nanosheet transistors, active region 402 may be formed as one or more p-type nanosheets stacked on top of each other over a substrate, active region 404 being the substrate. It may also be formed as one or more n-type nanosheets stacked on top of each other from above. In another example in which transistors 302-316 are to be formed as planar MOSFETs, active region 402 may be formed as a p-type region recessed in the substrate, and active region 404 is n-type recessed in the substrate. It may be formed as a region.

레이아웃 설계(400)는 패턴(406, 408, 410, 412, 414, 및 416)을 포함한다. 이하, 각각, "게이트 구조체(406)", "게이트 구조체(408)", "게이트 구조체(410)", "게이트 구조체(412)", "게이트 구조체(414)", 및 "게이트 구조체(416)"인 게이트 구조체를 형성하도록 구성되는 패턴(406, 408, 410, 412, 414, 및 416)은 Y 방향을 따라 연장될 수도 있다. 게이트 구조체(406)는 레이아웃 설계(400)(또는 셀)의 제1 경계부를 따라 또는 그 위에 배치될 수도 있고, 게이트 구조체(416)는 레이아웃 설계(400)(또는 셀)의 제2 경계부를 따라 또는 그 위에 배치될 수도 있다. 게이트 구조체(406 및 416)는 전기적 또는 전도성 경로를 제공하지 않을 수도 있고, 사이에 게이트 구조체(406 및 416)가 위치되는 컴포넌트에 걸친 전류 누출을 방지할 수도 있거나 또는 적어도 감소/최소화할 수도 있다. 게이트 구조체(406 및 416)는, PODE로 종종 지칭되는 더미 폴리실리콘 라인을 포함할 수 있다. 하나 이상의 전도성 재료(예를 들면, 폴리실리콘(들), 금속(들))로 형성되는 나머지 게이트 구조체(408-414)의 각각은, 활성 영역(402 및 404)의 각각의 부분을 오버레이하여 트랜지스터(302-316) 중 하나를 정의할 수 있다.Layout design 400 includes patterns 406 , 408 , 410 , 412 , 414 , and 416 . Hereinafter, "gate structure 406", "gate structure 408", "gate structure 410", "gate structure 412", "gate structure 414", and "gate structure 416," respectively The patterns 406 , 408 , 410 , 412 , 414 , and 416 configured to form a gate structure of )" may extend along the Y direction. The gate structure 406 may be disposed along or over a first boundary of the layout design 400 (or cell), and the gate structure 416 along a second boundary of the layout design 400 (or cell). Or it may be disposed on it. The gate structures 406 and 416 may not provide an electrical or conductive path, and may prevent or at least reduce/minimize current leakage across the component between which the gate structures 406 and 416 are positioned. Gate structures 406 and 416 may include dummy polysilicon lines sometimes referred to as PODEs. Each of the remaining gate structures 408 - 414 formed of one or more conductive materials (eg, polysilicon(s), metal(s)) overlay a respective portion of active regions 402 and 404 to form a transistor One of (302-316) can be defined.

예를 들면, 활성 영역(402)을 오버레이하는 게이트 구조체(408)의 부분은 트랜지스터(308)의 게이트를 정의할 수 있고, 게이트 구조체(408)의 좌측 및 우측 상에 배치되는 활성 영역(402)의 부분은 트랜지스터(308)의 소스 및 드레인을 각각 정의할 수 있다. 활성 영역(402)을 오버레이하는 게이트 구조체(410)의 부분은 트랜지스터(304)의 게이트를 정의할 수 있고, 게이트 구조체(410)의 좌측 및 우측 상에 배치되는 활성 영역(402)의 부분은 트랜지스터(304)의 드레인 및 소스를 각각 정의할 수 있다. 활성 영역(402)을 오버레이하는 게이트 구조체(412)의 부분은 트랜지스터(302)의 게이트를 정의할 수 있고, 게이트 구조체(412)의 좌측 및 우측 상에 배치되는 활성 영역(402)의 부분은 트랜지스터(302)의 드레인 및 소스를 각각 정의할 수 있다. 활성 영역(402)을 오버레이하는 게이트 구조체(414)의 부분은 트랜지스터(306)의 게이트를 정의할 수 있고, 게이트 구조체(414)의 좌측 및 우측 상에 배치되는 활성 영역(402)의 부분은 트랜지스터(306)의 소스 및 드레인을 각각 정의할 수 있다. 활성 영역(404)을 오버레이하는 게이트 구조체(408)의 부분은 트랜지스터(312)의 게이트를 정의할 수 있고, 게이트 구조체(408)의 좌측 및 우측 상에 배치되는 활성 영역(404)의 부분은 트랜지스터(312)의 소스 및 드레인을 각각 정의할 수 있다. 활성 영역(404)을 오버레이하는 게이트 구조체(410)의 부분은 트랜지스터(310)의 게이트를 정의할 수 있고, 게이트 구조체(410)의 좌측 및 우측 상에 배치되는 활성 영역(404)의 부분은 트랜지스터(310)의 소스 및 드레인을 각각 정의할 수 있다. 활성 영역(404)을 오버레이하는 게이트 구조체(412)의 부분은 트랜지스터(314)의 게이트를 정의할 수 있고, 게이트 구조체(412)의 좌측 및 우측 상에 배치되는 활성 영역(404)의 부분은 트랜지스터(314)의 드레인 및 소스를 각각 정의할 수 있다. 활성 영역(404)을 오버레이하는 게이트 구조체(414)의 부분은 트랜지스터(316)의 게이트를 정의할 수 있고, 게이트 구조체(414)의 좌측 및 우측 상에 배치되는 활성 영역(404)의 부분은 트랜지스터(316)의 드레인 및 소스를 각각 정의할 수 있다.For example, the portion of gate structure 408 overlying active region 402 may define a gate of transistor 308 , active region 402 disposed on the left and right sides of gate structure 408 . Portions of may define the source and drain of transistor 308 , respectively. The portion of the gate structure 410 overlying the active region 402 may define a gate of the transistor 304 , and the portion of the active region 402 disposed on the left and right sides of the gate structure 410 is a transistor. The drain and source of 304 may be defined respectively. The portion of the gate structure 412 overlying the active region 402 may define the gate of the transistor 302 , and the portion of the active region 402 disposed on the left and right sides of the gate structure 412 is the transistor. The drain and source of 302 may be defined respectively. The portion of the gate structure 414 overlying the active region 402 may define a gate of the transistor 306 , and the portion of the active region 402 disposed on the left and right sides of the gate structure 414 is a transistor. The source and drain of 306 may be defined respectively. The portion of the gate structure 408 overlying the active region 404 may define a gate of the transistor 312 , and the portion of the active region 404 disposed on the left and right sides of the gate structure 408 is a transistor. The source and drain of 312 may be defined respectively. The portion of the gate structure 410 overlying the active region 404 may define a gate of the transistor 310 , and the portion of the active region 404 disposed on the left and right sides of the gate structure 410 is a transistor. The source and drain of 310 may be defined, respectively. The portion of the gate structure 412 overlying the active region 404 may define a gate of the transistor 314 , and the portion of the active region 404 disposed on the left and right sides of the gate structure 412 is a transistor. The drain and source of 314 may be defined respectively. The portion of the gate structure 414 overlying the active region 404 may define a gate of the transistor 316 , and the portion of the active region 404 disposed on the left and right sides of the gate structure 414 is a transistor. The drain and source of 316 may be defined respectively.

레이아웃 설계(400)는 패턴(420, 422, 424, 426, 428, 430, 432, 434, 및 436)을 포함한다. 이하, "MD(420)", "MD(422)", "MD(424)", "MD(426)", "MD(428)", "MD(430)", "MD(432)", "MD(434)", 및 "MD(436)"인 소스/드레인 상호연결 구조체(예를 들면, MD)를 형성하도록 구성되는 패턴(420, 422, 424, 426, 428, 430, 432, 434, 및 436)은 Y 방향을 따라 연장될 수도 있다. MD(420-436)의 각각은, 대응하는 트랜지스터의 소스 또는 드레인을, 비아 상호연결 구조체를 통해 상호연결 구조체에 전기적으로 커플링할 수도 있다.Layout design 400 includes patterns 420 , 422 , 424 , 426 , 428 , 430 , 432 , 434 , and 436 . Hereinafter, “MD 420”, “MD 422”, “MD 424”, “MD 426”, “MD 428”, “MD 430”, “MD 432”” , “MD 434 ,” and “MD 436 .” 434 and 436 may extend along the Y direction. Each of MDs 420 - 436 may electrically couple a source or drain of a corresponding transistor to an interconnect structure through a via interconnect structure.

레이아웃 설계(400)는 패턴(440, 442, 444, 446, 448, 450, 452, 454, 및 456)을 포함한다. 패턴(440, 442, 444, 446, 448, 450, 452, 454, 및 456)은, 이하, "VD(440)", "VD(442)", "VD(444)", "VD(446)", "VD(448)", "VD(450)", "VD(452)", "VD(454)", 및 "VD(456)"인 비아 상호연결 구조체(예를 들면, VD)를 형성하도록 구성될 수도 있다. VD(452)를 제외한 VD(440-456)의 각각은, 대응하는 MD를 상호연결 구조체에 전기적으로 커플링하기 위해, 수직 방향(예를 들면, X 방향 및 Y 방향에 수직인 방향)을 따라 각각의 높이만큼 연장될 수도 있다.Layout design 400 includes patterns 440 , 442 , 444 , 446 , 448 , 450 , 452 , 454 , and 456 . The patterns 440 , 442 , 444 , 446 , 448 , 450 , 452 , 454 , and 456 are hereinafter referred to as “VD(440)”, “VD(442)”, “VD(444)”, “VD(446)” )", "VD 448", "VD 450", "VD 452", "VD 454", and "VD 456" via interconnect structures (eg, VDs). It may be configured to form Each of VDs 440 - 456 , except for VD 452 , along a vertical direction (eg, a direction perpendicular to the X and Y directions) to electrically couple the corresponding MD to the interconnect structure. It may extend by each height.

레이아웃 설계(400)는 패턴(458, 460, 462, 및 464)을 포함한다. 패턴(458, 460, 462, 및 464)은, 이하 "VG(458)", "VG(460)", "VG(462)" 및 "VG(464)"인 비아 상호연결 구조체(예를 들면, VG)를 형성하도록 구성될 수도 있다. VG(460)를 제외한 VG(458-464)의 각각은, 대응하는 게이트 구조체를 상호연결 구조체에 커플링하기 위해, 수직 방향(예를 들면, X 방향 및 Y 방향에 수직인 방향)을 따라 각각의 높이만큼 연장될 수도 있다. 몇몇 실시형태에서, 패턴(452 및 460)은, 이하 "VD+VG(491)"인 VD와 VG의 조합을 형성하기 위해 서로 부분적으로 중첩될 수도 있다. 게다가, 레이아웃 설계(400)는, VD+VG(491)의 리세싱된 상부 부분을 유전체 재료로 충전하는 것에 의해 유전체 리세스 구조체(이하, "리세스(461)")를 형성하기 위해 패턴(452 및 460)의 각각의 부분과 중첩되는 패턴(461)을 포함한다.Layout design 400 includes patterns 458 , 460 , 462 , and 464 . Patterns 458 , 460 , 462 , and 464 are via interconnect structures (eg, “VG 458 ,” “VG 460 ,” “VG 462 ,” and “VG 464 )” hereinafter. , VG). Each of VGs 458-464 except for VG 460 is each along a vertical direction (eg, a direction perpendicular to the X and Y directions) to couple a corresponding gate structure to the interconnect structure. It may be extended by the height of In some embodiments, patterns 452 and 460 may partially overlap each other to form a combination of VD and VG, hereinafter “VD+VG 491 ”. In addition, the layout design 400 includes a pattern (hereinafter, "recess 461") to form a dielectric recess structure (hereinafter "recess 461") by filling the recessed upper portion of VD+VG 491 with a dielectric material. and a pattern 461 overlapping respective portions of 452 and 460 .

레이아웃 설계(400)는 패턴(470, 472, 474, 476, 및 478)을 포함한다. 기판 위에, 이하, 각각, "전력 레일(470)", "M0 트랙(472)", "M0 트랙(474)", "M0 트랙(476)" 및 "전력 레일(478)"인 상호연결 구조체(예를 들면, M0 신호 트랙 또는 전력 레일)를 형성하도록 구성되는 패턴(470, 472, 474, 476, 및 478)은 X 방향을 따라 연장될 수도 있다. 몇몇 실시형태에서, 레이아웃 설계(셀)의 제3 경계부를 따라 또는 위에 배치되는 전력 레일(470)은 제1 공급 전압(예를 들면, VDD)을 전달하도록 구성될 수도 있고; 레이아웃 설계(셀)의 제4 경계부를 따라 또는 그 위에 배치되는 전력 레일(478)은 제2 공급 전압(예를 들면, VSS)을 전달하도록 구성될 수도 있다. 도 3에서 도시되는 바와 같이 트랜지스터(302-316)를 연결하기 위해, M0 트랙 중 일부는 하나 이상의 M0 절단 패턴(cut pattern)에 의해 복수의 부분으로 "절단"될 수도 있다. 예를 들면, M0 트랙(474)은 절단 패턴(465)(이하, "절단된 M0(cut M0)(465)")에 의해 M0 트랙 부분(474-1 및 474-2)으로 절단될 수도 있고; M0 트랙(476)은 절단 패턴(467)(이하, "절단된 M0(467)") 및 절단 패턴(463)(이하 "절단된 M0(463)")에 의해 M0 트랙 부분(476-1, 476-2, 및 476-3)으로 각각 절단될 수도 있다. 몇몇 실시형태에서, 절단된 M0(463, 465, 및 467)은 대응하는 M0 트랙 부분을 서로 전기적으로 격리하기 위해 유전체 재료로 충전 또는 리필될 수도 있다.Layout design 400 includes patterns 470 , 472 , 474 , 476 , and 478 . Over the substrate, interconnect structures hereinafter referred to as “power rail 470 ,” “MO track 472 ,” “MO track 474 ,” “MO track 476 ,” and “power rail 478 ,” respectively, Patterns 470 , 472 , 474 , 476 , and 478 configured to form (eg, an M0 signal track or power rail) may extend along the X direction. In some embodiments, a power rail 470 disposed along or over a third boundary of the layout design (cell) may be configured to carry a first supply voltage (eg, VDD); A power rail 478 disposed along or above the fourth boundary of the layout design (cell) may be configured to carry a second supply voltage (eg, VSS). To connect transistors 302-316 as shown in FIG. 3 , some of the M0 tracks may be “cut” into a plurality of portions by one or more M0 cut patterns. For example, the M0 track 474 may be cut into the M0 track portions 474-1 and 474-2 by a cut pattern 465 (hereinafter “cut M0 465”) and ; The M0 track 476 is formed by the M0 track portion 476-1, by a cut pattern 467 (hereinafter “truncated M0 467”) and a cut pattern 463 (hereinafter “truncated M0 463”). 476-2, and 476-3), respectively. In some embodiments, the cut M0s 463 , 465 , and 467 may be filled or refilled with a dielectric material to electrically isolate the corresponding M0 track portions from each other.

레이아웃 설계(400)(도 4)와 회로(300)(도 3) 사이의 관련성은 다음의 논의를 통해 추가로 예시될 수 있다. 예를 들면, 게이트 구조체(412)의 우측 상의 활성 영역(402)의 부분(트랜지스터(302)의 소스) 및 게이트 구조체(414)의 좌측 상의 활성 영역(402)의 부분(트랜지스터(306)의 소스) 둘 모두는 MD(426) 및 VD(446)에 의해 전력 레일(470)(VDD)에 전기적으로 커플링된다. 게이트 구조체(410)의 우측 상의 활성 영역(404)의 부분(트랜지스터(310)의 드레인) 및 게이트 구조체(412)의 좌측 상의 활성 영역(404)의 부분(트랜지스터(314)의 드레인)은 MD(432) 및 VD(454)에 의해 M0 신호 트랙(474)에 전기적으로 커플링되는데, M0 신호 트랙(474)은 다음 번 상부 상호연결층(예를 들면, M1층)에 배치되는, 출력(ZN)을 제공하도록 구성되는 상호연결 구조체에 연결될 수 있다. 게이트 구조체(408)의 좌측 상의 활성 영역(404)의 부분(트랜지스터(312)의 소스)은 MD(436) 및 VD(456)에 의해 VSS(전력 레일(478))에 전기적으로 커플링된다.The relationship between layout design 400 ( FIG. 4 ) and circuit 300 ( FIG. 3 ) may be further illustrated through the following discussion. For example, a portion of active region 402 on the right side of gate structure 412 (source of transistor 302 ) and a portion of active region 402 on the left side of gate structure 414 (source of transistor 306 ). ) are both electrically coupled to power rail 470 (VDD) by MD 426 and VD 446 . The portion of active region 404 (drain of transistor 310) on the right side of gate structure 410 and the portion of active region 404 (drain of transistor 314) on the left side of gate structure 412 are MD( 432 ) and VD 454 , electrically coupled to an M0 signal track 474 , which is then disposed in an upper interconnect layer (eg, M1 layer) output ZN ) to an interconnect structure configured to provide A portion of active region 404 (source of transistor 312 ) on the left side of gate structure 408 is electrically coupled to VSS (power rail 478 ) by MD 436 and VD 456 .

도 5를 참조하면, 몇몇 실시형태에 따른, 레이아웃 설계(400)에 따라 제조되는 상기 언급된 반도체 디바이스(500)의 일부의 단면도가 묘사된다. 도 5에서 도시되는 반도체 디바이스(500)는 회로(300)(도 3)로서 기능하는 완성된 반도체 디바이스가 아니다는 것이 이해된다. 예를 들면, 도 5의 단면도는 레이아웃 설계의 일부(400')에 따라 제조되는 반도체 디바이스(500)의 일부를 묘사한다. 도 5에서 도시되는 바와 같이, 게이트 구조체(410, 412, 및 414)는 트랜지스터(310)의 게이트, 트랜지스터(314)의 게이트, 및 트랜지스터(316)의 게이트를 각각 형성하도록 활성 영역(404) 위에 배치되는데, 트랜지스터(310)의 소스(이하, "소스/드레인 영역(502)"), 트랜지스터(310)의 드레인 및 트랜지스터(314)의 드레인(이하, "소스/드레인 영역(504)") 및 트랜지스터(314)의 소스 및 트랜지스터(316)의 드레인(이하, "소스/드레인 영역(506)")이 활성 영역(404) 내에 형성된다. 도 4의 레이아웃 설계(400')에 대응하여, 소스/드레인 영역(502)에 연결되는 MD(434)는 게이트 구조체(410)의 좌측 상에 배치된다. MD(434)는 절단된 M0(467)와 수직으로 정렬될 수도 있다. 게이트 구조체(410)는 VG(462)를 통해 M0 트랙 부분(476-2)에 연결된다. 소스/드레인 영역(504)에 연결되는 MD(432)는 게이트 구조체(410)의 우측 상에 배치되지만 그러나 M0 트랙 부분(476-2)에는 연결되지는 않는다. 게이트 구조체(412)는 VG(460) 및 VD(452)의 조합(VD+VG(491))을 통해 M0 트랙 부분(476-3)에 연결되는데, 리세스(461)는 VD+VG(491)의 조합의 상부 부분을 충전한다. 몇몇 실시형태에서, 리세스(461)의 적어도 일부는 절단된 M0(463)(유전체 재료로 충전됨) 및 게이트 구조체(412)와 수직으로 정렬된다. 그와 같이, 게이트 구조체(412)는, 하나 이상의 다른 M0 트랙 부분(예를 들면, 476-2)으로부터 전기적으로 격리되면서, M0 트랙 부분(476-3)에 전기적으로 커플링될 수 있다. 게다가, VD+VG(491)는 유전체 피처(508)에 의해 소스/드레인 영역(506)으로부터 전기적으로 격리된다.Referring to FIG. 5 , a cross-sectional view of a portion of the aforementioned semiconductor device 500 manufactured according to a layout design 400 is depicted, in accordance with some embodiments. It is understood that the semiconductor device 500 shown in FIG. 5 is not a complete semiconductor device that functions as the circuit 300 ( FIG. 3 ). For example, the cross-sectional view of FIG. 5 depicts a portion of a semiconductor device 500 that is fabricated according to a portion 400 ′ of a layout design. As shown in FIG. 5 , gate structures 410 , 412 , and 414 are over active region 404 to form a gate of transistor 310 , a gate of transistor 314 , and a gate of transistor 316 , respectively. a source of transistor 310 (hereinafter, “source/drain region 502”), a drain of transistor 310 and a drain of transistor 314 (hereinafter “source/drain region 504”); and A source of transistor 314 and a drain of transistor 316 (hereinafter, “source/drain region 506 ”) are formed in active region 404 . Corresponding to layout design 400' of FIG. 4 , MD 434 coupled to source/drain region 502 is disposed on the left side of gate structure 410 . MD 434 may be vertically aligned with truncated M0 467 . Gate structure 410 is coupled to M0 track portion 476 - 2 through VG 462 . MD 432 coupled to source/drain region 504 is disposed on the right side of gate structure 410 but not coupled to M0 track portion 476-2. Gate structure 412 is connected to M0 track portion 476-3 via a combination of VG 460 and VD 452 (VD+VG 491), recess 461 having VD+VG 491 ) to fill the upper part of the combination. In some embodiments, at least a portion of the recess 461 is vertically aligned with the cut M0 463 (filled with dielectric material) and the gate structure 412 . As such, gate structure 412 can be electrically coupled to M0 track portion 476-3 while being electrically isolated from one or more other M0 track portions (eg, 476-2). In addition, VD+VG 491 is electrically isolated from source/drain regions 506 by dielectric features 508 .

VD+VG(491)를 리세싱함으로써, 게이트 구조체(412)의 연결 지점은, 대략적으로 게이트 구조체(412)가 형성되는 곳으로부터 대략적으로 소스/드레인 영역(506)이 형성되는 곳으로 측방향으로 시프트될 수 있다. 절단된 M0(463)은, 그에 따라, 대략적으로 MD(432)가 형성되는 곳으로부터 대략적으로 게이트 구조체(412)가 형성되는 곳으로 시프트될 수 있다. 그와 같이, M0 트랙 부분(476-2)의 측방 폭 "W"는 압착되지 않을 것이다. 몇몇 실시형태에서, 폭 W는 게이트 구조체 중 인접한 게이트 구조체 사이(예를 들면, 410과 412 사이)의 거리 "D"의 적어도 1.5 배로 유지될 수 있다.By recessing VD+VG 491 , the connection point of gate structure 412 is laterally from approximately where gate structure 412 is formed to approximately where source/drain regions 506 are formed. can be shifted. The truncated M0 463 may thus shift from approximately where the MD 432 is formed to approximately where the gate structure 412 is formed. As such, the lateral width "W" of the M0 track portion 476-2 will not be compressed. In some embodiments, the width W may be maintained at least 1.5 times the distance “D” between adjacent ones of the gate structures (eg, between 410 and 412).

도 4를 다시 참조하면, 레이아웃 설계(400)는 패턴(481, 483, 485, 487, 및 489)을 포함한다. 패턴(481, 483, 485, 487, 및 489)은 각각의 M0 트랙 위에, 이하, 각각, "VIA0(481)", "VIA0(483)", "VIA0(485)", "VIA0(487)", 및 "VIA0(489)"인 비아 상호연결 구조체(예를 들면, VIA0)를 형성하도록 구성될 수도 있다. VIA0(481-489)의 각각은, 대응하는 M0 트랙을 다음 번 상부 상호연결층(예를 들면, M1층)에 있는 상호연결 구조체에 전기적으로 커플링하기 위해 수직 방향(예를 들면, X 방향 및 Y 방향에 수직인 방향)을 따라 각각의 높이만큼 연장될 수도 있다.Referring back to FIG. 4 , layout design 400 includes patterns 481 , 483 , 485 , 487 , and 489 . Patterns 481, 483, 485, 487, and 489 are above each M0 track, below, respectively, "VIA0(481)", "VIA0(483)", "VIA0(485)", "VIA0(487)" “, and “VIA0 489 ” may be configured to form a via interconnect structure (eg, VIAO). Each of VIAOs 481-489 may be configured in a vertical direction (eg, X direction) to electrically couple a corresponding M0 track to an interconnect structure in the next upper interconnect layer (eg, M1 layer). and a direction perpendicular to the Y direction).

레이아웃 설계(400)는 패턴(480, 482, 484, 486, 및 488)을 포함한다. 패턴(480, 482, 484, 486, 및 488)은, 이하, 각각, "M1 트랙(480)", "M1 트랙(482)", "M1 트랙(484)", "M1 트랙(486)" 및 "M1 트랙(488)"인 상호연결 구조체(예를 들면, M1 트랙)를 다음 번 상부 상호연결층(M1)에서 형성하도록 구성될 수도 있다. M1 트랙(480-488)의 각각은 입력 A1, A2, B1, 및 B2(도 3) 중 하나를 수신하도록, 또는 출력 ZN(도 3)을 제공하도록 구성될 수도 있다. 예를 들면, M1 트랙(480)은 입력 B2를 수신하도록 구성된다. M1 트랙(480)으로부터, VIA0(481)을 통해, 또한 M0 트랙(474)을 통해, 그리고 그 다음 VG(458)를 통해, 입력 B2는 게이트 구조체(414)(트랜지스터(306 및 316)의 게이트)에 커플링될 수 있다. 다른 예에서, M1 트랙(482)은 입력 B1을 수신하도록 구성된다. M1 트랙(482)으로부터, 또한 M0 트랙(476)을 통해, 그리고 그 다음 VD+VG(491)를 통해, 입력 B1은 게이트 구조체(412)(트랜지스터(302 및 314)의 게이트)에 커플링될 수 있다.Layout design 400 includes patterns 480 , 482 , 484 , 486 , and 488 . Patterns 480, 482, 484, 486, and 488 are hereinafter referred to as “M1 track 480”, “M1 track 482”, “M1 track 484”, and “M1 track 486”, respectively. and an interconnect structure (eg, M1 track) that is “M1 track 488 ” in the next upper interconnect layer M1 . Each of M1 tracks 480 - 488 may be configured to receive one of inputs A1 , A2 , B1 , and B2 ( FIG. 3 ), or to provide an output ZN ( FIG. 3 ). For example, M1 track 480 is configured to receive input B2. From M1 track 480 , via VIA0 481 , also via M0 track 474 , and then via VG 458 , input B2 is connected to the gate structure 414 (the gates of transistors 306 and 316 ). ) can be coupled to In another example, M1 track 482 is configured to receive input B1. From M1 track 482 , also via M0 track 476 , and then via VD+VG 491 , input B1 is to be coupled to gate structure 412 (the gates of transistors 302 and 314 ). can

도 6a 및 도 6b를 참조하면, 몇몇 실시형태에 따른, 표준 셀의 예시적인 레이아웃 설계(600A 및 600B)가 각각 묘사된다. 레이아웃 설계(600A 및 600B)는 도 3의 회로(300)로서 기능하는 반도체 디바이스(예를 들면, 도 7의 700)의 적어도 일부를 제조하기 위해 사용될 수도 있다. 본원에서 개시되는 리세싱된 상호연결 구조체를 채택하는 것에 의해, 레이아웃 설계(600A 및 600B)(또는 표준 셀)의 치수(예를 들면, 면적)는 상기 식별된 라우팅 이슈로부터 영향을 받지 않으면서, 축소될 수 있다. 예를 들면, 표준 셀의 셀 폭은 상대적으로 적은 수의 게이트 구조체(예를 들면, 5 개 이하의 게이트 구조체 공간)에 비례하도록 감소될 수도 있고, 표준 셀의 셀 높이는 상대적으로 적은 수의 신호 트랙(예를 들면, 3 개 이하의 M0 상호연결 구조체 공간)에 비례하도록 감소될 수도 있다.6A and 6B , exemplary layout designs 600A and 600B of a standard cell are depicted, respectively, in accordance with some embodiments. Layout designs 600A and 600B may be used to fabricate at least a portion of a semiconductor device that functions as circuit 300 of FIG. 3 (eg, 700 of FIG. 7 ). By employing the recessed interconnect structures disclosed herein, the dimensions (e.g., area) of the layout designs 600A and 600B (or standard cells) are not affected by the routing issues identified above, can be reduced For example, the cell width of a standard cell may be reduced proportionally to a relatively small number of gate structures (eg, no more than 5 gate structure spaces), and the cell height of a standard cell has a relatively small number of signal tracks. (eg, no more than 3 M0 interconnect structure spaces) may be reduced proportionally.

레이아웃 설계(600A 및 600B)에 대응하는 반도체 디바이스는, 활성 영역의 제1 레벨을 따라, 제1 전도성 타입을 갖는, 트랜지스터(302-308)의 각각의 활성 피처를, 그리고 활성 영역의 제2 레벨을 따라, 제2 전도성 타입을 갖는, 트랜지스터(310-316)의 각각의 활성 피처를 형성하는 것에 기초하여 제조될 수도 있다. 제1 레벨과 제2 레벨은 서로 수직으로 정렬될 수도 있다. 상이한 전도 타입의 트랜지스터를 두 개의 수직으로 정렬된 레벨에 배치하기 위한 구조/구성은, 때때로, 상보형 전계 효과 트랜지스터(CFET) 구성으로 지칭된다. 몇몇 실시형태에서, 그러한 CFET의 전력 레일은, 제1 또는 제2 전도 타입의 트랜지스터 중 하나가 형성되는 상위 레벨 위에 또는 제1 또는 제2 전도 타입의 트랜지스터 중 다른 하나가 형성되는 하위 레벨 아래에 배치될 수 있다. 전력 레일을 하위 레벨 아래에 배치하는 경우, CFET는 매립된 전력을 갖는 CFET로 통상적으로 칭해진다. 전력 레일을 매립하는 것에 의해, 대응하는 셀의 면적(예를 들면, 셀 높이)은, 예를 들면, 대략 30 내지 40 %만큼 추가로 감소될 수 있다. 반도체 디바이스(700)는, 도 7에서 도시되는 바와 같이, 매립된 전력을 갖는 그러한 CFET의 예를 제공한다.A semiconductor device corresponding to layout designs 600A and 600B includes, along a first level of an active region, each active feature of transistors 302-308 having a first conductivity type, and a second level of the active region, respectively. may be fabricated based on forming each active feature of transistors 310 - 316 , having a second conductivity type. The first level and the second level may be aligned perpendicular to each other. A structure/configuration for placing transistors of different conduction types in two vertically aligned levels is sometimes referred to as a complementary field effect transistor (CFET) configuration. In some embodiments, the power rails of such CFETs are disposed above an upper level in which one of the transistors of the first or second conductivity type is formed or below a lower level in which the other of the transistors of the first or second conduction type is formed. can be When placing the power rails below the lower level, the CFET is commonly referred to as a CFET with buried power. By embedding the power rails, the area (eg, cell height) of the corresponding cell can be further reduced, for example, by approximately 30-40%. Semiconductor device 700 provides an example of such a CFET with buried power, as shown in FIG. 7 .

도 7은, 몇몇 실시형태에 따른, 레이아웃 설계(600A 및 600B)에 기초하여 제조되는 반도체 디바이스(700)의 사시도를 제공한다. 따라서, 레이아웃 설계(600A 및 600B)는 도 7과 연계하여 논의될 것이다. 도 7의 반도체 디바이스(700)는 예시의 목적을 위해 단순화되며, 따라서, 레이아웃 설계(600A 및 600B)에 포함되는 피처/영역/구조체 중 일부는 도 7에서 도시되지 않을 수도 있다는 것이 이해된다.7 provides a perspective view of a semiconductor device 700 fabricated based on layout designs 600A and 600B, in accordance with some embodiments. Accordingly, layout designs 600A and 600B will be discussed in conjunction with FIG. 7 . The semiconductor device 700 of FIG. 7 is simplified for purposes of illustration, and thus, it is understood that some of the features/regions/structures included in the layout designs 600A and 600B may not be shown in FIG. 7 .

도 6a에서, 레이아웃 설계(600A)는 패턴(604)을 포함한다. 하위 레벨에서, 이하 "활성 영역(604)"인 활성 영역을 기판 위에 형성하도록 구성되는 패턴(604)은 X 방향을 따라 연장될 수도 있다. 활성 영역(604)은 제1 전도성 타입으로 특성 묘사될 수도 있다. 예를 들면, 활성 영역(604)은 n 타입 도핑 영역을 포함한다. 활성 영역(604)은, 예를 들면, FinFET, 나노시트 트랜지스터, 등등과 같은 다양한 구성으로 트랜지스터(310-316)를 형성할 수 있다.In FIG. 6A , layout design 600A includes pattern 604 . At a lower level, a pattern 604 configured to form an active region over the substrate, hereinafter "active region 604 ," may extend along the X-direction. Active region 604 may be characterized as a first conductivity type. For example, active region 604 includes an n-type doped region. Active region 604 may form transistors 310 - 316 in various configurations, such as, for example, FinFETs, nanosheet transistors, and the like.

레이아웃 설계(600A)는 패턴(612, 614, 616, 618, 620, 및 622)을 포함한다. 하위 레벨에서, 이하, 각각, "게이트 구조체(612)", "게이트 구조체(614)", "게이트 구조체(616)", "게이트 구조체(618)", "게이트 구조체(620)", 및 "게이트 구조체(622)"인 게이트 구조체를 형성하도록 구성되는 패턴(612, 614, 616, 618, 620, 및 622)은 Y 방향을 따라 연장될 수도 있다. 게이트 구조체(612)는 레이아웃 설계(600A)(또는 셀)의 제1 경계부를 따라 또는 그 위에 배치될 수도 있고, 게이트 구조체(622)는 레이아웃 설계(600A)(또는 셀)의 제2 경계부를 따라 또는 그 위에 배치될 수도 있다. 게이트 구조체(612 및 622)는 전기적 또는 전도성 경로를 제공하지 않을 수도 있고, 사이에 게이트 구조체(612 및 622)가 위치되는 컴포넌트에 걸친 전류 누출을 방지할 수도 있거나 또는 적어도 감소/최소화할 수도 있다. 게이트 구조체(612 및 622)는, PODE로 종종 지칭되는 더미 폴리실리콘 라인을 포함할 수 있다. 하나 이상의 전도성 재료(예를 들면, 폴리실리콘(들), 금속(들))로 형성되는 나머지 게이트 구조체(614-620)의 각각은, 활성 영역(604)의 각각의 부분을 오버레이하여 트랜지스터(310-316) 중 하나를 정의할 수 있다.Layout design 600A includes patterns 612 , 614 , 616 , 618 , 620 , and 622 . At a lower level, hereinafter, “gate structure 612”, “gate structure 614”, “gate structure 616”, “gate structure 618”, “gate structure 620”, and “gate structure 614”, respectively The patterns 612 , 614 , 616 , 618 , 620 , and 622 configured to form a gate structure that are "gate structure 622 ," may extend along the Y direction. Gate structure 612 may be disposed along or over a first boundary of layout design 600A (or cell), and gate structure 622 along a second boundary of layout design 600A (or cell). Or it may be disposed on it. Gate structures 612 and 622 may not provide an electrical or conductive path, and may prevent or at least reduce/minimize current leakage across the component between which gate structures 612 and 622 are positioned. Gate structures 612 and 622 may include dummy polysilicon lines sometimes referred to as PODEs. Each of the remaining gate structures 614-620 formed of one or more conductive materials (eg, polysilicon(s), metal(s)) overlays a respective portion of the active region 604 to form the transistor 310 . -316) can be defined.

예를 들면, 활성 영역(604)을 오버레이하는 게이트 구조체(614)의 부분은 트랜지스터(316)의 게이트를 정의할 수 있고, 게이트 구조체(614)의 좌측 및 우측 상에 배치되는 활성 영역(604)의 부분은 트랜지스터(316)의 소스 및 드레인을 각각 정의할 수 있다. 활성 영역(604)을 오버레이하는 게이트 구조체(616)의 부분은 트랜지스터(314)의 게이트를 정의할 수 있고, 게이트 구조체(616)의 좌측 및 우측 상에 배치되는 활성 영역(604)의 부분은 트랜지스터(314)의 소스 및 드레인을 각각 정의할 수 있다. 활성 영역(604)을 오버레이하는 게이트 구조체(618)의 부분은 트랜지스터(310)의 게이트를 정의할 수 있고, 게이트 구조체(618)의 좌측 및 우측 상에 배치되는 활성 영역(604)의 부분은 트랜지스터(310)의 드레인 및 소스를 각각 정의할 수 있다. 활성 영역(604)을 오버레이하는 게이트 구조체(620)의 부분은 트랜지스터(312)의 게이트를 정의할 수 있고, 게이트 구조체(620)의 좌측 및 우측 상에 배치되는 활성 영역(604)의 부분은 트랜지스터(312)의 소스 및 드레인을 각각 정의할 수 있다.For example, the portion of gate structure 614 overlying active region 604 may define the gate of transistor 316 , active region 604 disposed on the left and right sides of gate structure 614 . Portions of may define the source and drain of transistor 316, respectively. The portion of the gate structure 616 overlying the active region 604 may define the gate of the transistor 314 , and the portion of the active region 604 disposed on the left and right sides of the gate structure 616 is the transistor. The source and drain of 314 may be defined respectively. The portion of the gate structure 618 overlying the active region 604 may define the gate of the transistor 310 , and the portion of the active region 604 disposed on the left and right sides of the gate structure 618 is the transistor. A drain and a source of 310 may be defined, respectively. The portion of the gate structure 620 that overlays the active region 604 may define a gate of the transistor 312 , and the portion of the active region 604 disposed on the left and right sides of the gate structure 620 is a transistor. The source and drain of 312 may be defined respectively.

레이아웃 설계(600A)는 패턴(601 및 603)을 포함한다. 기판 위에, 이하, 각각, "전력 레일(601)" 및 "전력 레일(603)"인 전력 레일을 형성하도록 구성되는 패턴(601 및 603)은 X 방향을 따라 연장될 수도 있다. 몇몇 실시형태에서, 레이아웃 설계(셀)의 제3 경계부를 따라 배치되는 전력 레일(601)은 제1 공급 전압(예를 들면, VDD)을 전달하도록 구성될 수도 있고; 레이아웃 설계(셀)의 제4 경계부를 따라 배치되는 전력 레일(603)은 제2 공급 전압(예를 들면, VSS)을 전달하도록 구성될 수도 있다. 몇몇 실시형태에서, 전력 레일(601 및 603)은 활성 영역(604) 아래에 배치될 수도 있다.Layout design 600A includes patterns 601 and 603 . On the substrate, patterns 601 and 603 configured to form power rails hereinafter referred to as “power rails 601” and “power rails 603”, respectively, may extend along the X-direction. In some embodiments, a power rail 601 disposed along a third boundary of the layout design (cell) may be configured to carry a first supply voltage (eg, VDD); A power rail 603 disposed along a fourth boundary of the layout design (cell) may be configured to carry a second supply voltage (eg, VSS). In some embodiments, power rails 601 and 603 may be disposed below active area 604 .

레이아웃 설계(600A)는 패턴(624, 626, 628, 630, 및 632)을 포함한다. 하위 레벨에서, 이하, "MD(624)", "MD(626)", "MD(628)", "MD(630)", 및 "MD(632)"인 소스/드레인 상호연결 구조체(예를 들면, MD)를 형성하도록 구성되는 패턴( 624, 626, 628, 630, 및 632)은 Y 방향을 따라 연장될 수도 있다. MD(624, 628, 및 632)의 각각은, 대응하는 트랜지스터의 소스 또는 드레인을, 비아 상호연결 구조체를 통해 상호연결 구조체에 전기적으로 커플링할 수도 있다.Layout design 600A includes patterns 624 , 626 , 628 , 630 , and 632 . At a lower level, source/drain interconnect structures (e.g., "MD 624", "MD 626", "MD 628", "MD 630", and "MD 632" hereinafter) For example, patterns 624 , 626 , 628 , 630 , and 632 configured to form MD may extend along the Y direction. Each of MDs 624 , 628 , and 632 may electrically couple a source or drain of a corresponding transistor to an interconnect structure through a via interconnect structure.

레이아웃 설계(600A)는 패턴(634, 636, 638, 및 640)을 포함한다. 패턴(634, 636, 638, 및 640)은, 이하, "VD(634)", "VD(636)", "VD(638)", 및 "VD(640)"인 비아 상호연결 구조체(예를 들면, VD)를 형성하도록 구성될 수도 있다. VD(634-640)의 각각은, 대응하는 MD를 상호연결 구조체, 또는 전력 레일에 전기적으로 커플링하기 위해, 수직 방향(예를 들면, X 방향 및 Y 방향에 수직인 방향)을 따라 각각의 높이만큼 연장될 수도 있다. 예를 들면, 도 7의 사시도에서, VD(634)는 MD(624)를 전력 레일(603)(도 7에서 도시되지 않음)에 전기적으로 커플링하기 위해 (예를 들면, Z 방향을 따라) 수직으로 연장될 수 있고; VD(638)는 전력 레일(601)(도 7에서 도시되지 않음)을 상위 레벨에 있는 상호연결 구조체(예를 들면, MD(662))에 전기적으로 커플링하기 위해 (예를 들면, Z 방향을 따라) 수직으로 연장될 수 있고; VD(636)는 MD(632)를 전력 레일(603)(도 7에서 도시되지 않음)에 전기적으로 커플링하기 위해 (예를 들면, Z 방향을 따라) 수직으로 연장될 수 있다.Layout design 600A includes patterns 634 , 636 , 638 , and 640 . Patterns 634 , 636 , 638 , and 640 are hereinafter referred to as "VD 634", "VD 636", "VD 638", and "VD 640" via interconnect structures (eg, For example, it may be configured to form VD). Each of the VDs 634-640 has a respective one along a vertical direction (eg, a direction perpendicular to the X and Y directions) to electrically couple a corresponding MD to an interconnect structure, or power rail. It may be extended by the height. For example, in the perspective view of FIG. 7 , VD 634 is (eg, along the Z direction) to electrically couple MD 624 to power rail 603 (not shown in FIG. 7 ). may extend vertically; VD 638 is configured to electrically couple power rail 601 (not shown in FIG. 7 ) to an interconnect structure at an upper level (eg, MD 662 ) (eg, in the Z direction). along) may extend vertically; VD 636 may extend vertically (eg, along the Z direction) to electrically couple MD 632 to power rail 603 (not shown in FIG. 7 ).

도 6b에서, 레이아웃 설계(600B)는 패턴(644)을 포함한다. 하위 레벨에서, 이하 "활성 영역(644)"인 활성 영역을 기판 위에 형성하도록 구성되는 패턴(644)은 X 방향을 따라 연장될 수도 있다. 활성 영역(644)은 제2 전도성 타입으로 특성 묘사될 수도 있다. 예를 들면, 활성 영역(644)은 p 타입 도핑 영역을 포함한다. 활성 영역(644)은, 예를 들면, FinFET, 나노시트 트랜지스터, 등등과 같은 다양한 구성으로 트랜지스터(302-308)를 형성할 수 있다.In FIG. 6B , the layout design 600B includes a pattern 644 . At a lower level, a pattern 644 configured to form an active region over the substrate, hereinafter referred to as “active region 644 ,” may extend along the X direction. Active region 644 may be characterized as a second conductivity type. For example, active region 644 includes a p-type doped region. Active region 644 may form transistors 302-308 in various configurations, such as, for example, FinFETs, nanosheet transistors, and the like.

레이아웃 설계(600B)는 패턴(646, 648, 650, 652, 654, 및 656)을 포함한다. 상위 레벨에서, 이하, 각각, "게이트 구조체(646)", "게이트 구조체(648)", "게이트 구조체(650)", "게이트 구조체(652)", "게이트 구조체(654)", 및 "게이트 구조체(656)"인 게이트 구조체를 형성하도록 구성되는 패턴(646, 648, 650, 652, 654, 및 656)은 Y 방향을 따라 연장될 수도 있다. 몇몇 실시형태에서, 게이트 구조체(646, 648, 650, 652, 654, 및 656)는, 도 7에서 예시되는 바와 같이, 각각, 게이트 구조체(612, 614, 616, 618, 620, 및 622)와 수직으로 정렬될 수도 있다(및/또는 그들에 전기적으로 커플링될 수도 있다). 몇몇 실시형태에서, 게이트 구조체(646, 648, 650, 652, 654, 및 656)는, 각각, 게이트 구조체(612, 614, 616, 618, 620, 및 622)와 일체로 병합될 수도 있다. 따라서, 게이트 구조체(646 및 656)는 PODE로서 형성될 수 있다. 하나 이상의 전도성 재료(예를 들면, 폴리실리콘(들), 금속(들))로 형성되는 나머지 게이트 구조체(648-654)의 각각은 활성 영역(644)의 각각의 부분을 오버레이하여 트랜지스터(302-308) 중 하나를 정의할 수 있다.Layout design 600B includes patterns 646 , 648 , 650 , 652 , 654 , and 656 . At a higher level, hereinafter, “gate structure 646”, “gate structure 648”, “gate structure 650”, “gate structure 652”, “gate structure 654”, and “gate structure 654”, respectively The patterns 646 , 648 , 650 , 652 , 654 , and 656 configured to form a gate structure that are “gate structure 656 ” may extend along the Y direction. In some embodiments, gate structures 646 , 648 , 650 , 652 , 654 , and 656 are, respectively, with gate structures 612 , 614 , 616 , 618 , 620 , and 622 , as illustrated in FIG. 7 . may be vertically aligned (and/or electrically coupled to). In some embodiments, gate structures 646 , 648 , 650 , 652 , 654 , and 656 may be integrally incorporated with gate structures 612 , 614 , 616 , 618 , 620 , and 622 , respectively. Accordingly, gate structures 646 and 656 may be formed as PODEs. Each of the remaining gate structures 648 - 654 formed of one or more conductive materials (eg, polysilicon(s), metal(s)) overlay a respective portion of the active region 644 to thereby overlay the transistor 302 - 308) can be defined.

예를 들면, 활성 영역(644)을 오버레이하는 게이트 구조체(648)의 부분은 트랜지스터(306)의 게이트를 정의할 수 있고, 및 게이트 구조체(648)의 좌측 및 우측 상에 배치되는 활성 영역(644)의 부분은 트랜지스터(306)의 드레인 및 소스를 각각 정의할 수 있다. 활성 영역(644)을 오버레이하는 게이트 구조체(650)의 부분은 트랜지스터(302)의 게이트를 정의할 수 있고, 게이트 구조체(650)의 좌측 및 우측 상에 배치되는 활성 영역(644)의 부분은 트랜지스터(314)의 소스 및 드레인을 각각 정의할 수 있다. 활성 영역(644)을 오버레이하는 게이트 구조체(652)의 부분은 트랜지스터(304)의 게이트를 정의할 수 있고, 게이트 구조체(652)의 좌측 및 우측 상에 배치되는 활성 영역(644)의 부분은 트랜지스터(304)의 소스 및 드레인을 각각 정의할 수 있다. 활성 영역(644)을 오버레이하는 게이트 구조체(654)의 부분은 트랜지스터(308)의 게이트를 정의할 수 있고, 게이트 구조체(654)의 좌측 및 우측 상에 배치되는 활성 영역(644)의 부분은 트랜지스터(308)의 드레인 및 소스를 각각 정의할 수 있다.For example, the portion of gate structure 648 overlying active region 644 may define a gate of transistor 306 , and active region 644 disposed on the left and right sides of gate structure 648 . ) may define the drain and source of transistor 306 , respectively. The portion of the gate structure 650 that overlays the active region 644 may define the gate of the transistor 302 , and the portion of the active region 644 disposed on the left and right sides of the gate structure 650 is a transistor. The source and drain of 314 may be defined respectively. The portion of the gate structure 652 overlying the active region 644 may define the gate of the transistor 304 , and the portion of the active region 644 disposed on the left and right sides of the gate structure 652 is the transistor. The source and drain of 304 may be defined respectively. The portion of the gate structure 654 overlaying the active region 644 may define a gate of the transistor 308 , and the portion of the active region 644 disposed on the left and right sides of the gate structure 654 is the transistor. The drain and source of 308 may be defined respectively.

레이아웃 설계(600B)는 패턴(660, 662, 664, 666, 및 668)을 포함한다. 하위 레벨에서, 이하, "MD(660)", "MD(662)", "MD(664)", "MD(666)", 및 "MD(668)"인 소스/드레인 상호연결 구조체(예를 들면, MD)를 형성하도록 구성되는 패턴(660, 662, 664, 666, 및 668)은 Y 방향을 따라 연장될 수도 있다. MD(660, 662, 664, 666, 및 668)의 각각은, 대응하는 트랜지스터의 소스 또는 드레인을, 비아 상호연결 구조체를 통해 상호연결 구조체에 전기적으로 커플링할 수도 있다.Layout design 600B includes patterns 660 , 662 , 664 , 666 , and 668 . At a lower level, source/drain interconnect structures (eg, "MD 660", "MD 662", "MD 664", "MD 666", and "MD 668" hereinafter) For example, patterns 660 , 662 , 664 , 666 , and 668 configured to form MD may extend along the Y direction. Each of MDs 660 , 662 , 664 , 666 , and 668 may electrically couple a source or drain of a corresponding transistor to an interconnect structure through a via interconnect structure.

레이아웃 설계(600B)는 패턴(670, 672, 674, 676, 및 678)을 포함한다. 패턴(670, 672, 674, 676, 및 678)은, 이하, "VD(670)", "VD(672)", "VD(674)", "VD(676)", 및 "VD(678)"인 비아 상호연결 구조체(예를 들면, VD)를 형성하도록 구성될 수도 있다. VD(672)를 제외한 VD(670-678)의 각각은, 대응하는 MD를 상호연결 구조체에 전기적으로 커플링하기 위해, 수직 방향(예를 들면, X 방향 및 Y 방향에 수직인 방향)을 따라 각각의 높이만큼 연장될 수도 있다.Layout design 600B includes patterns 670 , 672 , 674 , 676 , and 678 . Patterns 670 , 672 , 674 , 676 , and 678 are hereinafter referred to as "VD 670", "VD 672", "VD 674", "VD 676", and "VD 678". )" may be configured to form a via interconnect structure (eg, VD). Each of the VDs 670-678 except for VD 672 is along a vertical direction (eg, a direction perpendicular to the X and Y directions) to electrically couple the corresponding MD to the interconnect structure. It may extend by each height.

레이아웃 설계(600B)는 패턴(680, 682, 684, 및 686)을 포함한다. 패턴(680, 682, 684, 및 686)은, 이하 "VG(680)", "VG(682)", "VG(684)", 및 "VG(686)"인 비아 상호연결 구조체(예를 들면, VG)를 형성하도록 구성될 수도 있다. VG(682)를 제외한 VG(680-686)의 각각은, 대응하는 게이트 구조체를 상호연결 구조체에 커플링하기 위해, 수직 방향(예를 들면, X 방향 및 Y 방향에 수직인 방향)을 따라 각각의 높이만큼 연장될 수도 있다. 몇몇 실시형태에서, 패턴(672 및 682)은, 이하 "VD+VG(691)"인 VD 및 VG의 조합을 형성하기 위해 서로 부분적으로 중첩될 수도 있다. 게다가, 레이아웃 설계(600B)는, VD+VG(691)의 리세싱된 상부 부분을 유전체 재료로 충전하는 것에 의해 유전체 리세스 구조체(이하, "리세스(683)")를 형성하기 위해 패턴(672 및 682)의 각각의 부분과 중첩되는 패턴(683)을 포함한다.Layout design 600B includes patterns 680 , 682 , 684 , and 686 . Patterns 680 , 682 , 684 , and 686 are via interconnect structures (eg, “VG 680 ,” “VG 682 ,” “VG 684 ,” and “VG 686 ,” hereinafter) For example, it may be configured to form VG). Each of VGs 680-686, except for VG 682, is respectively along a vertical direction (eg, a direction perpendicular to the X and Y directions) to couple a corresponding gate structure to the interconnect structure. It may be extended by the height of In some embodiments, patterns 672 and 682 may partially overlap one another to form a combination of VD and VG, hereinafter “VD+VG 691 ”. Furthermore, the layout design 600B includes a pattern (hereinafter, "recess 683") to form a dielectric recess structure (hereinafter "recess 683") by filling the recessed upper portion of VD+VG 691 with a dielectric material. pattern 683 overlapping each portion of 672 and 682 .

레이아웃 설계(600B)는 패턴(6888, 689, 및 690)을 포함한다. 이하, 각각, "M0 트랙(688)", "M0 트랙(689)", 및 "M0 트랙(690)"인 활성 영역(644) 위에 상호연결 구조체(예를 들면, M0 신호 트랙)를 형성하도록 구성되는 패턴(6888, 689, 및 690)은 X 방향을 따라 연장될 수도 있다. 도 3에서 도시되는 바와 같이 트랜지스터(302-316)를 연결하기 위해, M0 트랙 중 일부는 하나 이상의 M0 절단 패턴(cut pattern)에 의해 복수의 부분으로 "절단"될 수도 있다. 예를 들면, M0 트랙(688)은 절단 패턴(693)(이하 "절단된 M0(693)")에 의해 M0 트랙 부분(688-1 및 688-2)으로 절단될 수도 있고; M0 트랙(690)은 동일한 절단된 M0(693); 및 절단 패턴(463)(이하 "절단된 M0(463)")에 의해 M0 트랙 부분(690-1, 690-2, 및 690-3)으로 각각 절단될 수도 있다. 몇몇 실시형태에서, 절단된 M0(693 및 694)은 대응하는 M0 트랙 부분을 서로 전기적으로 격리하기 위해 유전체 재료로 충전 또는 리필될 수도 있다.Layout design 600B includes patterns 6888 , 689 , and 690 . to form an interconnect structure (eg, M0 signal track) over active region 644 , which is hereinafter “M0 track 688 ,” “M0 track 689 ,” and “M0 track 690 ,” respectively. The configured patterns 6888 , 689 , and 690 may extend along the X direction. To connect transistors 302-316 as shown in FIG. 3 , some of the M0 tracks may be “cut” into a plurality of portions by one or more M0 cut patterns. For example, the M0 track 688 may be cut into the M0 track portions 688-1 and 688-2 by a cut pattern 693 (hereinafter “cut M0 693”); M0 track 690 includes the same truncated M0 693; and M0 track portions 690-1, 690-2, and 690-3, respectively, by a cut pattern 463 (hereinafter “cut M0 463”). In some embodiments, the cut M0 693 and 694 may be filled or refilled with a dielectric material to electrically isolate the corresponding M0 track portions from each other.

레이아웃 설계(600A 및 600B)(도 6a 및 도 6b)와 회로(300)(도 3) 사이의 관련성은, 다음과 같이, 도 7의 반도체 디바이스(700)의 논의를 통해 추가로 예시될 수 있다. 예를 들면, 게이트 구조체(650)(트랜지스터(302)의 게이트)는 VD+VG(691)를 통해 M0 트랙 부분(690-1)에 전기적으로 커플링된다. 게이트 구조체(652)(트랜지스터(304)의 게이트)는 VG(684)를 통해 M0 트랙 부분(690-2)에 전기적으로 커플링된다. 여전히 다른 대표적인 예로서, 게이트 구조체(654)(트랜지스터(308)의 게이트)는 VG(686)를 통해 M0 트랙 부분(690-3)에 전기적으로 커플링된다. 게이트 구조체(648)(트랜지스터(306)의 게이트)는 VG(680)를 통해 M0 트랙 부분(688-1)에 전기적으로 커플링된다. 게이트 구조체(652)의 우측 상의 활성 영역(644)의 부분(트랜지스터(304)의 드레인) 및 게이트 구조체(654)의 좌측 상의 활성 영역(644)의 부분(트랜지스터(308)의 드레인)은 MD(666) 및 VD(676)를 통해 M0 트랙 부분(688-2)에 전기적으로 커플링된다. 게이트 구조체(616)의 우측 상의 활성 영역(604)의 부분(트랜지스터(314)의 드레인) 및 게이트 구조체(618)의 좌측 상의 활성 영역(604)의 부분(트랜지스터(310)의 드레인)은 MD(628) 및 VD(640)를 통해 M0 트랙 부분(688-2)에 전기적으로 커플링된다.The relationship between the layout designs 600A and 600B ( FIGS. 6A and 6B ) and the circuit 300 ( FIG. 3 ) can be further illustrated through discussion of the semiconductor device 700 of FIG. 7 , as follows. . For example, gate structure 650 (the gate of transistor 302 ) is electrically coupled to M0 track portion 690 - 1 via VD+VG 691 . Gate structure 652 (the gate of transistor 304 ) is electrically coupled to M0 track portion 690 - 2 via VG 684 . As yet another representative example, gate structure 654 (gate of transistor 308 ) is electrically coupled to M0 track portion 690 - 3 via VG 686 . Gate structure 648 (the gate of transistor 306 ) is electrically coupled to M0 track portion 688 - 1 via VG 680 . The portion of active region 644 on the right side of gate structure 652 (drain of transistor 304) and the portion of active region 644 on the left side of gate structure 654 (drain of transistor 308) are MD( 666 ) and VD 676 , electrically coupled to M0 track portion 688 - 2 . The portion of active region 604 (drain of transistor 314) on the right side of gate structure 616 and the portion of active region 604 (drain of transistor 310) on the left side of gate structure 618 are MD( 628) and via VD 640 electrically coupled to M0 track portion 688-2.

몇몇 실시형태에서, VD+VG(691)를 리세싱함으로써, 게이트 구조체(650)의 연결 지점은, 대략적으로 게이트 구조체(650)가 형성되는 곳으로부터 대략적으로 (게이트 구조체(302)의 좌측 상의) 트랜지스터(302)의 소스가 형성되는 곳으로 측방향으로 시프트될 수 있다. 절단된 M0(693)은, 그에 따라, 대략적으로 MD(662)가 형성되는 곳으로부터 대략적으로 게이트 구조체(650)가 형성되는 곳으로 시프트될 수 있다. 그와 같이, M0 트랙 부분(690-2)의 측방 폭은 압착되지 않을 것이고, 충분히 큰 랜딩 폭(예를 들면, 게이트 구조체 중 인접한 게이트 구조체 사이의 거리의 1.5 배 이상)으로 특성 묘사될 수 있다.In some embodiments, by recessing VD+VG 691 , the connection point of gate structure 650 is approximately (on the left side of gate structure 302 ) from approximately from where gate structure 650 is formed. The source of transistor 302 may be shifted laterally to where it is formed. The truncated M0 693 may thus shift from approximately where MD 662 is formed to approximately where gate structure 650 is formed. As such, the lateral width of the M0 track portion 690 - 2 will not be compressed and may be characterized as a sufficiently large landing width (eg, at least 1.5 times the distance between adjacent ones of the gate structures). .

도 6b를 다시 참조하면, 레이아웃 설계(600B)는 패턴(671, 673, 675, 677, 및 679)을 포함한다. 패턴(671, 673, 675, 677, 및 679)은 각각의 M0 트랙 위에, 이하, 각각, "VIA0(671)", "VIA0(673)", "VIA0(675)", "VIA0(677)", 및 "VIA0(679)"인 비아 상호연결 구조체(예를 들면, VIA0)를 형성하도록 구성될 수도 있다. VIA0(671, 673, 675, 677, 및 679)의 각각은, 대응하는 M0 트랙을 다음 번 상부 상호연결층(예를 들면, M1층)에 있는 상호연결 구조체에 전기적으로 커플링하기 위해 수직 방향(예를 들면, X 방향 및 Y 방향에 수직인 방향)을 따라 각각의 높이만큼 연장될 수도 있다.Referring again to FIG. 6B , layout design 600B includes patterns 671 , 673 , 675 , 677 , and 679 . Patterns 671, 673, 675, 677, and 679 are above each M0 track, below, respectively, "VIA0(671)", "VIA0(673)", "VIA0(675)", "VIA0(677)" “, and “VIA0 679” may be configured to form a via interconnect structure (eg, VIAO). Each of VIAOs 671 , 673 , 675 , 677 , and 679 is vertically oriented to electrically couple a corresponding M0 track to an interconnect structure in the next upper interconnect layer (eg, layer M1 ). (eg, a direction perpendicular to the X-direction and the Y-direction) may extend by respective heights.

레이아웃 설계(600B)는 패턴(681, 683, 685, 687, 및 689)을 포함한다. 패턴(681, 683, 685, 687, 및 689)은, 이하, 각각, "M1 트랙(681)", "M1 트랙(683)", "M1 트랙(685)", "M1 트랙(687)" 및 "M1 트랙(689)"인 상호연결 구조체(예를 들면, M1 트랙)를 다음 번 상부 상호연결층(M1)에서 형성하도록 구성될 수도 있다. M1 트랙(681, 683, 685, 687, 및 689)의 각각은 입력 A1, A2, B1, 및 B2(도 3) 중 하나를 수신하도록, 또는 출력 ZN(도 3)을 제공하도록 구성될 수도 있다. 예를 들면, M1 트랙(683)은 입력 B2를 수신하도록 구성된다. M1 트랙(683)으로부터, VIA0(673)을 통해, 또한 M0 트랙(688)을 통해, 그리고 그 다음 VG(680)를 통해, 입력 B2는 게이트 구조체(648)(트랜지스터(306)의 게이트) 및 게이트 구조체(614)(트랜지스터(316)의 게이트)에 커플링될 수 있다. 다른 예에서, M1 트랙(681)은 입력 B1을 수신하도록 구성된다. M1 트랙(681)으로부터 그리고 VD+VG(691)를 통해, 입력 B1은 게이트 구조체(650)(트랜지스터(302)의 게이트) 및 게이트 구조체(616)(트랜지스터(314)의 게이트)에 커플링될 수 있다.Layout design 600B includes patterns 681 , 683 , 685 , 687 , and 689 . Patterns 681, 683, 685, 687, and 689 are hereinafter referred to as "M1 track 681", "M1 track 683", "M1 track 685", and "M1 track 687", respectively. and an interconnect structure (eg, M1 track) that is “M1 track 689 ” in the next upper interconnect layer M1 . Each of M1 tracks 681 , 683 , 685 , 687 , and 689 may be configured to receive one of the inputs A1 , A2 , B1 , and B2 ( FIG. 3 ), or to provide an output ZN ( FIG. 3 ). . For example, M1 track 683 is configured to receive input B2. From M1 track 683 , via VIAO 673 , also via M0 track 688 , and then via VG 680 , input B2 is connected to a gate structure 648 (the gate of transistor 306 ) and may be coupled to a gate structure 614 (the gate of the transistor 316 ). In another example, M1 track 681 is configured to receive input B1. From M1 track 681 and via VD+VG 691 , input B1 is to be coupled to gate structure 650 (gate of transistor 302 ) and gate structure 616 (gate of transistor 314 ). can

도 8은, 몇몇 실시형태에 따른, 반도체 디바이스를 형성 또는 제조하는 방법(800)의 플로우차트이다. 추가적인 동작이 도 8에서 묘사되는 방법(800) 이전에, 동안에 및/또는 이후에 수행될 수도 있다는 것이 이해된다. 몇몇 실시형태에서, 방법(800)은 본원에서 개시되는 바와 같은 다양한 레이아웃 설계에 따라, 반도체 디바이스를 형성하기 위해 사용될 수 있다.8 is a flowchart of a method 800 of forming or fabricating a semiconductor device, in accordance with some embodiments. It is understood that additional operations may be performed before, during, and/or after the method 800 depicted in FIG. 8 . In some embodiments, method 800 may be used to form a semiconductor device, according to various layout designs as disclosed herein.

방법(800)의 동작(810)에서, 반도체 디바이스의 레이아웃 설계가 생성된다. 동작(810)은 레이아웃 설계를 생성하기 위한 명령어를 실행하도록 구성되는 프로세싱 디바이스(예를 들면, 프로세서(902)(도 9))에 의해 수행된다. 하나의 접근법에서, 레이아웃 설계는 사용자 인터페이스를 통해 하나 이상의 표준 셀의 레이아웃 설계를 배치하는 것에 의해 생성된다. 하나의 접근법에서, 레이아웃 설계는 로직 설계(예를 들면, Verilog)를 대응하는 레이아웃 설계로 변환하는 합성 툴을 실행하는 프로세서에 의해 자동적으로 생성된다. 몇몇 실시형태에서, 레이아웃 설계는 그래픽 데이터베이스 시스템(GDSII) 파일 포맷으로 렌더링된다.In operation 810 of method 800 , a layout design of a semiconductor device is generated. Operation 810 is performed by a processing device (eg, processor 902 ( FIG. 9 )) configured to execute instructions for generating the layout design. In one approach, the layout design is created by placing the layout design of one or more standard cells through a user interface. In one approach, the layout design is automatically generated by a processor executing a synthesis tool that converts a logic design (eg, Verilog) into a corresponding layout design. In some embodiments, the layout design is rendered in a graphical database system (GDSII) file format.

방법(800)의 동작(820)에서, 반도체 디바이스는 레이아웃 설계에 기초하여 제조된다. 몇몇 실시형태에서, 방법(800)의 동작(820)은 레이아웃 설계에 기초하여 적어도 하나의 마스크를 제조하는 것, 및 적어도 하나의 마스크에 기초하여 반도체 디바이스를 제조하는 것을 포함한다. 동작(820)의 다수의 예시적인 제조 동작이 하기의 도 11의 방법(1100)과 관련하여 논의될 것이다.In an operation 820 of the method 800 , a semiconductor device is fabricated based on the layout design. In some embodiments, operation 820 of method 800 includes manufacturing at least one mask based on the layout design, and manufacturing a semiconductor device based on the at least one mask. A number of exemplary manufacturing operations of operation 820 will be discussed in connection with the method 1100 of FIG. 11 below.

도 9는, 몇몇 실시형태에 따른, IC 레이아웃 설계를 설계하고 제조하기 위한 시스템(900)의 개략도이다. 시스템(900)은 본원에서 설명되는 하나 이상의 IC 레이아웃 설계를 생성 또는 배치한다. 몇몇 실시형태에서, 시스템(900)은 본원에서 설명되는 하나 이상의 IC 레이아웃 설계에 기초하여 하나 이상의 반도체 디바이스를 제조한다. 시스템(900)은 하드웨어 프로세서(902) 및 컴퓨터 프로그램 코드(906), 예를 들면, 실행 가능한 명령어의 세트로 인코딩되는, 예를 들면, 그 실행 가능한 명령어의 세트를 저장하는 비일시적 컴퓨터 판독 가능 저장 매체(904)를 포함한다. 컴퓨터 판독 가능 저장 매체(904)는 반도체 디바이스를 생성하기 위한 제조 머신과 인터페이싱하도록 구성된다. 프로세서(902)는 버스(908)를 통해 컴퓨터 판독 가능 저장 매체(904)에 전기적으로 커플링된다. 프로세서(902)는 또한 버스(908)에 의해 I/O 인터페이스(910)에 전기적으로 커플링된다. 네트워크 인터페이스(912)는 또한 버스(908)에 의해 프로세서(902)에 전기적으로 커플링된다. 네트워크 인터페이스(912)는, 프로세서(902) 및 컴퓨터 판독 가능 저장 매체(904)가 네트워크(914)를 통해 외부 엘리먼트에 연결 가능하도록, 네트워크(914)에 연결된다. 프로세서(902)는, 시스템(900)으로 하여금 방법(800)에서 설명되는 동작의 일부 또는 모두를 수행하기 위해 사용 가능하게 하기 위해, 컴퓨터 판독 가능 저장 매체(904)에 인코딩되는 컴퓨터 프로그램 코드(906)를 실행하도록 구성된다.9 is a schematic diagram of a system 900 for designing and manufacturing an IC layout design, in accordance with some embodiments. System 900 creates or deploys one or more IC layout designs described herein. In some embodiments, system 900 fabricates one or more semiconductor devices based on one or more IC layout designs described herein. System 900 includes hardware processor 902 and computer program code 906 , eg, non-transitory computer readable storage that encodes, eg, stores, a set of executable instructions. medium 904 . The computer-readable storage medium 904 is configured to interface with a manufacturing machine for producing a semiconductor device. The processor 902 is electrically coupled to the computer readable storage medium 904 via a bus 908 . The processor 902 is also electrically coupled to the I/O interface 910 by a bus 908 . Network interface 912 is also electrically coupled to processor 902 by bus 908 . Network interface 912 is coupled to network 914 such that processor 902 and computer-readable storage medium 904 are connectable to external elements via network 914 . The processor 902 provides computer program code 906 encoded in the computer-readable storage medium 904 to enable the system 900 to perform some or all of the operations described in the method 800 . ) is configured to run

몇몇 실시형태에서, 프로세서(902)는 중앙 프로세싱 유닛(central processing unit; CPU), 다중 프로세서, 분산 프로세싱 시스템, 주문형 집적 회로(application specific integrated circuit; ASIC), 및/또는 적절한 프로세싱 유닛이다.In some embodiments, processor 902 is a central processing unit (CPU), multiple processors, distributed processing system, application specific integrated circuit (ASIC), and/or suitable processing unit.

몇몇 실시형태에서, 컴퓨터 판독 가능 저장 매체(904)는 전자, 자기, 광학, 전자기, 적외선, 및/또는 반도체 시스템(또는 장치 또는 디바이스)이다. 예를 들면, 컴퓨터 판독 가능 저장 매체(904)는, 반도체 또는 솔리드 스테이트 메모리, 자기 테이프, 착탈식 컴퓨터 디스켓, 랜덤 액세스 메모리(random access memory; RAM), 리드 온리 메모리(read-only memory; ROM), 강성의(rigid) 자기 디스크, 및/또는 광학 디스크를 포함한다. 광학 디스크를 사용하는 몇몇 실시형태에서, 컴퓨터 판독 가능 저장 매체(904)는 컴팩트 디스크 리드 온리 메모리(compact disk-read only memory; CD-ROM), 컴팩트 디스크 판독/기록(compact disk-read/write; CD-R/W), 및/또는 디지털 비디오 디스크(digital video disc; DVD)를 포함한다.In some embodiments, computer-readable storage medium 904 is an electronic, magnetic, optical, electromagnetic, infrared, and/or semiconductor system (or apparatus or device). For example, computer readable storage medium 904 may include semiconductor or solid state memory, magnetic tape, removable computer diskette, random access memory (RAM), read-only memory (ROM), rigid magnetic disks, and/or optical disks. In some embodiments using optical disks, the computer readable storage medium 904 is a compact disk-read only memory (CD-ROM), compact disk-read/write; CD-R/W), and/or digital video disc (DVD).

몇몇 실시형태에서, 저장 매체(904)는, 시스템(900)으로 하여금 방법(800)을 수행하게 하도록 구성되는 컴퓨터 프로그램 코드(906)를 저장한다. 몇몇 실시형태에서, 저장 매체(904)는 또한, 방법(800)을 수행하는 데 필요한 정보뿐만 아니라 방법(800)의 수행 동안 생성되는 정보, 예컨대 레이아웃 설계(916), 사용자 인터페이스(918), 제조 유닛(920), 및/또는 방법(800)의 동작을 수행하기 위한 실행 가능한 명령어 세트를 저장한다.In some embodiments, storage medium 904 stores computer program code 906 configured to cause system 900 to perform method 800 . In some embodiments, storage medium 904 may also include information required to perform method 800 as well as information generated during performance of method 800 , such as layout design 916 , user interface 918 , manufacturing Stores an executable set of instructions for performing the operations of unit 920 , and/or method 800 .

몇몇 실시형태에서, 저장 매체(904)는 제조 머신과 인터페이싱하기 위한 명령어(예를 들면, 컴퓨터 프로그램 코드(906))를 저장한다. 명령어(예를 들면, 컴퓨터 프로그램 코드(906))는 프로세서(902)가 제조 프로세스 동안 방법(800)을 효과적으로 구현하기 위해 제조 머신에 의해 판독 가능한 제조 명령어를 생성하는 것을 가능하게 한다.In some embodiments, storage medium 904 stores instructions (eg, computer program code 906 ) for interfacing with a manufacturing machine. The instructions (eg, computer program code 906 ) enable the processor 902 to generate manufacturing instructions readable by a manufacturing machine to effectively implement the method 800 during a manufacturing process.

시스템(900)은 I/O 인터페이스(910)를 포함한다. I/O 인터페이스(910)는 외부 회로부(circuitry)에 커플링된다. 몇몇 실시형태에서, I/O 인터페이스(910)는 정보 및 커맨드를 프로세서(902)로 전달하기 위한 키보드, 키패드, 마우스, 트랙볼, 트랙패드, 및/또는 커서 방향 키를 포함한다.System 900 includes I/O interface 910 . I/O interface 910 is coupled to external circuitry. In some embodiments, I/O interface 910 includes a keyboard, keypad, mouse, trackball, trackpad, and/or cursor direction keys for passing information and commands to processor 902 .

시스템(900)은 또한 프로세서(902)에 커플링되는 네트워크 인터페이스(912)를 포함한다. 네트워크 인터페이스(912)는, 시스템(900)이, 하나 이상의 다른 컴퓨터 시스템이 연결되는 네트워크(914)와 통신하는 것을 허용한다. 네트워크 인터페이스(912)는, 블루투스(BLUETOOTH), 와이파이(WIFI), 와이맥스(WIMAX), GPRS, 또는 WCDMA와 같은 무선 네트워크 인터페이스; 또는 이더넷(ETHERNET), USB 또는 IEEE-13154와 같은 유선 네트워크 인터페이스를 포함한다. 몇몇 실시형태에서, 방법(800)은 두 개 이상의 시스템(900)에서 구현되고, 레이아웃 설계, 사용자 인터페이스 및 제조 유닛과 같은 정보는 네트워크(914)에 의해 상이한 시스템(900) 사이에서 교환된다.System 900 also includes a network interface 912 coupled to processor 902 . Network interface 912 allows system 900 to communicate with a network 914 to which one or more other computer systems are connected. The network interface 912 may include a wireless network interface such as Bluetooth (BLUETOOTH), Wi-Fi (WIFI), WiMAX, GPRS, or WCDMA; or Ethernet (ETHERNET), USB or wired network interfaces such as IEEE-13154. In some embodiments, method 800 is implemented in two or more systems 900 , and information such as layout design, user interfaces, and manufacturing units are exchanged between different systems 900 by network 914 .

시스템(900)은 I/O 인터페이스(910) 또는 네트워크 인터페이스(912)를 통해 레이아웃 설계에 관련되는 정보를 수신하도록 구성된다. 정보는 IC를 생성하기 위한 레이아웃 설계를 결정하기 위해 버스(908)에 의해 프로세서(902)로 전송된다. 그 다음, 레이아웃 설계는 레이아웃 설계(916)로서 컴퓨터 판독 가능 매체(904)에 저장된다. 시스템(900)은 I/O 인터페이스(910) 또는 네트워크 인터페이스(912)를 통해 사용자 인터페이스에 관련되는 정보를 수신하도록 구성된다. 정보는 사용자 인터페이스(918)로서 컴퓨터 판독 가능 매체(904)에 저장된다. 시스템(900)은 I/O 인터페이스(910) 또는 네트워크 인터페이스(912)를 통해 제조 유닛에 관련되는 정보를 수신하도록 구성된다. 정보는 제조 유닛(920)으로서 컴퓨터 판독 가능 매체(904)에 저장된다. 몇몇 실시형태에서, 제조 유닛(920)은 시스템(900)에 의해 활용되는 제조 정보를 포함한다.System 900 is configured to receive information related to layout design via I/O interface 910 or network interface 912 . Information is sent by bus 908 to processor 902 to determine a layout design for creating the IC. The layout design is then stored on computer readable medium 904 as layout design 916 . System 900 is configured to receive information related to a user interface via I/O interface 910 or network interface 912 . Information is stored in computer readable medium 904 as user interface 918 . System 900 is configured to receive information related to a manufacturing unit via I/O interface 910 or network interface 912 . The information is stored in the computer readable medium 904 as the manufacturing unit 920 . In some embodiments, manufacturing unit 920 includes manufacturing information utilized by system 900 .

몇몇 실시형태에서, 방법(800)은 프로세서에 의한 실행을 위해 독립형 소프트웨어 애플리케이션으로서 구현된다. 몇몇 실시형태에서, 방법(800)은 추가적인 소프트웨어 애플리케이션의 일부인 소프트웨어 애플리케이션으로서 구현된다. 몇몇 실시형태에서, 방법(800)은 소프트웨어 애플리케이션에 대한 플러그인(plug-in)으로서 구현된다. 몇몇 실시형태에서, 방법(800)은 EDA 툴의 일부인 소프트웨어 애플리케이션으로서 구현된다. 몇몇 실시형태에서, 방법(800)은 EDA 툴에 의해 사용되는 소프트웨어 애플리케이션으로서 구현된다. 몇몇 실시형태에서, EDA 툴은 집적 회로 디바이스의 레이아웃 설계를 생성하기 위해 사용된다. 몇몇 실시형태에서, 레이아웃 설계는 비일시적 컴퓨터 판독 가능 매체 상에 저장된다. 몇몇 실시형태에서, 레이아웃 설계는, CADENCE DESIGN SYSTEMS, Inc.로부터 입수 가능한 VIRTUOSO®, 또는 다른 적절한 레이아웃 생성 툴과 같은 툴을 사용하여 생성된다. 몇몇 실시형태에서, 레이아웃 설계는, 개략적인 설계에 기초하여 생성되는 넷리스트(netlist)에 기초하여 생성된다. 몇몇 실시형태에서, 방법(800)은 시스템(900)에 의해 생성되는 하나 이상의 레이아웃 설계에 기초하여 제조되는 마스크의 세트를 사용하여 집적 회로를 제조하도록 제조 디바이스에 의해 구현된다. 몇몇 실시형태에서, 시스템(900)은 본 개시의 하나 이상의 레이아웃 설계에 기초하여 제조되는 마스크의 세트를 사용하여 집적 회로를 제조하기 위한 제조 디바이스(예를 들면, 제조 툴(922))를 포함한다. 몇몇 실시형태에서, 도 9의 시스템(900)은 다른 접근법보다 더 작은 IC의 레이아웃 설계를 생성한다. 몇몇 실시형태에서, 도 9의 시스템(900)은 다른 접근법보다 더 적은 면적을 차지하는 반도체 디바이스의 레이아웃 설계를 생성한다.In some embodiments, method 800 is implemented as a standalone software application for execution by a processor. In some embodiments, method 800 is implemented as a software application that is part of an additional software application. In some embodiments, method 800 is implemented as a plug-in to a software application. In some embodiments, method 800 is implemented as a software application that is part of an EDA tool. In some embodiments, method 800 is implemented as a software application used by an EDA tool. In some embodiments, the EDA tool is used to create a layout design of an integrated circuit device. In some embodiments, the layout design is stored on a non-transitory computer-readable medium. In some embodiments, the layout design is created using a tool such as VIRTUOSO® available from CADENCE DESIGN SYSTEMS, Inc., or other suitable layout creation tool. In some embodiments, the layout design is generated based on a netlist that is generated based on the schematic design. In some embodiments, method 800 is implemented by a manufacturing device to fabricate an integrated circuit using a set of masks that are fabricated based on one or more layout designs generated by system 900 . In some embodiments, system 900 includes a fabrication device (eg, fabrication tool 922 ) for fabricating an integrated circuit using a set of masks fabricated based on one or more layout designs of the present disclosure. . In some embodiments, the system 900 of FIG. 9 produces a layout design of an IC that is smaller than other approaches. In some embodiments, the system 900 of FIG. 9 creates a layout design of a semiconductor device that occupies less area than other approaches.

도 10은, 본 개시의 적어도 하나의 실시형태에 따른, 집적 회로(IC)/반도체 디바이스 제조 시스템(1000), 및 그와 관련되는 IC 제조 플로우의 블록도이다.10 is a block diagram of an integrated circuit (IC)/semiconductor device manufacturing system 1000 , and an associated IC manufacturing flow, in accordance with at least one embodiment of the present disclosure.

도 10에서, IC 제조 시스템(1000)은, IC 디바이스(1700)를 제조하는 것에 관련되는 설계, 개발, 및 제조 사이클 및/또는 서비스에서 서로 상호 작용하는, 설계 하우스(1020), 마스크 하우스(1030), 및 IC 제조사/제조 시설(fabricator; "팹(fab)")(1040)와 같은 엔티티를 포함한다. 시스템(1000) 내의 엔티티는 통신 네트워크에 의해 연결된다. 몇몇 실시형태에서, 통신 네트워크는 단일의 네트워크이다. 몇몇 실시형태에서, 통신 네트워크는, 인트라넷 및 인터넷과 같은 여러 가지 상이한 네트워크이다. 통신 네트워크는 유선 및/또는 무선 통신 채널을 포함한다. 각각의 엔티티는 다른 엔티티 중 하나 이상과 상호 작용하고 다른 엔티티 중 하나 이상으로 서비스를 제공하고 및/또는 다른 엔티티 중 하나 이상으로부터 서비스를 수신한다. 몇몇 실시형태에서, 설계 하우스(1020), 마스크 하우스(1030), 및 IC 팹(1040) 중 두 개 이상이 단일의 회사에 의해 소유된다. 몇몇 실시형태에서, 설계 하우스(1020), 마스크 하우스(1030), 및 IC 팹(1040) 중 두 개 이상이 공통 시설에서 공존하며 공통 리소스를 사용한다.In FIG. 10 , an IC manufacturing system 1000 includes a design house 1020 , a mask house 1030 , interacting with each other in design, development, and manufacturing cycles and/or services related to manufacturing an IC device 1700 . ), and entities such as IC manufacturers/fabricators (“fabs”) 1040 . Entities within system 1000 are connected by a communication network. In some embodiments, the communication network is a single network. In some embodiments, the communication network is several different networks, such as an intranet and the Internet. Communication networks include wired and/or wireless communication channels. Each entity interacts with, provides services to, and/or receives services from, one or more of the other entities. In some embodiments, two or more of the design house 1020 , the mask house 1030 , and the IC fab 1040 are owned by a single company. In some embodiments, two or more of the design house 1020 , the mask house 1030 , and the IC fab 1040 coexist in a common facility and use common resources.

설계 하우스(또는 설계 팀)(1020)는 IC 설계 레이아웃(1022)을 생성한다. IC 설계 레이아웃(1022)은 IC 디바이스(1060)에 대해 설계되는 다양한 기하학적 패턴을 포함한다. 기하학적 패턴은, 제조될 IC 디바이스(1060)의 다양한 컴포넌트를 구성하는 금속, 산화물, 또는 반도체층의 패턴에 대응한다. 다양한 층이 결합되어 다양한 IC 피처를 형성한다. 예를 들면, IC 설계 레이아웃(1022) 중 일부는 다양한 IC 피처, 예컨대, 반도체 기판(예컨대 실리콘 웨이퍼)에 형성될 활성 영역, 게이트 전극, 소스 전극 및 드레인 전극, 층간 배선(interlayer interconnection)의 금속 라인 또는 비아 콘택, 및 패드를 본딩하기 위한 개구, 및 반도체 기판 상에 배치되는 다양한 재료층을 포함한다. 설계 하우스(1020)는 IC 설계 레이아웃(1022)을 형성하기 위한 적절한 설계 프로시져를 구현한다. 설계 프로시져는, 로직 설계, 물리적 설계 또는 배치 및 배선(place and route) 중 하나 이상을 포함한다. IC 설계 레이아웃(1022)은 기하학적 패턴의 정보를 구비하는 하나 이상의 데이터 파일에서 제시된다. 예를 들면, IC 설계 레이아웃(1022)은 GDSII 파일 포맷 또는 DFII 파일 포맷으로 표현될 수 있다.A design house (or design team) 1020 creates an IC design layout 1022 . IC design layout 1022 includes various geometric patterns designed for IC device 1060 . The geometric pattern corresponds to the pattern of the metal, oxide, or semiconductor layers that make up the various components of the IC device 1060 to be fabricated. The various layers are combined to form various IC features. For example, some of the IC design layout 1022 may include various IC features, such as active regions to be formed on a semiconductor substrate (eg, a silicon wafer), gate electrodes, source and drain electrodes, metal lines of interlayer interconnections. or via contacts, and openings for bonding pads, and various material layers disposed on the semiconductor substrate. The design house 1020 implements appropriate design procedures for forming the IC design layout 1022 . Design procedures include one or more of logic design, physical design, or place and route. The IC design layout 1022 is presented in one or more data files containing geometrical pattern information. For example, the IC design layout 1022 may be expressed in a GDSII file format or a DFII file format.

마스크 하우스(1030)는 데이터 준비(data preparation; 1032) 및 마스크 제조(1034)를 포함한다. 마스크 하우스(1030)는, IC 설계 레이아웃(1022)에 따라 IC 디바이스(1060)의 다양한 층을 제조하기 위해 사용될 하나 이상의 마스크를 제조하기 위해 IC 설계 레이아웃(1022)을 사용한다. 마스크 하우스(1030)는 마스크 데이터 준비(mask data preparation; 1032)를 수행하는 데, 이 경우 IC 설계 레이아웃(1022)은 대표 데이터 파일(representative data file; "RDF")로 변환된다. 마스크 데이터 준비(1032)는 마스크 제조(1034)에 RDF를 제공한다. 마스크 제조(1034)는 마스크 노광기(mask writer)를 포함한다. 마스크 노광기는 RDF를, 마스크(레티클) 또는 반도체 웨이퍼와 같은 기판 상의 이미지로 변환한다. 설계 레이아웃은, 마스크 노광기의 특정한 특성 및/또는 IC 팹(1040)의 요건을 준수하도록 마스크 데이터 준비(1032)에 의해 조작된다. 도 10에서, 마스크 데이터 준비(1032) 및 마스크 제조(1034)는 별개의 엘리먼트로서 예시되어 있다. 몇몇 실시형태에서, 마스크 데이터 준비(1032) 및 마스크 제조(1034)는 집합적으로 마스크 데이터 준비로 칭해질 수 있다.Mask house 1030 includes data preparation 1032 and mask preparation 1034 . The mask house 1030 uses the IC design layout 1022 to fabricate one or more masks that will be used to fabricate the various layers of the IC device 1060 according to the IC design layout 1022 . The mask house 1030 performs mask data preparation 1032 , in which case the IC design layout 1022 is converted into a representative data file (“RDF”). Mask data preparation 1032 provides RDF to mask fabrication 1034 . Mask fabrication 1034 includes a mask writer. A mask exposure machine converts the RDF into an image on a substrate such as a mask (reticle) or semiconductor wafer. The design layout is manipulated by the mask data preparation 1032 to conform to the specific characteristics of the mask exposure machine and/or the requirements of the IC fab 1040 . In FIG. 10 , mask data preparation 1032 and mask manufacturing 1034 are illustrated as separate elements. In some embodiments, mask data preparation 1032 and mask preparation 1034 may be collectively referred to as mask data preparation.

몇몇 실시형태에서, 마스크 데이터 준비(1032)는, 회절, 간섭, 다른 프로세스 효과 및 등등으로부터 발생할 수 있는 것과 같은 이미지 에러를 보상하기 위해 리소그래피 향상 기술을 사용하는 광학 근접 보정(optical proximity correction; OPC)을 포함한다. OPC는 IC 설계 레이아웃(1022)을 조정한다. 몇몇 실시형태에서, 마스크 데이터 준비(1032)는, 축외 조명(off-axis illumination), 서브 해상도 지원 피처(sub-resolution assist feature), 위상 시프팅 마스크, 다른 적절한 기술, 및 등등 또는 이들의 조합과 같은 추가적인 해상도 향상 기술(resolution enhancement techniques; RET)을 포함한다. 몇몇 실시형태에서, OPC를 역 이미징 문제로 취급하는 역 리소그래피 기술(inverse lithography technology; ILT)이 또한 사용된다.In some embodiments, mask data preparation 1032 employs optical proximity correction (OPC) using lithography enhancement techniques to compensate for image errors such as may arise from diffraction, interference, other process effects, and the like. includes The OPC coordinates the IC design layout 1022 . In some embodiments, mask data preparation 1032 may be combined with off-axis illumination, sub-resolution assist features, phase shifting masks, other suitable techniques, and the like, or combinations thereof. such as additional resolution enhancement techniques (RET). In some embodiments, inverse lithography technology (ILT) is also used, which treats OPC as an inverse imaging problem.

몇몇 실시형태에서, 마스크 데이터 준비(1032)는, 충분한 마진을 보장하기 위해, 반도체 제조 프로세스에서의 가변성을 고려하기 위해, 및 등등을 위해, 소정의 기하학적 및/또는 연결성 제약을 포함하는 마스크 생성 규칙의 세트를 가지고 OPC의 프로세스를 거친 IC 설계 레이아웃을 체크하는 마스크 룰 체커(mask rule checker; MRC)를 포함한다. 몇몇 실시형태에서, MRC는 마스크 제조(1034) 동안의 제한을 보상하기 위해 IC 설계 레이아웃을 수정하는 데, 이것은 마스크 생성 규칙을 충족하기 위해 OPC에 의해 수행되는 수정의 일부를 취소할 수도 있다.In some embodiments, mask data preparation 1032 provides mask generation rules that include certain geometric and/or connectivity constraints, to ensure sufficient margins, to account for variability in the semiconductor manufacturing process, and the like, and the like. and a mask rule checker (MRC) that checks the IC design layout that has gone through the OPC process with a set of . In some embodiments, the MRC modifies the IC design layout to compensate for limitations during mask fabrication 1034 , which may undo some of the modifications performed by the OPC to meet the mask generation rules.

몇몇 실시형태에서, 마스크 데이터 준비(1032)는, IC 디바이스(1060)를 제조하기 위해 IC 팹(1040)에 의해 구현될 프로세싱을 시뮬레이팅하는 리소그래피 프로세스 검사(lithography process checking; LPC)를 포함한다. LPC는 IC 설계 레이아웃(1022)에 기초하여 이 프로세싱을 시뮬레이팅하여 IC 디바이스(1060)와 같은 시뮬레이팅된 제조 디바이스(simulated manufactured device)를 생성한다. LPC 시뮬레이션에서의 프로세싱 파라미터는, IC 제조 사이클의 다양한 프로세스와 관련되는 파라미터, IC를 제조하기 위해 사용되는 툴과 관련되는 파라미터, 및/또는 제조 프로세스의 다른 양태를 포함할 수 있다. LPC는, 에어리얼 이미지 콘트라스트(aerial image contrast), 초점 심도(depth of focus; "DOF"), 마스크 오차 보강 인자(mask error enhancement factor; "MEEF"), 다른 적절한 인자, 및 등등 또는 이들의 조합과 같은 다양한 인자를 고려한다. 몇몇 실시형태에서, 시뮬레이팅된 제조 디바이스가 LPC에 의해 생성된 이후, 시뮬레이팅된 디바이스가 형상에서 설계 룰을 만족시킬 만큼 충분히 가깝지 않으면, OPC 및/또는 MRC가 반복되어 IC 설계 레이아웃(1022)을 추가로 개선할(refine) 수 있다.In some embodiments, mask data preparation 1032 includes lithography process checking (LPC) that simulates processing to be implemented by IC fab 1040 to fabricate IC device 1060 . The LPC simulates this processing based on the IC design layout 1022 to create a simulated manufactured device, such as the IC device 1060 . The processing parameters in the LPC simulation may include parameters related to various processes of the IC manufacturing cycle, parameters related to the tools used to manufacture the IC, and/or other aspects of the manufacturing process. LPC can be combined with aerial image contrast, depth of focus (“DOF”), mask error enhancement factor (“MEEF”), other suitable factors, and the like or combinations thereof. Consider a variety of factors such as In some embodiments, after a simulated manufacturing device is created by LPC, if the simulated device is not close enough in shape to satisfy a design rule, OPC and/or MRC are repeated to construct the IC design layout 1022 . It can be further refined.

마스크 데이터 준비(1032)의 상기의 설명은 명확성의 목적을 위해 단순화되었다는 것이 이해되어야 한다. 몇몇 실시형태에서, 마스크 데이터 준비(1032)는 제조 룰에 따라 IC 설계 레이아웃을 수정하기 위한 로직 동작(logic operation; LOP)과 같은 추가적인 피처를 포함한다. 추가적으로, 마스크 데이터 준비(1032) 동안 IC 설계 레이아웃(1022)에 적용되는 프로세스는 여러 가지 상이한 순서로 실행될 수도 있다.It should be understood that the above description of mask data preparation 1032 has been simplified for purposes of clarity. In some embodiments, mask data preparation 1032 includes additional features, such as logic operations (LOPs) to modify the IC design layout according to manufacturing rules. Additionally, the processes applied to the IC design layout 1022 during mask data preparation 1032 may be executed in several different orders.

마스크 데이터 준비(1032) 이후 그리고 마스크 제조(1034) 동안, 수정된 IC 설계 레이아웃에 기초하여 마스크 또는 마스크의 그룹이 제조된다. 몇몇 실시형태에서, 수정된 IC 설계 레이아웃에 기초하여 마스크(포토마스크 또는 레티클) 상에 패턴을 형성하기 위해 전자 빔(electron-beam; e-빔) 또는 다수의 e-빔의 메커니즘이 사용된다. 마스크는 다양한 기술에서 형성될 수 있다. 몇몇 실시형태에서, 마스크는 바이너리 기술을 사용하여 형성된다. 몇몇 실시형태에서, 마스크 패턴은 불투명 영역 및 투명 영역을 포함한다. 웨이퍼 상에 코팅된 이미지 감광 재료층(예를 들면, 포토레지스트)을 노광하기 위해 사용되는 방사선 빔, 예컨대 자외선(ultraviolet; UV) 빔은 불투명 영역에 의해 차단되고 투명 영역을 통해 투과한다. 하나의 예에서, 바이너리 마스크는, 투명 기판(예를 들면, 용융된 석영) 및 마스크의 불투명 영역에 코팅되는 불투명한 재료(예를 들면, 크롬)를 포함한다. 다른 예에서, 마스크는 위상 시프트 기술을 사용하여 형성된다. 위상 시프트 마스크(phase shift mask; PSM)에서, 마스크 상에 형성되는 패턴의 다양한 피처는, 해상도 및 이미징 품질을 향상시키기 위해 적절한 위상차를 가지도록 구성된다. 다양한 예에서, 위상 시프트 마스크는 감쇠된 PSM 또는 교대하는 PSM일 수 있다. 마스크 제조(1734)에 의해 생성되는 마스크(들)는 다양한 프로세스에서 사용된다. 예를 들면, 그러한 마스크(들)는, 반도체 웨이퍼 내에 다양한 도핑 영역을 형성하기 위한 이온 주입 프로세스에서, 반도체 웨이퍼 내에 다양한 에칭 영역을 형성하기 위한 에칭 프로세스에서, 및/또는 다른 적절한 프로세스에서 사용된다.After mask data preparation 1032 and during mask fabrication 1034, a mask or group of masks is fabricated based on the modified IC design layout. In some embodiments, a mechanism of an electron-beam (e-beam) or multiple e-beams is used to form a pattern on a mask (photomask or reticle) based on a modified IC design layout. The mask can be formed in a variety of techniques. In some embodiments, the mask is formed using binary techniques. In some embodiments, the mask pattern includes opaque regions and transparent regions. A beam of radiation, such as an ultraviolet (UV) beam, used to expose a layer of image sensitive material (eg, photoresist) coated on the wafer is blocked by the opaque region and transmitted through the transparent region. In one example, a binary mask includes a transparent substrate (eg, molten quartz) and an opaque material (eg, chromium) that is coated on an opaque region of the mask. In another example, the mask is formed using a phase shift technique. In a phase shift mask (PSM), various features of a pattern formed on the mask are configured to have an appropriate phase difference to improve resolution and imaging quality. In various examples, the phase shift mask may be an attenuated PSM or an alternating PSM. The mask(s) created by mask fabrication 1734 are used in various processes. For example, such mask(s) are used in an ion implantation process to form various doped regions in a semiconductor wafer, in an etch process to form various etch regions in a semiconductor wafer, and/or in other suitable processes.

IC 팹(1040)은, 여러가지 상이한 IC 제품의 제조를 위한 하나 이상의 제조 설비를 포함하는 IC 제조 엔티티이다. 몇몇 실시형태에서, IC 팹(1040)은 반도체 파운드리(semiconductor foundry)이다. 예를 들면, 복수의 IC 제품의 프론트 엔드 제조(라인 프론트 엔드(FEOL) 제조)를 위한 제조 설비가 있을 수도 있고, 한편, 제2 제조 설비가 IC 제품의 배선 및 패키징을 위한 백 엔드 제조(백엔드 오브 라인(BEOL) 제조)를 제공할 수도 있고, 제3 제조 설비가 파운드리 엔티티를 위한 다른 서비스를 제공할 수도 있다.IC fab 1040 is an IC manufacturing entity that includes one or more manufacturing facilities for the manufacture of several different IC products. In some embodiments, the IC fab 1040 is a semiconductor foundry. For example, there may be a manufacturing facility for front-end manufacturing (line front-end (FEOL) manufacturing) of a plurality of IC products, while a second manufacturing facility may have a back-end manufacturing (back-end) manufacturing facility for wiring and packaging of IC products. of Line (BEOL) manufacturing), or a third-party manufacturing facility may provide other services for the foundry entity.

IC 팹(1040)은 IC 디바이스(1060)를 제조하기 위해 마스크 하우스(1030)에 의해 제조되는 마스크(또는 마스크)를 사용한다. 따라서, IC 팹(1040)은 IC 디바이스(1060)를 제조하기 위해 IC 설계 레이아웃(1022)을 적어도 간접적으로 사용한다. 몇몇 실시형태에서, 반도체 웨이퍼(1042)는 IC 디바이스(1060)를 형성하기 위해 마스크(또는 마스크)를 사용하여 IC 팹(1040)에 의해 제조된다. 반도체 웨이퍼(1042)는 실리콘 기판 또는 재료층이 상부에 형성되는 다른 적절한 기판을 포함한다. 반도체 웨이퍼는 다양한 도핑 영역, 유전체 피처, 다중 레벨 상호연결, 및 등등(후속하는 제조 단계에서 형성됨) 중 하나 이상을 더 포함한다.IC fab 1040 uses a mask (or mask) manufactured by mask house 1030 to fabricate IC device 1060 . Accordingly, the IC fab 1040 uses, at least indirectly, the IC design layout 1022 to fabricate the IC device 1060 . In some embodiments, semiconductor wafer 1042 is fabricated by IC fab 1040 using a mask (or mask) to form IC device 1060 . The semiconductor wafer 1042 includes a silicon substrate or other suitable substrate on which a layer of material is formed. The semiconductor wafer further includes one or more of various doped regions, dielectric features, multi-level interconnects, and the like (formed in subsequent fabrication steps).

시스템(1000)은 별개의 컴포넌트 또는 엔티티로서 설계 하우스(1020), 마스크 하우스(1030) 또는 IC 팹(1040)을 갖는 것으로 도시되어 있다. 그러나, 설계 하우스(1020), 마스크 하우스(1030) 또는 IC 팹(1040) 중 하나 이상이 동일한 컴포넌트 또는 엔티티의 일부이다는 것이 이해된다.System 1000 is shown having a design house 1020 , a mask house 1030 , or an IC fab 1040 as separate components or entities. However, it is understood that one or more of design house 1020 , mask house 1030 , or IC fab 1040 are part of the same component or entity.

도 11은, 본 개시의 다양한 양태에 따른, 반도체 디바이스(1200)를 제조하기 위한 방법(1100)을 예시하는 플로우차트이다. 방법(1100)은, 상기에서 언급되는 바와 같이, 도 8의 방법(800)의 동작(820)의 일부일 수도 있다. 그와 같이, 반도체 디바이스(1200)는 본원에서 개시되는 설계 레이아웃의 적어도 일부에 기초하여 만들어질 수도 있다. 예를 들면, 도 4의 레이아웃 설계(400')는 반도체 디바이스(1200)를 제조하기 위해 사용될 수도 있다. 따라서, 도 5의 반도체 디바이스(500)는 반도체 디바이스(1200)와 어떤 실질적으로 유사한 피처/구조체를 공유할 수도 있다.11 is a flowchart illustrating a method 1100 for manufacturing a semiconductor device 1200 in accordance with various aspects of the present disclosure. Method 1100 may be part of operation 820 of method 800 of FIG. 8 , as noted above. As such, the semiconductor device 1200 may be made based on at least a portion of the design layout disclosed herein. For example, the layout design 400 ′ of FIG. 4 may be used to fabricate the semiconductor device 1200 . Accordingly, semiconductor device 500 of FIG. 5 may share some substantially similar features/structures with semiconductor device 1200 .

도 12a, 도 12b, 도 12c, 도 12d, 도 12e, 도 12f, 도 12g, 도 12h, 도 12i, 도 12j, 도 12k, 도 12l, 및 도 12m은, 도 11의 방법(1100)의 실시형태에 따른 제조의 다양한 단계에서의 반도체 디바이스(1200)의 개략적인 단면도를 도시한다. 따라서, 방법(1100)의 동작의 각각은, 도 12a 내지 도 12m에서 도시되는 반도체 디바이스(1200)의 단면도 중 대응하는 단면도와 연계하여 논의될 것이다.12A, 12B, 12C, 12D, 12E, 12F, 12G, 12H, 12I, 12J, 12K, 12L, and 12M are implementations of the method 1100 of FIG. It shows a schematic cross-sectional view of a semiconductor device 1200 at various stages of manufacture according to form. Accordingly, each of the operations of the method 1100 will be discussed in connection with a corresponding one of the cross-sectional views of the semiconductor device 1200 shown in FIGS. 12A-12M .

반도체 디바이스(1200)는 마이크로프로세서, 메모리 셀, 및/또는 다른 집적 회로(IC)에 포함될 수도 있다. 도 11의 방법은 완성된 반도체 디바이스(1200)를 생성하지 않는다는 것을 유의한다. 완성된 반도체 디바이스(1200)는 상보형 금속 산화물 반도체(complementary metal-oxide-semiconductor; CMOS) 기술 프로세싱을 사용하여 제조될 수도 있다. 따라서, 도 11의 방법(1100) 이전에, 도중에, 그리고 이후에 추가적인 동작이 제공될 수도 있다는 것, 및 몇몇 다른 동작은 본원에서 간략하게만 설명될 수도 있다는 것이 이해된다. 또한, 도 12a 내지 도 12m은 본 개시의 더 나은 이해를 위해 단순화된다. 예를 들면, 비록 도면이 반도체 디바이스(1200)를 예시하지만, 반도체 디바이스(1200)는 트랜지스터, 저항기, 커패시터, 인덕터, 퓨즈, 등등을 포함하는 다수의 다른 디바이스를 포함할 수도 있다는 것이 이해된다.The semiconductor device 1200 may be included in a microprocessor, memory cell, and/or other integrated circuit (IC). Note that the method of FIG. 11 does not produce a finished semiconductor device 1200 . The completed semiconductor device 1200 may be fabricated using complementary metal-oxide-semiconductor (CMOS) technology processing. Accordingly, it is understood that additional operations may be provided before, during, and after the method 1100 of FIG. 11 , and that some other operations may only be briefly described herein. Also, FIGS. 12A-12M are simplified for better understanding of the present disclosure. For example, although the figures illustrate semiconductor device 1200 , it is understood that semiconductor device 1200 may include many other devices including transistors, resistors, capacitors, inductors, fuses, and the like.

도 11 및 도 12a를 참조하면, 방법(1100)은, 몇몇 실시형태에 따라, 적어도 제1 트랜지스터(1204-1) 및 제2 트랜지스터(1204-2)가 기판(1202) 위에 형성되는 동작(1102)에서 시작한다. 기판(1202)은 결정성 실리콘 기판(crystalline silicon substrate)(예를 들면, 웨이퍼)을 포함할 수 있다. 몇몇 다른 실시형태에서, 기판(1202)은, 다이아몬드 또는 게르마늄과 같은 어떤 다른 적절한 원소 반도체; 갈륨 비화물(gallium arsenide), 실리콘 탄화물(silicon carbide), 인듐 비화물(indium arsenide), 또는 인듐 인화물(indium phosphide)과 같은 적절한 화합물 반도체; 또는 실리콘 게르마늄 탄화물(silicon germanium carbide), 갈륨 비소 인화물(gallium arsenic phosphide), 또는 갈륨 인듐 인화물(gallium indium phosphide)과 같은 적절한 합금 반도체로 제조될 수도 있다. 게다가, 기판(1202)은 에피택셜층(에피층(epi-layer))을 포함할 수도 있고, 성능 향상을 위해 변형될 수도 있고, 및/또는 실리콘 온 인슐레이터(silicon-on-insulator; SOI) 구조체를 포함할 수도 있다.11 and 12A , the method 1100 includes an operation 1102 in which at least a first transistor 1204 - 1 and a second transistor 1204 - 2 are formed over a substrate 1202 , in accordance with some embodiments. ) starts at Substrate 1202 may include a crystalline silicon substrate (eg, a wafer). In some other embodiments, the substrate 1202 may include any other suitable elemental semiconductor, such as diamond or germanium; suitable compound semiconductors such as gallium arsenide, silicon carbide, indium arsenide, or indium phosphide; Alternatively, it may be made of a suitable alloy semiconductor such as silicon germanium carbide, gallium arsenic phosphide, or gallium indium phosphide. In addition, the substrate 1202 may include an epitaxial layer (epi-layer), it may be deformed to enhance performance, and/or a silicon-on-insulator (SOI) structure. may include.

트랜지스터(1204-1 및 1204-2) 각각은 기판(1202) 위에 및/또는 기판(1202) 내에 형성되는 하나 이상의 각각의 피처/구조체를 포함할 수 있다. 예를 들면, 트랜지스터(1204-1)는 게이트 구조체(1206-1), 게이트 구조체(1206-1)를 오버레이하는 게이트 희생층(1208-1), 게이트 구조체(1206-1) 및 게이트 희생층(1208-1)의 측벽을 따라 각각 배치되는 두 개의 부분을 갖는 게이트 스페이서(1210-1), 제1 소스/드레인 구조체(또는 영역)(1212-1), 및 제2 소스/드레인 구조체(또는 영역)(1214-1)를 포함하고; 트랜지스터(1204-2)는 게이트 구조체(1206-2), 게이트 구조체(1206-2)를 오버레이하는 게이트 희생층(1208-2), 게이트 구조체(1206-2) 및 게이트 희생층(1208-2)의 측벽을 따라 각각 배치되는 두 개의 부분(1210-2)을 갖는 게이트 스페이서, 제1 소스/드레인 구조체(또는 영역)(1212-2), 및 제2 소스/드레인 구조체(또는 영역)(1214-2)를 포함한다. 몇몇 실시형태에서, 트랜지스터(1204-1)의 소스/드레인 구조체(1214-1) 및 트랜지스터(1204-2)의 소스/드레인 구조체(1212-2)는 동일한 영역에서 형성될 수도 있다(예를 들면, 서로 병합될 수도 있다). 트랜지스터(1204-1 및 1204-2) 각각은, 도 12a의 예시된 실시형태(및 다음의 도면)에서 평면형 MOSFET로서 형성된다. 즉, 트랜지스터(1204-1 및 1204-2)의 각각의 활성 영역(예를 들면, 소스/드레인 구조체, 전도 채널)은 기판(1202)의 상부 경계부로부터 리세싱되어 형성된다. 그러나, 트랜지스터(1204-1 및 1204-2)의 각각은 본 개시의 범위 내에 있는 동안 다양한 다른 트랜지스터 구성(예를 들면, CFET, FinFET, 나노시트 트랜지스터) 중 임의의 것으로서 형성될 수 있다는 것이 이해된다.Each of the transistors 1204 - 1 and 1204 - 2 may include one or more respective features/structures formed over and/or in the substrate 1202 . For example, the transistor 1204-1 includes a gate structure 1206-1, a gate sacrificial layer 1208-1 overlying the gate structure 1206-1, a gate structure 1206-1, and a gate sacrificial layer ( A gate spacer 1210-1 having two portions each disposed along sidewalls of 1208-1, a first source/drain structure (or region) 1212-1, and a second source/drain structure (or region) ) (1214-1); The transistor 1204-2 includes a gate structure 1206-2, a gate sacrificial layer 1208-2 overlying the gate structure 1206-2, a gate structure 1206-2, and a gate sacrificial layer 1208-2. a gate spacer having two portions 1210 - 2 each disposed along sidewalls of a first source/drain structure (or region) 1212-2, and a second source/drain structure (or region) 1214 - 2) is included. In some embodiments, source/drain structures 1214 - 1 of transistor 1204 - 1 and source/drain structures 1212 - 2 of transistor 1204 - 2 may be formed in the same region (eg, , may be merged with each other). Each of the transistors 1204 - 1 and 1204 - 2 is formed as a planar MOSFET in the illustrated embodiment of FIG. 12A (and subsequent figures). That is, each active region (eg, source/drain structures, conduction channels) of transistors 1204 - 1 and 1204 - 2 is formed by recessing from the upper boundary of substrate 1202 . However, it is understood that each of transistors 1204 - 1 and 1204 - 2 may be formed as any of a variety of other transistor configurations (eg, CFETs, FinFETs, nanosheet transistors) while remaining within the scope of the present disclosure. .

게이트 희생층(1208-1 및 1208-2) 각각은, 예를 들면, 저압 화학적 기상 증착(low-pressure chemical vapor deposition; LPCVD) 또는 플라즈마 강화 화학적 기상 증착(plasma enhanced chemical vapor deposition; PECVD)을 사용하여, 실리콘 질화물(silicon nitride), 폴리실리콘, 실리콘 산화물(silicon oxide), 등등, 또는 이들의 조합으로 형성된다. 게이트 희생층(1208-1 및 1208-2) 각각은 후속하는 포토리소그래피 프로세스 동안 하드 마스크(때때로 하드마스크층으로 지칭됨)로서 사용된다. 게이트 희생층(1208-1 및 1208-2)은, 이하에서 논의될 각각의 상호연결 구조체(예를 들면, VG, VD+VG의 부분)에 의해 나중에 대체될 수도 있다. 게이트 스페이서(1210-1 및 1210-2)는 실리콘 산화물(SiO), 실리콘 질화물(SiN), 실리콘 산질화물(silicon oxynitride)(SiON), 또는 다른 적절한 재료를 포함할 수도 있다. 게이트 스페이서(1210-1 및 1210-2) 각각은 단일의 층 또는 다층 구조체를 포함할 수도 있다. 몇몇 실시형태에서, 게이트 스페이서(1210-1)는, CVD, PVD, ALD, 또는 다른 적절한 기술에 의해 게이트 스페이서(1210-1)의 블랭킷층(blanket layer)을 퇴적하는 것, 및 블랭킷층에 대해 이방성 에칭 프로세스(anisotropic etching process)를 수행하여, 도 12a의 예시된 실시형태에서 도시되는 바와 같이, 게이트 구조체(1206-1)(및 게이트 희생층(1208-1))의 측벽을 각각 따르는 게이트 스페이서(1210-1)의 쌍을 형성하는 것에 의해 형성될 수도 있다. 게이트 스페이서(1210-2)는, 상기에서 논의되는 바와 같이, 유사한 프로세스에 의해 형성될 수도 있다.Each of the gate sacrificial layers 1208-1 and 1208-2 uses, for example, low-pressure chemical vapor deposition (LPCVD) or plasma enhanced chemical vapor deposition (PECVD). Thus, it is formed of silicon nitride, polysilicon, silicon oxide, etc., or a combination thereof. Each of the gate sacrificial layers 1208-1 and 1208-2 is used as a hard mask (sometimes referred to as a hardmask layer) during a subsequent photolithography process. Gate sacrificial layers 1208 - 1 and 1208 - 2 may later be replaced by respective interconnect structures (eg, portions of VG, VD+VG) discussed below. Gate spacers 1210 - 1 and 1210 - 2 may include silicon oxide (SiO), silicon nitride (SiN), silicon oxynitride (SiON), or other suitable material. Each of the gate spacers 1210 - 1 and 1210 - 2 may include a single layer or a multilayer structure. In some embodiments, the gate spacer 1210-1 is formed by depositing a blanket layer of the gate spacer 1210-1 by CVD, PVD, ALD, or other suitable technique, and relative to the blanket layer. An anisotropic etching process is performed to perform an anisotropic etching process, so that the gate spacers each follow the sidewalls of the gate structure 1206 - 1 (and the gate sacrificial layer 1208 - 1 ), as shown in the illustrated embodiment of FIG. 12A . It may be formed by forming a pair of (1210-1). The gate spacers 1210 - 2 may be formed by a similar process, as discussed above.

몇몇 실시형태에서, 트랜지스터(1204-1 및 1204-2)를 형성하는 것에 후속하여, 트랜지스터(1204-1 및 1204-2)의 구조체 중 적어도 일부는 각각의 격리 구조체(예를 들면, 얕은 트렌치 격리(shallow trench isolation; STI) 구조체)에 의해 오버레이된다(또는 임베딩된다). 예를 들면, 소스/드레인 구조체(1212-1, 1214-1/1212-2, 및 1214-2)는, 각각, 격리 구조체(1212-1, 1212-2 및 1212-3)에 의해 오버레이될 수 있다. 그러한 격리 구조체는 다음 중 적어도 하나로부터 선택되는 유전체 재료를 포함할 수도 있다: 실리콘 산화물, 저 유전 상수(저유전율(low-k)) 재료, 또는 이들의 조합. 저유전율 재료는, 플루오르화 실리카 글래스(fluorinated silica glass; FSG), 포스포실리케이트 글래스(phosphosilicate glass; PSG), 보로포스포실리케이트 글래스(borophosphosilicate glass; BPSG), 탄소 도핑 실리콘 산화물(SiOxCy), Black Diamond®(블랙 다이아몬드)(미국 캘리포니아주 산타 클라라(Santa Clara) 소재의 Applied Materials(어플라이드 머티리얼스)), 제로겔(Xerogel), 에어로겔(Aerogel), 비정질 플루오르화 탄소(amorphous fluorinated carbon), 파릴렌(Parylene), BCB(bis-benzocyclobutenes; 비스-벤조시클로부텐), SiLK(미국 미시간주 미드랜드(Midland) 소재의 Dow Chemical(다우 케미컬)), 폴리이미드, 및/또는 다른 미래에 개발될 저유전율 유전체 재료를 포함할 수도 있다.In some embodiments, subsequent to forming transistors 1204 - 1 and 1204 - 2 , at least some of the structures of transistors 1204 - 1 and 1204 - 2 are separated from respective isolation structures (eg, shallow trench isolation). overlaid (or embedded) by a shallow trench isolation (STI) structure. For example, source/drain structures 1212-1, 1214-1/1212-2, and 1214-2 may be overlaid by isolation structures 1212-1, 1212-2, and 1212-3, respectively. have. Such an isolation structure may include a dielectric material selected from at least one of: silicon oxide, a low dielectric constant (low-k) material, or a combination thereof. The low dielectric constant material is fluorinated silica glass (FSG), phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), carbon doped silicon oxide (SiO x C y ) , Black Diamond® (Applied Materials, Santa Clara, CA), Xerogel, Aerogel, amorphous fluorinated carbon, Parylene, bis-benzocyclobutenes (BCB), SiLK (Dow Chemical, Midland, MI), polyimides, and/or other future developments It may also include a low-k dielectric material.

도 11 및 도 12b를 참조하면, 방법(1100)은, 몇몇 실시형태에 따라, MD(1214-1 및 1214-2)가 형성되는 동작(1104)으로 진행한다. 형성된 소스/드레인 구조체와 접촉하는 MD는, 일반적으로, 소스/드레인 구조체를 상호연결 구조체(예를 들면, M0 트랙, 또는 M0 트랙 부분)에 전기적으로 커플링하도록 구성된다. 예를 들면, MD(1214-1)는 소스/드레인 구조체(1212-1)와 접촉하여 소스/드레인 구조체(1212-1)를 대응하는 M0 트랙 부분에 전기적으로 커플링하는데, 이것은 하기에서 나타내어질 것이다. 유사하게, MD(1214-2)는 소스/드레인 구조체(1212-2/1214-1)와 접촉하여 소스/드레인 구조체(1212-2/1214-1)를 대응하는 M0 트랙 부분에 전기적으로 커플링하는데, 이것도 또한 하기에서 나타내어질 것이다.11 and 12B , method 1100 proceeds to operation 1104 where MDs 1214 - 1 and 1214 - 2 are formed, in accordance with some embodiments. The MD in contact with the formed source/drain structure is generally configured to electrically couple the source/drain structure to an interconnect structure (eg, M0 track, or M0 track portion). For example, MD 1214 - 1 contacts source/drain structure 1212-1 to electrically couple source/drain structure 1212-1 to a corresponding M0 track portion, as will be shown below. will be. Similarly, MD 1214-2 contacts source/drain structures 1212-2/1214-1 to electrically couple source/drain structures 1212-2/1214-1 to corresponding M0 track portions. However, this will also be shown below.

몇몇 실시형태에서, MD(1214-1 및 1214-2)는, 대응하는 소스/드레인 구조체를 오버레이하는 격리 구조체를 전도성 재료로 대체하는 것에 의해 형성될 수도 있다. 게다가, MD(1214-1 및 1214-2)는, 본원에서 개시되는 바와 같이, 리세싱된 상호연결 구조체가 형성되지 않을 위치에 형성될 수도 있다. 리세싱된 상호연결 구조체가 형성될 위치에서, 대응하는 소스/드레인 피처를 오버레이하는 격리 구조체는 현재 단계에서 유지될 수도 있다. 예를 들면, MD(1214-1 및 1214-2)는 다음의 프로세스 중 적어도 일부를 수행하는 것에 의해 형성될 수 있다: 적어도 격리 구조체(1212-3)(리세싱된 상호연결 구조체가 형성될 곳)를 피복하는 마스크층(1215)을 형성하는 것; 마스크로서 기능하는 마스크층(1215)을 사용하여, 격리 구조체(1212-1 및 1212-2)를 제거(예를 들면, 에칭)하여 홀을 형성하는 것; 홀을 하나 이상의 전도성 재료로 충전하는 것; 및 과도한 전도성 재료 및 마스크층(1215)을 제거하기 위해 연마 프로세스(예를 들면, 화학적 기계적 연마(chemical-mechanical polishing; CMP) 프로세스)를 수행하는 것. 전도성 재료는 다음으로 구성되는 그룹으로부터 선택되는 적어도 하나의 재료를 포함할 수 있다: 코발트(Co), 루테늄(Ru), 탄탈룸(Ta), 티타늄(Ti), 텅스텐(W), 몰리브덴(Mo), 아연(Zn), 알루미늄(Al), 및 망간(Mn). 홀을 충전하는 것은, 예를 들면, 물리적 기상 증착(PVD), 화학적 기상 증착(CVD), 플라즈마 강화 CVD(PECVD), 저압 CVD(LPCVD), 원자 층 퇴적(atomic layer deposition; ALD), 다른 널리 공지된 퇴적 기술과 같은 하나 이상의 퇴적 기술을 포함할 수 있다.In some embodiments, MDs 1214 - 1 and 1214 - 2 may be formed by replacing isolation structures overlying corresponding source/drain structures with a conductive material. In addition, MDs 1214 - 1 and 1214 - 2 may be formed in locations where recessed interconnect structures will not be formed, as disclosed herein. In locations where the recessed interconnect structures are to be formed, the isolation structures overlaying the corresponding source/drain features may be maintained at the current stage. For example, MDs 1214 - 1 and 1214 - 2 may be formed by performing at least some of the following processes: at least isolation structures 1212 - 3 (where recessed interconnect structures are to be formed). ) to form a mask layer 1215 covering the; forming holes by removing (eg, etching) the isolation structures 1212-1 and 1212-2 using the mask layer 1215 serving as a mask; filling the hole with one or more conductive materials; and performing a polishing process (eg, a chemical-mechanical polishing (CMP) process) to remove excess conductive material and mask layer 1215 . The conductive material may include at least one material selected from the group consisting of: cobalt (Co), ruthenium (Ru), tantalum (Ta), titanium (Ti), tungsten (W), molybdenum (Mo) , zinc (Zn), aluminum (Al), and manganese (Mn). Filling holes is, for example, physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), low pressure CVD (LPCVD), atomic layer deposition (ALD), other widely It may include one or more deposition techniques, such as known deposition techniques.

도 11 및 도 12c를 참조하면, 방법(1100)은, 몇몇 실시형태에 따라, 유지된 격리 구조체(1212-3)의 상부 부분이 리세싱되는 동작(1106)으로 진행한다. 몇몇 실시형태에서, 격리 구조체(1212-3)의 상부 부분은 게이트 구조체(1206-2)의 높이와 거의 동일한 높이를 갖도록 부분적으로 제거될 수도 있다. 몇몇 다른 실시형태에서, 격리 구조체(1212-3)의 상부 부분의 제거시, 격리 구조체(1212-3)의 높이는 게이트 구조체(1206-2)의 높이보다 더 낮을 수 있거나 또는 더 높을 수 있다. 예를 들면, 격리 구조체(1212-3)의 상부 부분은, 다음의 프로세스 중 적어도 일부를 수행하는 것에 의해 제거될 수도 있다: 적어도 MD(1214-1 및 1214-2), 게이트 구조체(1206-1 및 1206-2)를 피복하는 마스크층(1217)을 형성하는 것; 및 마스크로서 기능하는 마스크층(1217)을 사용하여, 격리 구조체(1212-3)를 부분적으로 제거(예를 들면, 에칭)하는 것.11 and 12C , the method 1100 proceeds to an operation 1106 in which an upper portion of the retained isolation structure 1212 - 3 is recessed, in accordance with some embodiments. In some embodiments, an upper portion of the isolation structure 1212 - 3 may be partially removed to have a height approximately equal to the height of the gate structure 1206 - 2 . In some other embodiments, upon removal of the upper portion of the isolation structure 1212 - 3 , the height of the isolation structure 1212 - 3 may be lower or higher than the height of the gate structure 1206 - 2 . For example, an upper portion of isolation structure 1212 - 3 may be removed by performing at least some of the following processes: at least MDs 1214 - 1 and 1214 - 2 , gate structure 1206 - 1 and forming a mask layer 1217 covering 1206-2; and partially removing (eg, etching) the isolation structure 1212 - 3 using the mask layer 1217 serving as a mask.

도 11 및 도 12d를 참조하면, 방법(1100)은, 몇몇 실시형태에 따라, MD(1214-1 및 1214-2)가 리세싱되는 동작(1108)으로 진행한다. 몇몇 실시형태에서, MD(1214-1 및 1214-2)의 각각의 상부 부분은 동시적으로 제거될 수도 있다. 예를 들면, MD(1214-1 및 1241-2)의 상부 부분은 다음의 프로세스 중 적어도 일부를 수행하는 것에 의해 제거될 수도 있다: 적어도 리세싱된 격리 구조체(1213-3), 및 게이트 구조체(1206-2)를 피복하는 마스크층(1219)을 형성하는 것; 및 마스크로서 기능하는 마스크층(1219)을 사용하여, MD(1214-1 및 1214-2)를 부분적으로 제거(예를 들면, 에칭)하는 것.11 and 12D , method 1100 proceeds to operation 1108 in which MDs 1214 - 1 and 1214 - 2 are recessed, in accordance with some embodiments. In some embodiments, each upper portion of MDs 1214 - 1 and 1214 - 2 may be removed simultaneously. For example, upper portions of MDs 1214 - 1 and 1241 - 2 may be removed by performing at least some of the following processes: at least the recessed isolation structure 1213 - 3 , and the gate structure ( 1213 - 3 ). forming a mask layer 1219 covering 1206-2; and partially removing (eg, etching) the MDs 1214-1 and 1214-2 using the mask layer 1219 serving as a mask.

도 11 및 도 12e를 참조하면, 방법(1100)은, 몇몇 실시형태에 따라, 소스/드레인 희생층(1220-1, 1220-2, 및 1220-3)이 형성되는 동작(1110)으로 진행한다. 소스/드레인 희생층(1220-1, 1220-2, 및 1220-3)의 각각은 대응하는 MD 또는 격리 구조체를 오버레이하도록 형성된다. 예를 들면, 소스/드레인 희생층(1220-1)은 MD(1214-1)를 오버레이하도록 형성되고; 소스/드레인 희생층(1220-2)은 MD(1214-2)를 오버레이하도록 형성되고; 소스/드레인 희생층(1220-3)은 격리 구조체(1212-3)를 오버레이하도록 형성된다. 소스/드레인 희생층(1220-1, 1220-2, 및 1220-3) 각각은, 예를 들면, 저압 화학적 기상 증착(LPCVD) 또는 플라즈마 강화 화학적 기상 증착(PECVD)을 사용하여, 실리콘 질화물, 폴리실리콘, 실리콘 산화물, 등등, 또는 이들의 조합으로 형성된다. 소스/드레인 희생층(1220-1, 1220-2, 및 1220-3) 각각은 후속하는 포토리소그래피 프로세스 동안 하드 마스크(때때로 하드 마스크층으로 칭해짐)로서 사용된다. 소스/드레인 희생층(1220-1, 1220-2, 및 1220-3)은, 이하에서 논의될 각각의 상호연결 구조체(예를 들면, VD, VD+VG의 부분)에 의해 나중에 대체될 수도 있다. 몇몇 실시형태에서, 소스/드레인 희생층(1220-1, 1220-2, 및 1220-3)은 게이트 희생층(1208-1 및 1208-2)의 에칭 레이트와는 상이한 에칭 레이트를 가질 수도 있다.11 and 12E , method 1100 proceeds to operation 1110 in which source/drain sacrificial layers 1220-1, 1220-2, and 1220-3 are formed, in accordance with some embodiments. . Each of the source/drain sacrificial layers 1220-1, 1220-2, and 1220-3 is formed to overlay a corresponding MD or isolation structure. For example, a source/drain sacrificial layer 1220-1 is formed overlying the MD 1214-1; A source/drain sacrificial layer 1220 - 2 is formed overlying the MD 1214 - 2 ; The source/drain sacrificial layer 1220 - 3 is formed to overlay the isolation structure 1212 - 3 . Each of the source/drain sacrificial layers 1220-1, 1220-2, and 1220-3 is formed of silicon nitride, poly silicon, silicon oxide, etc., or a combination thereof. Each of the source/drain sacrificial layers 1220-1, 1220-2, and 1220-3 is used as a hard mask (sometimes referred to as a hard mask layer) during a subsequent photolithography process. The source/drain sacrificial layers 1220-1, 1220-2, and 1220-3 may later be replaced by respective interconnect structures (eg, portions of VD, VD+VG) discussed below. . In some embodiments, the source/drain sacrificial layers 1220-1, 1220-2, and 1220-3 may have an etch rate different from the etch rate of the gate sacrificial layers 1208-1 and 1208-2.

도 11 및 도 12f를 참조하면, 방법(1100)은, 몇몇 실시형태에 따라, 소스/드레인 희생층(1220-3) 중 하나가 제거되는 동작(1112)으로 진행한다. 몇몇 실시형태에서, 방법(1100)은, 리세싱된 상호연결 구조체가 형성될 위치에서 형성되는 소스/드레인 희생층을 제거하는 것을 포함한다. 예를 들면, 소스/드레인 희생층(1220-3)(도 12e)의 위치는, 리세싱된 상호연결 구조체가 형성될 면적의 일부를 차지하며, 따라서, 소스/드레인 희생층(1220-3)은 제거된다. 몇몇 실시형태에서, 소스/드레인 희생층(1220-3)은 다음의 프로세스 중 적어도 일부를 수행하는 것에 의해 제거된다: 적어도 소스/드레인 희생층(1220-1 및 1220-2) 및 게이트 희생층(1208-1)을 피복하는 마스크층(1221)을 형성하는 것; 및 마스크로서 기능하는 마스크층(1221)을 사용하여, 소스/드레인 희생층(1220-3)을 제거(예를 들면, 에칭)하는 것. 상기에서 언급되는 바와 같이, 소정의 에천트에 대한 게이트 희생층(1208-2) 및 소스/드레인 희생층(1220-3)의 에칭 레이트는 상이하다. 한 실시형태에서, 소스/드레인 희생층(1220-3)은 게이트 희생층(1208-2)보다 더 높은 에칭 레이트로 특성 묘사될 수도 있다. 그와 같이, 게이트 희생층(1208-2)을 실질적으로 피복하지 않는 마스크층(1221)을 사용하여 소스/드레인 희생층(1220-3)을 에칭하는 동안, 게이트 희생층(1208-2)은 실질적으로 온전한 상태로 유지될 수도 있다.11 and 12F , the method 1100 proceeds to operation 1112 in which one of the source/drain sacrificial layers 1220 - 3 is removed, in accordance with some embodiments. In some embodiments, method 1100 includes removing a source/drain sacrificial layer formed at a location where a recessed interconnect structure will be formed. For example, the location of the source/drain sacrificial layer 1220-3 (FIG. 12E) occupies a portion of the area where the recessed interconnect structure will be formed, and thus the source/drain sacrificial layer 1220-3 (FIG. 12E). is removed In some embodiments, the source/drain sacrificial layer 1220-3 is removed by performing at least some of the following processes: at least the source/drain sacrificial layers 1220 - 1 and 1220 - 2 and the gate sacrificial layer ( forming a mask layer 1221 covering 1208-1); and removing (eg, etching) the source/drain sacrificial layer 1220-3 using the mask layer 1221 serving as a mask. As mentioned above, the etch rates of the gate sacrificial layer 1208-2 and the source/drain sacrificial layer 1220-3 for a given etchant are different. In one embodiment, the source/drain sacrificial layer 1220 - 3 may be characterized with a higher etch rate than the gate sacrificial layer 1208 - 2 . As such, while etching the source/drain sacrificial layer 1220-3 using the mask layer 1221 that does not substantially cover the gate sacrificial layer 1208-2, the gate sacrificial layer 1208-2 is It may remain substantially intact.

도 11 및 도 12g를 참조하면, 방법(1100)은, 몇몇 실시형태에 따라, 트랜지스터(1204-2)에 대한 게이트 희생층(1208-2)이 제거되어 트렌치(1224)를 형성하는 동작(1114)으로 진행한다. 몇몇 실시형태에서, 트렌치(1224)는 다음의 프로세스 중 적어도 일부를 수행하는 것에 의해 형성될 수도 있다: 적어도 소스/드레인 희생층(1220-1 및 1220-2) 및 게이트 희생층(1208-1)을 피복하는 마스크층(1225)을 형성하는 것; 및 마스크로서 기능하는 마스크층(1225)을 사용하여, 게이트 희생층(1208-2) 및 한 쌍의 게이트 스페이서(1210-2) 중 하나의 상부 부분을 제거(예를 들면, 에칭)하는 것. 마스크층(1225)은 마스크층(1221)과 동일할 수 있다. 그러한 경우, 게이트 희생층(1208-2)(도 12f) 및 소스/드레인 희생층(1220-3)(도 12e)은 동일한 마스크층을 사용하여 동시적으로 또는 각각 제거될 수도 있다. 몇몇 실시형태에서, 마스크층(1221)(및 마스크층(1225))은, 적어도 소스/드레인 희생층(1220-1 및 1220-2) 및 게이트 희생층(1208-1)을 피복하지만 그러나 게이트 희생층(1208-2) 및 소스/드레인 희생층(1220-3)(도 12e)을 노출시키는 패턴을 포함할 수 있다. 설계 레이아웃(400')에 기초하여 반도체 디바이스(1200)(또는 도 12a 내지 도 12m에서 도시되는 반도체 디바이스(1200)의 일부)가 제조되는 예에서, 마스크층(1221)은 도 4에서 도시되는 패턴(452 및 460)의 조합에 대응할 수도 있다.11 and 12G , the method 1100 includes an operation 1114 in which the gate sacrificial layer 1208 - 2 for the transistor 1204 - 2 is removed to form a trench 1224 , in accordance with some embodiments. ) to proceed. In some embodiments, trench 1224 may be formed by performing at least some of the following processes: at least source/drain sacrificial layers 1220-1 and 1220-2 and gate sacrificial layer 1208-1. forming a mask layer 1225 covering the and removing (eg, etching) an upper portion of one of the gate sacrificial layer 1208 - 2 and the pair of gate spacers 1210 - 2 using the mask layer 1225 serving as a mask. The mask layer 1225 may be the same as the mask layer 1221 . In such a case, the gate sacrificial layer 1208-2 (FIG. 12F) and the source/drain sacrificial layer 1220-3 (FIG. 12E) may be removed simultaneously or separately using the same mask layer. In some embodiments, the mask layer 1221 (and mask layer 1225 ) covers at least the source/drain sacrificial layers 1220 - 1 and 1220 - 2 and the gate sacrificial layer 1208 - 1 but the gate sacrificial layer. It may include a pattern exposing the layer 1208-2 and the source/drain sacrificial layer 1220-3 (FIG. 12E). In an example in which the semiconductor device 1200 (or a part of the semiconductor device 1200 shown in FIGS. 12A to 12M ) is manufactured based on the design layout 400 ′, the mask layer 1221 is formed in the pattern shown in FIG. 4 . It may correspond to a combination of (452 and 460).

도 12c와 관련하여 상기에서 언급되는 바와 같이, 몇몇 실시형태에서, 남겨진 격리 구조체(1212-3)는 게이트 구조체(1206-2)와 동일한 높이를 가질 수도 있다. 그러한 경우에, 게이트 구조체(1206-2)를 오버레이하는 게이트 희생층(1208-2)의 제거시(그리고 게이트 스페이서(1210-2)의 상부 부분의 제거시), 게이트 구조체(1206-2)의 상부 경계부 및 남겨진 격리 구조체(1212-3)의 상부 경계부는 서로 실질적으로 정렬될 수 있는데, 이것은 트렌치(1224)에 대한 실질적으로 편평한 하부 경계부(bottom boundary)를 정의한다.As noted above with respect to FIG. 12C , in some embodiments, the remaining isolation structure 1212 - 3 may have the same height as the gate structure 1206 - 2 . In such a case, upon removal of the gate sacrificial layer 1208 - 2 overlying the gate structure 1206 - 2 (and upon removal of the upper portion of the gate spacer 1210 - 2 ), the The upper boundary and the upper boundary of the remaining isolation structure 1212 - 3 may be substantially aligned with each other, which defines a substantially flat bottom boundary for the trench 1224 .

도 11 및 도 12h를 참조하면, 방법(1100)은, 몇몇 실시형태에 따라, 트렌치(1224)가 전도성 재료로 충전되어 상호연결 구조체(1226)를 형성하는 동작(1116)으로 진행한다. 그와 같이, 상호연결 구조체(1226)는, 예를 들면, 실질적으로 편평한 하부 경계부를 갖는 트렌치(1224)의 기하학적 형상을 상속할 수도 있다. 몇몇 실시형태에서, 상호연결 구조체(1226)는, 게이트 구조체(1206-2)를 연결하기 위해 형성되었을 수도 있는 VG의 위치와 중첩될 수 있는 제1 부분(1226-1), 및 소스/드레인 구조체(1214-2)를 커플링하기 위해 형성되었을 수도 있는 VD의 위치와 중첩될 수 있는 제2 부분(1226-2)을 포함한다. 따라서, 상호연결 구조체(1226)는, 이하, "VD+VG(1226)"로 지칭될 수도 있다. 몇몇 실시형태에서, VD+VG(1226)는 다음의 프로세스 중 적어도 일부를 수행하는 것에 의해 형성될 수 있다: 트렌치(1224)를 하나 이상의 전도성 재료로 충전하는 것; 및 과도한 전도성 재료를 제거하기 위해 연마 프로세스(예를 들면, CMP 프로세스)를 수행하는 것. 전도성 재료는 다음으로 구성되는 그룹으로부터 선택되는 적어도 하나의 재료를 포함할 수 있다: 코발트(Co), 루테늄(Ru), 탄탈룸(Ta), 티타늄(Ti), 텅스텐(W), 몰리브덴(Mo), 아연(Zn), 알루미늄(Al), 및 망간(Mn). 홀을 충전하는 것은, 예를 들면, 물리적 기상 증착(PVD), 화학적 기상 증착(CVD), 플라즈마 강화 CVD(PECVD), 저압 CVD(LPCVD), 원자 층 퇴적(atomic layer deposition; ALD), 다른 널리 공지된 퇴적 기술과 같은 하나 이상의 퇴적 기술을 포함할 수 있다.11 and 12H , the method 1100 proceeds to an operation 1116 in which the trench 1224 is filled with a conductive material to form an interconnect structure 1226 , in accordance with some embodiments. As such, interconnect structure 1226 may inherit the geometry of trench 1224 having, for example, a substantially flat lower boundary. In some embodiments, the interconnect structure 1226 includes a first portion 1226 - 1 , which may overlap the location of VG that may have been formed to connect the gate structure 1206 - 2 , and a source/drain structure. and a second portion 1226-2 that may overlap the location of the VD that may have been formed to couple 1214-2. Accordingly, interconnect structure 1226 may be referred to as “VD+VG 1226” hereinafter. In some embodiments, VD+VG 1226 may be formed by performing at least some of the following processes: filling trench 1224 with one or more conductive materials; and performing a polishing process (eg, a CMP process) to remove excess conductive material. The conductive material may include at least one material selected from the group consisting of: cobalt (Co), ruthenium (Ru), tantalum (Ta), titanium (Ti), tungsten (W), molybdenum (Mo) , zinc (Zn), aluminum (Al), and manganese (Mn). Filling holes is, for example, physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), low pressure CVD (LPCVD), atomic layer deposition (ALD), other widely It may include one or more deposition techniques, such as known deposition techniques.

도 11 및 도 12i를 참조하면, 방법(1100)은, 몇몇 실시형태에 따라, VD+VG(1226)의 일부가 제거되어 리세스(1228)를 형성하는 동작(1118)으로 진행한다. 리세스(1228)는 게이트 구조체(1206-2)와 수직으로 정렬될 수도 있거나, 또는 게이트 구조체(1206-2)가 연장되는 방향에 수직인 방향을 따라 게이트 구조체(1206-2)의 일부를 오버레이할 수도 있다. 구체적으로, 리세스(1228)는 제1 부분(1226-1)과 수직으로 정렬될 수도 있다. 그와 같이, 제1 부분(1226-1)은 제2 부분(1226-2)의 상부 경계부보다 수직으로 더 낮은 상부 경계부, 및 게이트 구조체(1206-2)와 직접적으로 접촉하는 하부 경계부를 가질 수 있다. 게다가, 제2 부분(1206-2)의 하부 경계부는 제1 부분(1226-1)의 하부 경계부로부터 연장될 수도 있다. 몇몇 실시형태에서, 리세스(1228)는 다음의 프로세스 중 적어도 일부를 수행하는 것에 의해 형성될 수도 있다: 리세스(1228)가 형성될 곳을 노출시키는 패턴을 사용하여 마스크층(1227)을 형성하는 것; 및 마스크로서 기능하는 마스크층(1227)을 사용하여, VD+VG(1226)의 일부를 제거(예를 들면, 에칭)하는 것. 몇몇 실시형태에서, 마스크층(1227)의 패턴은 VD+VG(1226)와 부분적으로 중첩될 수 있다. 설계 레이아웃(400')에 기초하여 반도체 디바이스(1200)(또는 도 12a 내지 도 12m에서 도시되는 반도체 디바이스(1200)의 일부)가 제조되는 예에서, 마스크층(1227)은 도 4에서 도시되는 패턴(461)에 대응할 수도 있다.11 and 12I , method 1100 proceeds to operation 1118 in which a portion of VD+VG 1226 is removed to form recess 1228 , in accordance with some embodiments. Recess 1228 may be vertically aligned with gate structure 1206 - 2 , or overlay a portion of gate structure 1206 - 2 along a direction perpendicular to the direction in which gate structure 1206 - 2 extends. You may. Specifically, the recess 1228 may be vertically aligned with the first portion 1226 - 1 . As such, the first portion 1226 - 1 may have an upper boundary that is vertically lower than the upper boundary of the second portion 1226 - 2 , and a lower boundary in direct contact with the gate structure 1206 - 2 . have. In addition, the lower boundary of the second portion 1206 - 2 may extend from the lower boundary of the first portion 1226 - 1 . In some embodiments, the recess 1228 may be formed by performing at least some of the following processes: Form the mask layer 1227 using a pattern that exposes where the recess 1228 is to be formed. to do; and removing (eg, etching) a portion of VD+VG 1226 using the mask layer 1227 serving as a mask. In some embodiments, the pattern of mask layer 1227 may partially overlap with VD+VG 1226 . In an example in which the semiconductor device 1200 (or a portion of the semiconductor device 1200 shown in FIGS. 12A to 12M ) is manufactured based on the design layout 400 ′, the mask layer 1227 has the pattern shown in FIG. 4 . It may correspond to (461).

도 11 및 도 12j를 참조하면, 방법(1100)은, 몇몇 실시형태에 따라, 리세스(1228)가 유전체 재료로 충전되는 동작(1120)으로 진행한다. 유전체 재료를 사용한 리세스(1228)의 충전시, 유전체 리세스 구조체(1230)가 형성될 수 있다. 몇몇 실시형태에서, 유전체 리세스 구조체(1230)는 다음의 프로세스 중 적어도 일부를 수행하는 것에 의해 형성될 수도 있다: 반도체 디바이스(1200) 위에 유전체 재료를 퇴적하는 것; 및 과도한 유전체 재료를 제거하기 위해 연마 프로세스(예를 들면, CMP 프로세스)를 수행하는 것. 유전체 재료는 다음의 것 중 적어도 하나로부터 선택되는 재료를 포함할 수도 있다: 실리콘 산화물, 저 유전 상수(저유전율) 재료, 또는 이들의 조합. 저유전율 재료는, 플루오르화 실리카 글래스(FSG), 포스포실리케이트 글래스(PSG), 보로포스포실리케이트 글래스(BPSG), 탄소 도핑 실리콘 산화물(SiOxCy), Black Diamond®(미국 캘리포니아주 산타 클라라 소재의 Applied Materials), 제로겔, 에어로겔, 비정질 플루오르화 탄소, 파릴렌, BCB(비스-벤조시클로부텐), SiLK(미국 미시간주 미드랜드 소재의 Dow Chemical), 폴리이미드, 및/또는 다른 미래에 개발될 저유전율 유전체 재료를 포함할 수도 있다.11 and 12J , the method 1100 proceeds to an operation 1120 in which the recess 1228 is filled with a dielectric material, in accordance with some embodiments. Upon filling of the recess 1228 with a dielectric material, a dielectric recess structure 1230 may be formed. In some embodiments, the dielectric recess structure 1230 may be formed by performing at least some of the following processes: depositing a dielectric material over the semiconductor device 1200 ; and performing a polishing process (eg, a CMP process) to remove excess dielectric material. The dielectric material may include a material selected from at least one of: silicon oxide, a low dielectric constant (low dielectric constant) material, or a combination thereof. The low-k materials include fluorinated silica glass (FSG), phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), carbon doped silicon oxide (SiO x C y ), Black Diamond® (Santa Clara, CA, USA). from Applied Materials), zerogels, aerogels, amorphous carbon fluoride, parylene, BCB (bis-benzocyclobutene), SiLK (Dow Chemical, Midland, MI), polyimides, and/or other futures. It may also include low-k dielectric materials to be developed.

도 11 및 도 12k를 참조하면, 방법(1100)은, 몇몇 실시형태에 따라, 하나 이상의 희생층이 제거되는 동작(1122)으로 진행한다. 설계 레이아웃(400')에 기초하여 반도체 디바이스(1200)(또는 도 12a 내지 도 12m에서 도시되는 반도체 디바이스(1200)의 일부)가 제조되는 예에서, 동작(1122)은, 소스/드레인 희생층(1220-1, 1220-2)을 유지하면서, 게이트 희생층(1208-1)(도 12j)을 제거하는 것을 포함할 수도 있다. 게이트 희생층(1208-1)의 제거시, 게이트 구조체(1206-1)는 노출될 수도 있다. 몇몇 실시형태에서, 게이트 희생층(1208-1)은 다음의 프로세스 중 적어도 일부를 수행하는 것에 의해 제거될 수도 있다: 적어도 리세싱된 VD+VG(1226) 및 유전체 리세스 구조체(1230)를 피복하는 마스크층(1231)을 형성하는 것; 및 게이트 희생층(1208-1)을 제거하기 위해 에칭 프로세스를 수행하는 것. 몇몇 실시형태에서, 에칭 프로세스는 게이트 희생층(1208-1)을 선택적으로 에칭할 수도 있지만, 그러나 소스/드레인 희생층(1220-1 및 1220-2)을 그대로 유지할 수도 있다.11 and 12K , the method 1100 proceeds to operation 1122 in which one or more sacrificial layers are removed, in accordance with some embodiments. In an example in which semiconductor device 1200 (or a portion of semiconductor device 1200 shown in FIGS. 12A-12M ) is fabricated based on design layout 400', operation 1122 includes a source/drain sacrificial layer ( It may include removing the gate sacrificial layer 1208-1 (FIG. 12J) while maintaining the 1220-1 and 1220-2. Upon removal of the gate sacrificial layer 1208 - 1 , the gate structure 1206 - 1 may be exposed. In some embodiments, the gate sacrificial layer 1208 - 1 may be removed by performing at least some of the following processes: Covering at least the recessed VD+VG 1226 and the dielectric recessed structure 1230 . forming a mask layer 1231 to and performing an etching process to remove the gate sacrificial layer 1208 - 1 . In some embodiments, the etching process may selectively etch the gate sacrificial layer 1208 - 1 , but may leave the source/drain sacrificial layers 1220 - 1 and 1220 - 2 intact.

도 11 및 도 12l을 참조하면, 방법(1100)은, 몇몇 실시형태에 따라, 하나 이상의 상호연결 구조체(VD(들) 및/또는 VG(들))가 형성되는 동작(1124)으로 진행한다. 설계 레이아웃(400')에 기초하여 반도체 디바이스(1200)(또는 도 12a 내지 도 12m에서 도시되는 반도체 디바이스(1200)의 일부)가 제조되는 상기의 예에 후속하여, 동작(1124)은, 게이트 구조체(1206-1)를 전기적으로 연결하는 VG(1232)를 형성하는 것을 포함할 수도 있다. 몇몇 실시형태에서, VG(1232)는 다음의 프로세스 중 적어도 일부를 수행하는 것에 의해 형성될 수도 있다: 게이트 희생층(1208-1)이 형성된 홀을 하나 이상의 전도성 재료를 사용하여 충전하는 것; 및 과도한 전도성 재료를 제거하기 위해 연마 프로세스(예를 들면, 화학적 기계적 연마(CMP) 프로세스)을 수행하는 것. 전도성 재료는 다음으로 구성되는 그룹으로부터 선택되는 적어도 하나의 재료를 포함할 수 있다: 코발트(Co), 루테늄(Ru), 탄탈룸(Ta), 티타늄(Ti), 텅스텐(W), 몰리브덴(Mo), 아연(Zn), 알루미늄(Al), 및 망간(Mn). 홀을 충전하는 것은, 예를 들면, 물리적 기상 증착(PVD), 화학적 기상 증착(CVD), 플라즈마 강화 CVD(PECVD), 저압 CVD(LPCVD), 원자 층 퇴적(ALD), 다른 널리 공지된 퇴적 기술과 같은 하나 이상의 퇴적 기술을 포함할 수 있다.11 and 12L , method 1100 proceeds to operation 1124 in which one or more interconnect structures (VD(s) and/or VG(s)) are formed, in accordance with some embodiments. Following the example above in which the semiconductor device 1200 (or the portion of the semiconductor device 1200 shown in FIGS. 12A-12M ) is fabricated based on the design layout 400 ′, operation 1124 is a gate structure forming VG 1232 that electrically connects 1206 - 1 . In some embodiments, VG 1232 may be formed by performing at least some of the following processes: filling the hole in which the gate sacrificial layer 1208 - 1 is formed using one or more conductive materials; and performing a polishing process (eg, a chemical mechanical polishing (CMP) process) to remove excess conductive material. The conductive material may include at least one material selected from the group consisting of: cobalt (Co), ruthenium (Ru), tantalum (Ta), titanium (Ti), tungsten (W), molybdenum (Mo) , zinc (Zn), aluminum (Al), and manganese (Mn). Filling the holes is, for example, physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), low pressure CVD (LPCVD), atomic layer deposition (ALD), other well known deposition techniques. It may include one or more deposition techniques such as

도 11 및 도 12m을 참조하면, 방법(1100)은, 몇몇 실시형태에 따라, 각각의 M0 트랙 부분(1240-1 및 1240-2)이 형성되는 동작(1126)으로 진행한다. M0 트랙 부분(1240-1 및 1240-2)은 절단 패턴(1241)에 의해 분할되는(또는 절단되는) M0 상호연결 구조체(1240)의 세그먼트일 수도 있다. 그러한 절단 패턴(1241)은 유전체 리세스 구조체(1230)와 수직으로 정렬될 수도 있다. 설계 레이아웃(400')에 기초하여 반도체 디바이스(1200)(또는 도 12a 내지 도 12m에서 도시되는 반도체 디바이스(1200)의 일부)가 제조되는 상기의 예에 후속하여, 절단 패턴(1241)은 도 4에서 도시되는 패턴(463)에 대응할 수도 있다. 그와 같이, 게이트 구조체(1206-2)는, M0 트랙 부분(1240-1)과 전기적으로 격리되면서, 리세싱된 VD+VG(1226)를 통해 M0 트랙 부분(1240-2)에 전기적으로 커플링될 수 있다. 구체적으로, 리세싱된 VD+VG(1226)는, 제1 부분(1226-1)의 하부 경계부를 통해 게이트 구조체(1206-2)를 그리고 제2 부분(1226-2)의 상부 경계부를 통해 M0 트랙 부분(1240-2)을 전기적으로 연결할 수 있다.11 and 12M , method 1100 proceeds to operation 1126 in which respective M0 track portions 1240-1 and 1240-2 are formed, in accordance with some embodiments. M0 track portions 1240 - 1 and 1240 - 2 may be segments of M0 interconnect structure 1240 that are divided (or truncated) by cut pattern 1241 . Such cut pattern 1241 may be vertically aligned with dielectric recess structure 1230 . Following the above example in which the semiconductor device 1200 (or the portion of the semiconductor device 1200 shown in FIGS. 12A-12M ) is manufactured based on the design layout 400', the cut pattern 1241 is shown in FIG. 4 It may correspond to the pattern 463 shown in . As such, gate structure 1206 - 2 is electrically coupled to M0 track portion 1240 - 2 via recessed VD+VG 1226 while being electrically isolated from M0 track portion 1240 - 1 . can be ringed. Specifically, the recessed VD+VG 1226 connects the gate structure 1206 - 2 through the lower boundary of the first portion 1226 - 1 and M0 through the upper boundary of the second portion 1226 - 2 . The track portion 1240 - 2 may be electrically connected.

본 개시의 하나의 양태에서, 반도체 디바이스가 개시된다. 반도체 디바이스는 제1 게이트 구조체를 포함한다. 반도체 디바이스는 상호연결층에 배치되는 제1 상호연결 구조체를 포함한다. 상호연결층은 제1 게이트 구조체 위에 배치되는데, 제1 상호연결 구조체는 제1 게이트 구조체로부터 측방향으로 변위된다. 반도체 디바이스는 제1 게이트 구조체와 상호연결층 사이에 배치되는 제2 상호연결 구조체를 포함한다. 제2 상호연결 구조체는 제1 부분 및 제2 부분을 포함한다. 제2 상호연결 구조체의 제1 부분 및 제2 부분은 서로 측방향으로 인접하고, 제1 부분은 리세스에 의해 제2 부분보다 수직으로 더 짧다. 제1 게이트 구조체는, 제2 상호연결 구조체의 제1 부분만을 제1 게이트 구조체에 접촉시키고 제2 상호연결 구조체의 제2 부분만을 제1 상호연결 구조체에 접촉시킴으로써 제1 상호연결 구조체에 전기적으로 커플링된다.In one aspect of the present disclosure, a semiconductor device is disclosed. The semiconductor device includes a first gate structure. A semiconductor device includes a first interconnect structure disposed in an interconnect layer. An interconnect layer is disposed over the first gate structure, wherein the first interconnect structure is laterally displaced from the first gate structure. The semiconductor device includes a second interconnect structure disposed between the first gate structure and the interconnect layer. The second interconnect structure includes a first portion and a second portion. A first portion and a second portion of the second interconnect structure are laterally adjacent to each other, and the first portion is vertically shorter than the second portion by a recess. The first gate structure is electrically coupled to the first interconnect structure by contacting only a first portion of the second interconnect structure to the first gate structure and contacting only a second portion of the second interconnect structure to the first interconnect structure. ring is

본 개시의 다른 양태에서, 반도체 디바이스가 개시된다. 반도체 디바이스는 제1 측방향(lateral direction)을 따라 연장되는 제1 게이트 구조체를 포함한다. 반도체 디바이스는, 제1 측방향에 수직인 제2 측방향을 따라 연장되는, 제1 게이트 구조체 위에 배치되는 제1 상호연결 구조체를 포함한다. 제1 상호연결 구조체는 제1 유전체 구조체에 의해 서로 전기적으로 격리되는 제1 부분 및 제2 부분을 포함한다. 반도체 디바이스는, 제1 게이트 구조체를 제1 상호연결 구조체의 제1 부분에 전기적으로 커플링하는, 제1 게이트 구조체와 제1 상호연결 구조체 사이에 배치되는 제2 상호연결 구조체를 포함한다. 제2 상호연결 구조체는, 수직 방향을 따라 제1 게이트 구조체 및 유전체 구조체와 실질적으로 정렬되는 리세싱된 부분을 포함한다.In another aspect of the present disclosure, a semiconductor device is disclosed. The semiconductor device includes a first gate structure extending along a first lateral direction. The semiconductor device includes a first interconnect structure disposed over a first gate structure that extends along a second lateral direction perpendicular to the first lateral direction. The first interconnect structure includes a first portion and a second portion that are electrically isolated from each other by a first dielectric structure. The semiconductor device includes a second interconnect structure disposed between the first gate structure and the first interconnect structure that electrically couples the first gate structure to a first portion of the first interconnect structure. The second interconnect structure includes a recessed portion substantially aligned with the first gate structure and the dielectric structure along a vertical direction.

본 개시의 여전히 다른 양태에서, 반도체 디바이스를 제조하기 위한 방법이 개시된다. 방법은 제1 희생층에 의해 오버레이되는(overlaid) 게이트 구조체 및 제2 희생층에 의해 오버레이되는 소스/드레인 구조체를 형성하는 것을 포함한다. 방법은 제1 희생층 및 제2 희생층의 상부 부분을 제1 상호연결 구조체로 대체하는 것을 포함한다. 방법은 제1 상호연결 구조체의 일부를 리세싱하는 것을 포함하는데, 리세싱된 부분은 게이트 구조체와 수직으로 정렬된다. 방법은 리세싱된 부분을 유전체 재료로 충전하여, 리세싱된 유전체 구조체를 형성하는 것을 포함한다. 방법은 제1 상호연결 구조체 위에 제2 상호연결 구조체를 형성하는 것을 포함하는데, 제2 상호연결 구조체는, 리세싱된 유전체 구조체와 수직으로 정렬되는 유전체 구조체에 의해 복수의 부분으로 절단된다.In yet another aspect of the present disclosure, a method for manufacturing a semiconductor device is disclosed. The method includes forming a gate structure overlaid by a first sacrificial layer and a source/drain structure overlaid by a second sacrificial layer. The method includes replacing upper portions of the first sacrificial layer and the second sacrificial layer with a first interconnect structure. The method includes recessing a portion of the first interconnect structure, wherein the recessed portion is vertically aligned with the gate structure. The method includes filling the recessed portion with a dielectric material to form a recessed dielectric structure. The method includes forming a second interconnect structure over the first interconnect structure, the second interconnect structure cut into a plurality of portions by the dielectric structure vertically aligned with the recessed dielectric structure.

상기 설명은, 기술 분야의 숙련된 자가 본 개시의 양태를 더 잘 이해할 수도 있도록 여러 실시형태의 피처를 개략적으로 나타낸다(outline). 기술 분야의 숙련된 자는, 그들이 동일한 목적을 수행하기 위해 및/또는 본원에서 소개되는 실시형태의 동일한 이점을 달성하기 위해 다른 프로세스 및 구조체를 설계 또는 수정하기 위한 기초로서 본 개시를 쉽게 사용할 수도 있다는 것을 인식해야 한다. 기술 분야의 숙련된 자는 또한, 그러한 등가적 구성이 본 개시의 취지와 범위를 벗어나지 않는다는 것, 및 그들이 본 개시의 취지와 범위를 벗어나지 않으면서 본원에서 다양한 변경, 대체, 및 수정을 가할 수도 있다는 것을 인식해야 한다.The above description outlines features of various embodiments so that those skilled in the art may better understand aspects of the present disclosure. Those skilled in the art will recognize that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. have to recognize Those skilled in the art will also recognize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and modifications herein without departing from the spirit and scope of the present disclosure. have to recognize

실시예들Examples

실시예 1. 반도체 디바이스로서,Embodiment 1. A semiconductor device comprising:

제1 게이트 구조체;a first gate structure;

상호연결층에 배치된 제1 상호연결 구조체 - 상기 상호연결층은 상기 제1 게이트 구조체 위에 배치되고, 상기 제1 상호연결 구조체는 상기 제1 게이트 구조체로부터 측방향으로(laterally) 변위(displace)됨 - ; 및a first interconnect structure disposed in an interconnect layer, the interconnect layer disposed over the first gate structure, the first interconnect structure being laterally displaced from the first gate structure - ; and

상기 제1 게이트 구조체와 상기 상호연결층 사이에 배치된 제2 상호연결 구조체 - 상기 제2 상호연결 구조체는 제1 부분 및 제2 부분을 포함함 - 를 포함하고,a second interconnect structure disposed between the first gate structure and the interconnect layer, the second interconnect structure comprising a first portion and a second portion;

상기 제2 상호연결 구조체의 제1 부분 및 제2 부분은 서로 측방향으로 인접하고, 상기 제1 부분은 리세스(recess)에 의해 상기 제2 부분보다 수직으로 더 짧으며,a first portion and a second portion of the second interconnect structure are laterally adjacent to each other, the first portion being vertically shorter than the second portion by a recess;

상기 제1 게이트 구조체는, 상기 제2 상호연결 구조체의 제1 부분만을 상기 제1 게이트 구조체에 접촉시키고 상기 제2 상호연결 구조체의 제2 부분만을 상기 제1 상호연결 구조체에 접촉시킴으로써 상기 제1 상호연결 구조체에 전기적으로 커플링되는 것인, 반도체 디바이스.The first gate structure is formed by contacting only a first portion of the second interconnect structure to the first gate structure and contacting only a second portion of the second interconnect structure to the first interconnect structure. and electrically coupled to the connection structure.

실시예 2. 실시예 1에 있어서, 상기 제2 상호연결 구조체의 제1 부분은, 상기 리세스를 충전하는 적어도 유전체 구조체에 의해, 상기 상호연결층에 배치된 상기 제1 상호연결 구조체 및 임의의 다른 상호연결 구조체로부터 전기적으로 격리되는 것인, 반도체 디바이스.Embodiment 2. The first interconnect structure of Embodiment 1, wherein the first portion of the second interconnect structure is disposed in the interconnect layer by at least a dielectric structure filling the recess and any and electrically isolated from other interconnect structures.

실시예 3. 실시예 1에 있어서, 상기 제2 상호연결 구조체의 제1 부분은 제1 상부 경계부(top boundary) 및 제1 하부 경계부(bottom boundary)를 갖고, 상기 제1 상부 경계부는 상기 제1 상호연결 구조체로부터 수직으로 그리고 측방향으로 이격되며, 상기 제1 하부 경계부는 상기 제1 게이트 구조체의 상부 경계부와 직접적으로 접촉하는 것인, 반도체 디바이스.Embodiment 3. The first portion of embodiment 1, wherein the first portion of the second interconnect structure has a first top boundary and a first bottom boundary, wherein the first upper boundary includes the first and vertically and laterally spaced apart from the interconnect structure, wherein the first lower boundary is in direct contact with the upper boundary of the first gate structure.

실시예 4. 실시예 3에 있어서, 상기 제2 상호연결 구조체의 제2 부분은 제2 상부 경계부 및 제2 하부 경계부를 갖고, 상기 제2 상부 경계부는 상기 제1 상호연결 구조체와 직접적으로 접촉하며, 상기 제2 하부 경계부는 상기 제1 게이트 구조체의 상부 경계부로부터 측방향으로 변위되는 것인, 반도체 디바이스.Embodiment 4. The second portion of embodiment 3, wherein the second portion of the second interconnect structure has a second upper boundary and a second lower boundary, wherein the second upper boundary is in direct contact with the first interconnect structure; , wherein the second lower boundary is laterally displaced from an upper boundary of the first gate structure.

실시예 5. 실시예 1에 있어서, 상기 제2 상호연결 구조체의 제1 부분은 상기 리세스 및 상기 제1 게이트 구조체와 수직으로 정렬되고, 상기 제2 상호연결 구조체의 제2 부분은 상기 제1 상호연결 구조체의 단부 부분(end portion)과 수직으로 정렬되는 것인, 반도체 디바이스.Embodiment 5. The method of embodiment 1, wherein a first portion of the second interconnect structure is vertically aligned with the recess and the first gate structure, and wherein a second portion of the second interconnect structure comprises the first and vertically aligned with an end portion of the interconnect structure.

실시예 6. 실시예 1에 있어서,Example 6. The method of Example 1,

상기 제1 게이트 구조체로부터 측방향으로 이격된 제2 게이트 구조체;a second gate structure laterally spaced apart from the first gate structure;

상기 상호연결층에 배치된 제3 상호연결 구조체 - 상기 제3 상호연결 구조체는 상기 제1 상호연결 구조체로부터 측방향으로 이격됨 - ; 및a third interconnect structure disposed in the interconnect layer, the third interconnect structure laterally spaced from the first interconnect structure; and

상기 제2 게이트 구조체를 상기 제3 상호연결 구조체에 연결하는, 상기 제2 게이트 구조체과 상기 상호연결층 사이에 배치된 제4 상호연결 구조체를 더 포함하는, 반도체 디바이스.and a fourth interconnect structure disposed between the second gate structure and the interconnect layer, the fourth interconnect structure connecting the second gate structure to the third interconnect structure.

실시예 7. 실시예 6에 있어서, 상기 제1 게이트 구조체 및 상기 제2 게이트 구조체는 서로 거리를 두고 측방향으로 이격되고, 상기 제1 상호연결 구조체 또는 상기 제3 상호연결 구조체 중 적어도 하나가 측방향으로 연장되는 폭은, 상기 거리의 1.5 배 이상인 것인, 반도체 디바이스.Embodiment 7. The method of Embodiment 6, wherein the first gate structure and the second gate structure are laterally spaced apart from each other at a distance, and at least one of the first interconnect structure or the third interconnect structure is lateral A width extending in the direction is at least 1.5 times the distance.

실시예 8. 실시예 6에 있어서, 상기 제1 게이트 구조체 및 상기 제2 게이트 구조체는, 표준 셀(standard cell)을 구성하는 다수의 게이트 구조체들 중 인접한 두 개의 게이트 구조체들이고, 게이트 구조체들의 개수는 5 이하인 것인, 반도체 디바이스.Embodiment 8 The gate structure of Embodiment 6, wherein the first gate structure and the second gate structure are adjacent two gate structures among a plurality of gate structures constituting a standard cell, and the number of gate structures is 5 or less, the semiconductor device.

실시예 9. 실시예 1에 있어서, 상기 제1 상호연결 구조체는 상기 상호연결층에 배치된 다수의 신호 트랙들 중 하나의 신호 트랙에 포함되고, 신호 트랙들의 개수는 3 이하인 것인, 반도체 디바이스.Embodiment 9 The semiconductor device according to embodiment 1, wherein the first interconnect structure is included in one signal track of a plurality of signal tracks disposed in the interconnect layer, and the number of signal tracks is three or less. .

실시예 10. 실시예 1에 있어서, 상기 제2 상호연결 구조체의 제2 부분은 격리 구조체에 의해 소스/드레인 구조체로부터 수직으로 이격되고, 상기 소스/드레인 구조체는 상기 제1 게이트 구조체로부터 측방향으로 이격되는 것인, 반도체 디바이스.Embodiment 10. The method of embodiment 1, wherein a second portion of the second interconnect structure is vertically spaced from a source/drain structure by an isolation structure, wherein the source/drain structure is laterally from the first gate structure. spaced apart, the semiconductor device.

실시예 11. 반도체 디바이스로서,Embodiment 11. A semiconductor device comprising:

제1 측방향을 따라 연장되는 제1 게이트 구조체;a first gate structure extending along a first lateral direction;

상기 제1 측방향에 수직인 제2 측방향을 따라 연장되는, 상기 제1 게이트 구조체 위에 배치된 제1 상호연결 구조체 - 상기 제1 상호연결 구조체는 제1 유전체 구조체에 의해 서로 전기적으로 격리된 제1 부분 및 제2 부분을 포함함 - ;a first interconnect structure disposed over the first gate structure extending along a second lateral direction perpendicular to the first lateral direction, wherein the first interconnect structure is a first interconnect structure electrically isolated from each other by a first dielectric structure. comprising a first part and a second part;

상기 제1 게이트 구조체를 상기 제1 상호연결 구조체의 제1 부분에 전기적으로 커플링하는, 상기 제1 게이트 구조체와 상기 제1 상호연결 구조체 사이에 배치된 제2 상호연결 구조체를 포함하고,a second interconnect structure disposed between the first gate structure and the first interconnect structure, the second interconnect structure electrically coupling the first gate structure to a first portion of the first interconnect structure;

상기 제2 상호연결 구조체는, 수직 방향을 따라 상기 제1 게이트 구조체 및 상기 유전체 구조체와 정렬되는 리세싱된 부분(recessed portion)을 포함하는 것인, 반도체 디바이스.and the second interconnect structure includes a recessed portion aligned with the first gate structure and the dielectric structure along a vertical direction.

실시예 12. 실시예 11에 있어서, 상기 제2 상호연결 구조체의 리세싱된 부분은 상기 제1 게이트 구조체에 직접적으로 연결되고, 상기 제2 상호연결 구조체는, 상기 제1 상호연결 구조체의 제1 부분에 직접적으로 연결된 리세싱되지 않은 부분(non-recessed portion)을 더 포함하는 것인, 반도체 디바이스.Embodiment 12. The method of embodiment 11, wherein the recessed portion of the second interconnect structure is directly coupled to the first gate structure, and wherein the second interconnect structure comprises a first portion of the first interconnect structure. and a non-recessed portion directly coupled to the portion.

실시예 13. 실시예 12에 있어서,Example 13. The method of Example 12,

상기 제2 측방향을 따라 상기 제1 게이트 구조체의 두 개의 측부들 중 하나의 측부 상에 배치된 소스/드레인 구조체를 더 포함하고,a source/drain structure disposed on one of the two sides of the first gate structure along the second lateral direction;

상기 소스/드레인 구조체는 상기 수직 방향을 따라 상기 제2 상호연결 구조체의 리세싱되지 않은 부분과 실질적으로 정렬되고, 제2 유전체 구조체에 의해 상기 제2 상호연결 구조체의 리세싱되지 않은 부분으로부터 전기적으로 격리되는 것인, 반도체 디바이스.The source/drain structures are substantially aligned with the non-recessed portion of the second interconnect structure along the vertical direction, and electrically from the non-recessed portion of the second interconnect structure by a second dielectric structure. is isolated.

실시예 14. 실시예 13에 있어서,Example 14. The method of Example 13,

상기 제2 측방향을 따라 상기 소스/드레인 구조체로부터 상기 제1 게이트 구조체 반대측에 배치된 제2 게이트 구조체를 더 포함하고,a second gate structure disposed on a side opposite to the first gate structure from the source/drain structure along the second lateral direction;

상기 제2 게이트 구조체는 제3 상호연결 구조체를 통해 상기 제1 상호연결 구조체의 제2 부분에 전기적으로 커플링되는 것인, 반도체 디바이스.and the second gate structure is electrically coupled to the second portion of the first interconnect structure through a third interconnect structure.

실시예 15. 실시예 14에 있어서, 상기 제2 상호연결 구조체 및 상기 제3 상호연결 구조체는 동일한 상호연결층에 배치되는 것인, 반도체 디바이스.Embodiment 15 The semiconductor device of embodiment 14, wherein the second interconnect structure and the third interconnect structure are disposed in the same interconnect layer.

실시예 16. 실시예 14에 있어서, 상기 제1 게이트 구조체 및 상기 제2 게이트 구조체는 상기 제2 측방향을 따라 서로 거리를 두고 이격되고, 상기 제1 상호연결 구조체의 적어도 제1 부분 또는 제2 부분이 상기 제2 측방향을 따라 연장되는 폭은, 상기 거리의 1.5 배 이상인 것인, 반도체 디바이스.Embodiment 16. The method of embodiment 14, wherein the first gate structure and the second gate structure are spaced apart from each other along the second lateral direction, at least a first portion or a second of the first interconnect structure and a width at which a portion extends along the second lateral direction is at least 1.5 times the distance.

실시예 17. 실시예 14에 있어서, 상기 제1 게이트 구조체 및 상기 제2 게이트 구조체는, 표준 셀을 구성하는 다수의 게이트 구조체들 중 인접한 두 개의 게이트 구조체들이고, 게이트 구조체들의 개수는 5 이하인 것인, 반도체 디바이스.Embodiment 17. The method of embodiment 14, wherein the first gate structure and the second gate structure are adjacent two gate structures among a plurality of gate structures constituting a standard cell, and the number of the gate structures is 5 or less. , semiconductor devices.

실시예 18. 실시예 11에 있어서, 제1 상호연결 구조체는 상호연결층에 배치된 다수의 신호 트랙들 중 하나의 신호 트랙에 포함되고, 신호 트랙들의 개수는 3 이하인 것인, 반도체 디바이스.Embodiment 18 The semiconductor device according to embodiment 11, wherein the first interconnect structure is included in one of the plurality of signal tracks disposed in the interconnect layer, and the number of the signal tracks is three or less.

실시예 19. 반도체 디바이스를 제조하기 위한 방법으로서,Example 19. A method for manufacturing a semiconductor device, comprising:

제1 희생층에 의해 오버레이되는(overlaid) 게이트 구조체 및 제2 희생층에 의해 오버레이되는 소스/드레인 구조체를 형성하는 단계;forming a gate structure overlaid by a first sacrificial layer and a source/drain structure overlaid by a second sacrificial layer;

상기 제1 희생층 및 상기 제2 희생층의 상부 부분을 제1 상호연결 구조체로 대체하는 단계;replacing upper portions of the first sacrificial layer and the second sacrificial layer with a first interconnect structure;

상기 제1 상호연결 구조체의 일부를 리세싱하는 단계 - 상기 리세싱된 부분은 상기 게이트 구조체와 수직으로 정렬됨 - ;recessing a portion of the first interconnect structure, wherein the recessed portion is vertically aligned with the gate structure;

상기 리세싱된 부분을 유전체 재료로 충전하여, 리세싱된 유전체 구조체를 형성하는 단계; 및filling the recessed portion with a dielectric material to form a recessed dielectric structure; and

상기 제1 상호연결 구조체 위에 제2 상호연결 구조체를 형성하는 단계 - 상기 제2 상호연결 구조체는, 상기 리세싱된 유전체 구조체와 수직으로 정렬되는 유전체 구조체에 의해 복수의 부분들로 절단(cut)됨 - 를 포함하는, 반도체 디바이스를 제조하기 위한 방법.forming a second interconnect structure over the first interconnect structure, the second interconnect structure cut into a plurality of portions by a dielectric structure vertically aligned with the recessed dielectric structure - A method for manufacturing a semiconductor device comprising:

실시예 20. 실시예 19에 있어서, 상기 제1 상호연결 구조체의 상부 경계부의 일부는 상기 제2 상호연결 구조체의 복수의 부분들 중 한 부분과 직접적으로 접촉하고, 상기 제1 상호연결 구조체의 하부 경계부의 일부는 상기 게이트 구조체와 직접적으로 접촉하는 것인, 방법. Embodiment 20. The method of embodiment 19, wherein a portion of an upper boundary portion of the first interconnect structure directly contacts one of the plurality of portions of the second interconnect structure, and a lower portion of the first interconnect structure and a portion of the boundary is in direct contact with the gate structure.

Claims (10)

반도체 디바이스로서,
제1 게이트 구조체;
상호연결층에 배치된 제1 상호연결 구조체 - 상기 상호연결층은 상기 제1 게이트 구조체 위에 배치되고, 상기 제1 상호연결 구조체는 상기 제1 게이트 구조체로부터 측방향으로(laterally) 변위(displace)됨 - ; 및
상기 제1 게이트 구조체와 상기 상호연결층 사이에 배치된 제2 상호연결 구조체 - 상기 제2 상호연결 구조체는 제1 부분 및 제2 부분을 포함함 - 를 포함하고,
상기 제2 상호연결 구조체의 제1 부분 및 제2 부분은 서로 측방향으로 인접하고, 상기 제1 부분은 리세스(recess)에 의해 상기 제2 부분보다 수직으로 더 짧으며,
상기 제1 게이트 구조체는, 상기 제2 상호연결 구조체의 제1 부분만을 상기 제1 게이트 구조체에 접촉시키고 상기 제2 상호연결 구조체의 제2 부분만을 상기 제1 상호연결 구조체에 접촉시킴으로써 상기 제1 상호연결 구조체에 전기적으로 커플링되는 것인, 반도체 디바이스.
A semiconductor device comprising:
a first gate structure;
a first interconnect structure disposed in an interconnect layer, the interconnect layer disposed over the first gate structure, the first interconnect structure being laterally displaced from the first gate structure - ; and
a second interconnect structure disposed between the first gate structure and the interconnect layer, the second interconnect structure comprising a first portion and a second portion;
a first portion and a second portion of the second interconnect structure are laterally adjacent to each other, the first portion being vertically shorter than the second portion by a recess;
The first gate structure is formed by contacting only a first portion of the second interconnect structure to the first gate structure and contacting only a second portion of the second interconnect structure to the first interconnect structure. and electrically coupled to the connection structure.
제1항에 있어서, 상기 제2 상호연결 구조체의 제1 부분은, 상기 리세스를 충전하는 적어도 유전체 구조체에 의해, 상기 상호연결층에 배치된 상기 제1 상호연결 구조체 및 임의의 다른 상호연결 구조체로부터 전기적으로 격리되는 것인, 반도체 디바이스.The first interconnect structure and any other interconnect structure of claim 1 , wherein a first portion of the second interconnect structure is disposed in the interconnect layer by at least a dielectric structure filling the recess. is electrically isolated from the semiconductor device. 제1항에 있어서, 상기 제2 상호연결 구조체의 제1 부분은 제1 상부 경계부(top boundary) 및 제1 하부 경계부(bottom boundary)를 갖고, 상기 제1 상부 경계부는 상기 제1 상호연결 구조체로부터 수직으로 그리고 측방향으로 이격되며, 상기 제1 하부 경계부는 상기 제1 게이트 구조체의 상부 경계부와 직접적으로 접촉하는 것인, 반도체 디바이스.5. The method of claim 1, wherein the first portion of the second interconnect structure has a first top boundary and a first bottom boundary, the first upper boundary being separated from the first interconnect structure. spaced apart vertically and laterally, wherein the first lower boundary is in direct contact with the upper boundary of the first gate structure. 제3항에 있어서, 상기 제2 상호연결 구조체의 제2 부분은 제2 상부 경계부 및 제2 하부 경계부를 갖고, 상기 제2 상부 경계부는 상기 제1 상호연결 구조체와 직접적으로 접촉하며, 상기 제2 하부 경계부는 상기 제1 게이트 구조체의 상부 경계부로부터 측방향으로 변위되는 것인, 반도체 디바이스.4. The method of claim 3, wherein the second portion of the second interconnect structure has a second upper boundary and a second lower boundary, the second upper boundary being in direct contact with the first interconnect structure, the second and a lower boundary is laterally displaced from an upper boundary of the first gate structure. 제1항에 있어서, 상기 제2 상호연결 구조체의 제1 부분은 상기 리세스 및 상기 제1 게이트 구조체와 수직으로 정렬되고, 상기 제2 상호연결 구조체의 제2 부분은 상기 제1 상호연결 구조체의 단부 부분(end portion)과 수직으로 정렬되는 것인, 반도체 디바이스.2. The method of claim 1, wherein a first portion of the second interconnect structure is vertically aligned with the recess and the first gate structure, and a second portion of the second interconnect structure is a portion of the first interconnect structure. and vertically aligned with an end portion. 제1항에 있어서,
상기 제1 게이트 구조체로부터 측방향으로 이격된 제2 게이트 구조체;
상기 상호연결층에 배치된 제3 상호연결 구조체 - 상기 제3 상호연결 구조체는 상기 제1 상호연결 구조체로부터 측방향으로 이격됨 - ; 및
상기 제2 게이트 구조체를 상기 제3 상호연결 구조체에 연결하는, 상기 제2 게이트 구조체과 상기 상호연결층 사이에 배치된 제4 상호연결 구조체를 더 포함하는, 반도체 디바이스.
According to claim 1,
a second gate structure laterally spaced apart from the first gate structure;
a third interconnect structure disposed in the interconnect layer, the third interconnect structure laterally spaced from the first interconnect structure; and
and a fourth interconnect structure disposed between the second gate structure and the interconnect layer, the fourth interconnect structure connecting the second gate structure to the third interconnect structure.
제1항에 있어서, 상기 제1 상호연결 구조체는 상기 상호연결층에 배치된 다수의 신호 트랙들 중 하나의 신호 트랙에 포함되고, 신호 트랙들의 개수는 3 이하인 것인, 반도체 디바이스.The semiconductor device according to claim 1, wherein the first interconnect structure is included in one of a plurality of signal tracks disposed in the interconnect layer, and the number of signal tracks is three or less. 제1항에 있어서, 상기 제2 상호연결 구조체의 제2 부분은 격리 구조체에 의해 소스/드레인 구조체로부터 수직으로 이격되고, 상기 소스/드레인 구조체는 상기 제1 게이트 구조체로부터 측방향으로 이격되는 것인, 반도체 디바이스.The method of claim 1 , wherein a second portion of the second interconnect structure is vertically spaced from a source/drain structure by an isolation structure, and wherein the source/drain structure is laterally spaced from the first gate structure. , semiconductor devices. 반도체 디바이스로서,
제1 측방향을 따라 연장되는 제1 게이트 구조체;
상기 제1 측방향에 수직인 제2 측방향을 따라 연장되는, 상기 제1 게이트 구조체 위에 배치된 제1 상호연결 구조체 - 상기 제1 상호연결 구조체는 제1 유전체 구조체에 의해 서로 전기적으로 격리된 제1 부분 및 제2 부분을 포함함 - ;
상기 제1 게이트 구조체를 상기 제1 상호연결 구조체의 제1 부분에 전기적으로 커플링하는, 상기 제1 게이트 구조체와 상기 제1 상호연결 구조체 사이에 배치된 제2 상호연결 구조체를 포함하고,
상기 제2 상호연결 구조체는, 수직 방향을 따라 상기 제1 게이트 구조체 및 상기 유전체 구조체와 정렬되는 리세싱된 부분(recessed portion)을 포함하는 것인, 반도체 디바이스.
A semiconductor device comprising:
a first gate structure extending along a first lateral direction;
a first interconnect structure disposed over the first gate structure extending along a second lateral direction perpendicular to the first lateral direction, wherein the first interconnect structure is a first interconnect structure electrically isolated from each other by a first dielectric structure. comprising a first part and a second part;
a second interconnect structure disposed between the first gate structure and the first interconnect structure, the second interconnect structure electrically coupling the first gate structure to a first portion of the first interconnect structure;
and the second interconnect structure includes a recessed portion aligned with the first gate structure and the dielectric structure along a vertical direction.
반도체 디바이스를 제조하기 위한 방법으로서,
제1 희생층에 의해 오버레이되는(overlaid) 게이트 구조체 및 제2 희생층에 의해 오버레이되는 소스/드레인 구조체를 형성하는 단계;
상기 제1 희생층 및 상기 제2 희생층의 상부 부분을 제1 상호연결 구조체로 대체하는 단계;
상기 제1 상호연결 구조체의 일부를 리세싱하는 단계 - 상기 리세싱된 부분은 상기 게이트 구조체와 수직으로 정렬됨 - ;
상기 리세싱된 부분을 유전체 재료로 충전하여, 리세싱된 유전체 구조체를 형성하는 단계; 및
상기 제1 상호연결 구조체 위에 제2 상호연결 구조체를 형성하는 단계 - 상기 제2 상호연결 구조체는, 상기 리세싱된 유전체 구조체와 수직으로 정렬되는 유전체 구조체에 의해 복수의 부분들로 절단(cut)됨 - 를 포함하는, 반도체 디바이스를 제조하기 위한 방법.
A method for manufacturing a semiconductor device, comprising:
forming a gate structure overlaid by a first sacrificial layer and a source/drain structure overlaid by a second sacrificial layer;
replacing upper portions of the first sacrificial layer and the second sacrificial layer with a first interconnect structure;
recessing a portion of the first interconnect structure, wherein the recessed portion is vertically aligned with the gate structure;
filling the recessed portion with a dielectric material to form a recessed dielectric structure; and
forming a second interconnect structure over the first interconnect structure, the second interconnect structure cut into a plurality of portions by a dielectric structure vertically aligned with the recessed dielectric structure - A method for manufacturing a semiconductor device comprising:
KR1020200088745A 2020-02-27 2020-07-17 Semiconductor device including recessed interconnect structure KR102448773B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/803,497 2020-02-27
US16/803,497 US11444018B2 (en) 2020-02-27 2020-02-27 Semiconductor device including recessed interconnect structure

Publications (2)

Publication Number Publication Date
KR20210110146A true KR20210110146A (en) 2021-09-07
KR102448773B1 KR102448773B1 (en) 2022-09-28

Family

ID=77271496

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200088745A KR102448773B1 (en) 2020-02-27 2020-07-17 Semiconductor device including recessed interconnect structure

Country Status (5)

Country Link
US (4) US11444018B2 (en)
KR (1) KR102448773B1 (en)
CN (1) CN113314460B (en)
DE (1) DE102020110780B4 (en)
TW (1) TWI804797B (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020137660A1 (en) * 2018-12-25 2020-07-02 株式会社ソシオネクスト Semiconductor integrated circuit device
US20220336360A1 (en) * 2021-04-15 2022-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Diagonal vias in semiconductor structures
US12001772B2 (en) * 2021-09-24 2024-06-04 International Business Machines Corporation Ultra-short-height standard cell architecture

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060046449A1 (en) * 2004-08-27 2006-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure for mos devices
KR20160044406A (en) * 2014-10-15 2016-04-25 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device and method of manufacturing semiconductor device
US20160365309A1 (en) * 2015-06-09 2016-12-15 Stmicroelectronics, Inc. Self-aligned bottom up gate contact and top down source-drain contact structure in the premetallization dielectric or interlevel dielectric layer of an integrated circuit
KR20170085929A (en) * 2016-01-15 2017-07-25 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Method for fabricating a local interconnect in a semiconductor device
US20170294347A1 (en) * 2016-04-12 2017-10-12 International Business Machines Corporation Two-dimensional self-aligned super via integration on self-aligned gate contact
US20190043952A1 (en) * 2018-06-26 2019-02-07 Intel Corporation Quantum dot devices with overlapping gates
KR20190064376A (en) * 2017-11-30 2019-06-10 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Contact formation method and related structure
US10431495B1 (en) * 2018-07-23 2019-10-01 International Business Machines Corporation Semiconductor device with local connection

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100663360B1 (en) * 2005-04-20 2007-01-02 삼성전자주식회사 Semiconductor devices having thin film transistor and fabrication methods thereof
DE102011004323B4 (en) * 2011-02-17 2016-02-25 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Semiconductor device with self-aligned contact elements and method for its production
KR20130074296A (en) * 2011-12-26 2013-07-04 삼성전자주식회사 Semiconductor devices and methods of manufacturing the same
US9941162B1 (en) * 2016-11-17 2018-04-10 Globalfoundries Inc. Self-aligned middle of the line (MOL) contacts
US10026824B1 (en) * 2017-01-18 2018-07-17 Globalfoundries Inc. Air-gap gate sidewall spacer and method
US10204994B2 (en) * 2017-04-03 2019-02-12 Globalfoundries Inc. Methods of forming a semiconductor device with a gate contact positioned above the active region
US10529860B2 (en) * 2018-05-31 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for FinFET device with contact over dielectric gate
US11081403B2 (en) 2018-06-29 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming contact features in field-effect transistors
US11127631B2 (en) 2018-07-13 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with contact structures
CN111640732A (en) * 2019-09-29 2020-09-08 福建省晋华集成电路有限公司 Semiconductor structure and forming method thereof
KR20210151277A (en) 2020-06-04 2021-12-14 삼성전자주식회사 Semiconductor device and method for manufacturing the same

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060046449A1 (en) * 2004-08-27 2006-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure for mos devices
KR20160044406A (en) * 2014-10-15 2016-04-25 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device and method of manufacturing semiconductor device
US20160365309A1 (en) * 2015-06-09 2016-12-15 Stmicroelectronics, Inc. Self-aligned bottom up gate contact and top down source-drain contact structure in the premetallization dielectric or interlevel dielectric layer of an integrated circuit
KR20170085929A (en) * 2016-01-15 2017-07-25 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Method for fabricating a local interconnect in a semiconductor device
US20170294347A1 (en) * 2016-04-12 2017-10-12 International Business Machines Corporation Two-dimensional self-aligned super via integration on self-aligned gate contact
KR20190064376A (en) * 2017-11-30 2019-06-10 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Contact formation method and related structure
US20190043952A1 (en) * 2018-06-26 2019-02-07 Intel Corporation Quantum dot devices with overlapping gates
US10431495B1 (en) * 2018-07-23 2019-10-01 International Business Machines Corporation Semiconductor device with local connection

Also Published As

Publication number Publication date
US11942420B2 (en) 2024-03-26
US12033935B2 (en) 2024-07-09
TWI804797B (en) 2023-06-11
DE102020110780A1 (en) 2021-09-02
TW202201692A (en) 2022-01-01
US20210272895A1 (en) 2021-09-02
US20220302027A1 (en) 2022-09-22
DE102020110780B4 (en) 2023-03-16
CN113314460A (en) 2021-08-27
US11444018B2 (en) 2022-09-13
US20240222269A1 (en) 2024-07-04
CN113314460B (en) 2024-09-17
US20220302026A1 (en) 2022-09-22
KR102448773B1 (en) 2022-09-28

Similar Documents

Publication Publication Date Title
KR102448773B1 (en) Semiconductor device including recessed interconnect structure
US20220336343A1 (en) Contact structure manufacturing method
US11296070B2 (en) Integrated circuit with backside power rail and backside interconnect
US12080647B2 (en) Integrated circuit, system and method of forming the same
US11675952B2 (en) Integrated circuit, system and method of forming the same
US20240020452A1 (en) Multiple Power Domains Using Nano-sheet Structures
TW202018787A (en) Semiconductor device with source and drain contacts of different widths
US20240096865A1 (en) Semiconductor device, method of and system for manufacturing semiconductor device
US20230022333A1 (en) Integrated circuit and method of forming the same
US11569168B2 (en) Integrated circuit, system and method of forming the same
TWI849214B (en) Integrated circuit structure
US20230386994A1 (en) Semiconductor devices and methods of manufacturing thereof
US20230260878A1 (en) Integrated circuit and method of forming the same
US20220336360A1 (en) Diagonal vias in semiconductor structures
CN113809073B (en) Integrated circuit with active area relief
US12033998B2 (en) Integrated circuit and method of forming the same
US20230387128A1 (en) Integrated circuit and method of forming the same

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant