KR20210109662A - 반도체 장비 툴들에서 뉴럴 네트워크들을 이용한 챔버 매칭 - Google Patents

반도체 장비 툴들에서 뉴럴 네트워크들을 이용한 챔버 매칭 Download PDF

Info

Publication number
KR20210109662A
KR20210109662A KR1020217027125A KR20217027125A KR20210109662A KR 20210109662 A KR20210109662 A KR 20210109662A KR 1020217027125 A KR1020217027125 A KR 1020217027125A KR 20217027125 A KR20217027125 A KR 20217027125A KR 20210109662 A KR20210109662 A KR 20210109662A
Authority
KR
South Korea
Prior art keywords
processing chamber
error
time series
series data
processing
Prior art date
Application number
KR1020217027125A
Other languages
English (en)
Inventor
헹 하오
티안칭 리아오
시마 디다리
하리크리쉬난 라자고팔
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20210109662A publication Critical patent/KR20210109662A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/049Temporal neural networks, e.g. delay elements, oscillating neurons or pulsed inputs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32889Connection or combination with other apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • General Engineering & Computer Science (AREA)
  • General Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Evolutionary Computation (AREA)
  • Computational Linguistics (AREA)
  • Molecular Biology (AREA)
  • Computing Systems (AREA)
  • Biophysics (AREA)
  • Mathematical Physics (AREA)
  • Software Systems (AREA)
  • Biomedical Technology (AREA)
  • Health & Medical Sciences (AREA)
  • Data Mining & Analysis (AREA)
  • Artificial Intelligence (AREA)
  • Automation & Control Theory (AREA)
  • Quality & Reliability (AREA)
  • General Factory Administration (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

서버는, 대응하는 제1 세트의 출력 시계열 데이터를 생성하기 위해, 사양 내에 있는 제1 프로세싱 챔버의 하나 이상의 센서들의 제1 세트의 입력 시계열 데이터를 뉴럴 네트워크에 피딩함으로써 뉴럴 네트워크를 트레이닝한다. 서버는 제1 오차를 계산한다. 서버는, 대응하는 제2 세트의 출력 시계열 데이터를 생성하기 위해, 시험 중인 제2 프로세싱 챔버와 연관된 대응하는 하나 이상의 센서들로부터의 제2 세트의 입력 시계열 데이터를 트레이닝된 뉴럴 네트워크에 피딩한다. 서버는 제2 오차를 계산한다. 제2 세트의 입력 시계열 데이터와 대응하는 제2 세트의 출력 시계열 데이터 사이의 제2 오차와, 제1 세트의 입력 시계열 데이터와 대응하는 제1 세트의 출력 시계열 데이터 사이의 제1 오차 사이의 차이가 임계량 이상인 것에 응답하여, 서버는, 시험 중인 제2 프로세싱 챔버는 사양들 내에 있는 제1 프로세싱 챔버와 미스매칭한다고 선언한다.

Description

반도체 장비 툴들에서 뉴럴 네트워크들을 이용한 챔버 매칭
[0001] 본원에서 설명되는 구현들은 일반적으로, 시험 중인 반도체 프로세싱 챔버와, 사양(specification)들 내에 있는 것으로 알려진 대응하는 반도체 프로세싱 챔버 사이의 미스매칭들을 검출하기 위해 시계열 트레이스(time-series trace)들을 분석하는 것에 관한 것이다.
[0002] 반도체 산업 내에서는, 개선된 프로세스 반복성 및 제어에 대한 요구가 항상 존재한다. 새로운 세대들의 집적 회로들이 이전 세대들에서 고려된 것보다 더 작은 피처(feature) 사이즈들을 사용하기 때문에, 집적 회로 제작 프로세스에 대한 더 큰 요구들이 있다. 플라즈마 환경에서 반도체 기판의 하나 이상의 층들을 증착하고 에칭하는 것은 집적 회로 제조에서 가장 일반적인 단계들 중 2 개의 단계들이다. 올바른 정량(quantity) 및 품질의 필름이 증착되거나 또는 에칭되도록 보장하기 위해, 생산 기판 프로세싱 전에, 그 동안에 그리고 그 후에, 프로세스 챔버의 플라즈마 상태는 포괄적으로 모니터링될 필요가 있다. 생산 기판 프로세싱 전의 포괄적인 챔버 모니터링은 적절한 챔버 자격검증(qualification)이 수행될 수 있게 한다. 생산 기판 프로세싱 동안의 포괄적인 챔버 모니터링은 올바른 엔드포인트 및 장애(fault) 검출을 가능하게 한다. 생산 기판 프로세싱 후의 포괄적인 챔버 모니터링은 기판 프로세싱 동안 장애들이 검출된 경우 장애의 원인의 진단을 가능하게 한다.
[0003] 올바른 양(amount) 및 품질의 필름이 증착되거나 또는 에칭되도록 보장하기 위해, 프로세스 챔버는 모니터링되어 "기준" 챔버("우수한" 챔버 또는 "골든" 챔버)와 비교될 필요가 있다. 챔버들을 비교하기 위해 사용되는 절차는 때때로 챔버 매칭으로 불린다. 챔버 매칭은, 생산되는 디바이스들의 특성들 측면에서 하나 이상의 프로세싱 챔버들의 출력이 사양 내에서 정확히 매칭되는 조건이다. 반도체 제조에서, 사용되는 생산 프로세싱 장비는, 이러한 사용되는 생산 프로세싱 장비의 변수들이 특정 동작 제한치들 내에서 있도록 제어된다. 이들 제한치들은 매우 좁을 수 있으며, 통상적으로, 프로세스의 상이한 단계들, 스테이지들 또는 페이즈(phase)들 내내 변할 수 있다. 프로세싱 동안 이들 동작 제한치들 내에서 계속 있지 못하는 것은, 프로세싱되고 있는 디바이스 및/또는 웨이퍼의 손실 또는 이러한 프로세싱되고 있는 디바이스 및/또는 웨이퍼에 대한 손상을 쉽게 유발할 수 있다.
[0004] 챔버 매칭 절차들은 챔버의 사용 동안 다양한 시간들에 사용된다. 프로세스 챔버가 새로 제조될 때, 챔버는 자격검증될 필요가 있으며, 이는 통상적으로, 매칭을 포함한다. 일단 챔버가 매칭되면, 챔버는 반도체 제조 설비로 배송될 수 있다. 일단 챔버가 반도체 제조 설비에 도착하면, 챔버는 재조립되고, 생산 재료들을 프로세싱하기 전에 다시 "자격검증"된다. 그 외에도, 반도체 제조 설비에서, 플라즈마 증착 또는 에칭 챔버는 잠재적으로, 상이한 기판들에 대해 상이한 프로세스 레시피들을 실행하기 위해 사용될 수 있다. 프로세스 레시피가 변화되기 전에, 챔버는 보통, 프로세스 레시피 변화가 발생하기 전에 챔버가 정상적으로 동작하고 있다는 것을 보장하기 위해 다시 "매칭"될 필요가 있다. 마지막으로, 생산 챔버들은 규칙적인 유지보수 또는 세정을 겪어야 한다. 유지보수 또는 챔버 세정 후에, 챔버는, 생산 웨이퍼들을 실행하기 전에 다시 "매칭"될 필요가 있다. 차세대 반도체 제조의 경우, 프로세스 반복성 및 제어를 보장하기 위해, 포괄적인 플라즈마 모니터링을 활용하는 엄격한 챔버 매칭 기법들이 필요하다.
[0005] 현재 챔버 매칭 기법들의 대부분은 다변량 센서 데이터에 대해 복잡한 피처 엔지니어링을 수행할 수 있기 위해 챔버에 대한 깊은 이해를 필요로 하며, 따라서, 너무 레시피 의존적이고, 스케일 업(scale up)하기에는 너무 느리며, 개발 및 유지하기에는 너무 비싸다는 약점을 갖는다. 게다가, 현재 기법들의 대부분은 멀티-챔버 매칭을 동시에 핸들링할 수 없다.
[0006] 그러므로, 더욱 정확한 챔버 매칭 방식을 제공하기 위한 방법에 대한 필요가 존재한다.
[0007] 반도체 기판을 프로세싱하는 프로세싱 챔버들을 매칭시키기 위한 방법이 개시된다. 일 구현에서, 방법은, 대응하는 제1 세트의 출력 시계열 데이터를 생성하기 위해, 사양 내에 있는 제1 프로세싱 챔버의 하나 이상의 센서들의 제1 세트의 입력 시계열 데이터를 뉴럴 네트워크에 피딩(feeding)함으로써 뉴럴 네트워크를 트레이닝하는 단계를 포함한다. 방법은, 제1 세트의 입력 시계열 데이터와 대응하는 제1 세트의 출력 시계열 데이터 사이의 제1 오차를 계산하는 단계를 더 포함한다. 방법은, 대응하는 제2 세트의 출력 시계열 데이터를 생성하기 위해, 시험 중인 제2 프로세싱 챔버와 연관된 대응하는 하나 이상의 센서들로부터의 제2 세트의 입력 시계열 데이터를 트레이닝된 뉴럴 네트워크에 피딩하는 단계를 더 포함한다. 방법은, 제2 세트의 입력 시계열 데이터와 대응하는 제2 세트의 출력 시계열 데이터 사이의 제2 오차를 계산하는 단계를 더 포함한다. 방법은, 제2 오차와 제1 오차 사이의 차이가 임계량(threshold amount) 이상인 것에 응답하여, 시험 중인 제2 프로세싱 챔버는 사양들 내에 있는 제1 프로세싱 챔버와 미스매칭(mismatch)한다고 선언하는 단계를 더 포함한다.
[0008] 다른 구현에서, 메모리, 및 반도체 기판들을 프로세싱하는 프로세싱 챔버들을 매칭시키기 위한 방법을 수행하도록 구성된 프로세서를 포함하는 컴퓨터 시스템이 제공된다. 컴퓨터 시스템은, 대응하는 제1 세트의 출력 시계열 데이터를 생성하기 위해, 사양 내에 있는 제1 프로세싱 챔버의 하나 이상의 센서들의 제1 세트의 입력 시계열 데이터를 뉴럴 네트워크에 피딩함으로써 뉴럴 네트워크를 트레이닝한다. 컴퓨터 시스템은, 제1 세트의 입력 시계열 데이터와 대응하는 제1 세트의 출력 시계열 데이터 사이의 제1 오차를 계산한다. 컴퓨터 시스템은, 대응하는 제2 세트의 출력 시계열 데이터를 생성하기 위해, 시험 중인 제2 프로세싱 챔버와 연관된 대응하는 하나 이상의 센서들로부터의 제2 세트의 입력 시계열 데이터를 트레이닝된 뉴럴 네트워크에 피딩한다. 컴퓨터 시스템은, 제2 세트의 입력 시계열 데이터와 대응하는 제2 세트의 출력 시계열 데이터 사이의 제2 오차를 계산한다. 제2 오차와 제1 오차 사이의 차이가 임계량 이상인 것에 응답하여, 컴퓨터 시스템은, 시험 중인 제2 프로세싱 챔버는 사양들 내에 있는 제1 프로세싱 챔버와 미스매칭한다고 선언한다.
[0009] 또 다른 구현에서, 반도체 기판들을 프로세싱하는 프로세싱 챔버들을 매칭시키기 위한 방법을 수행하기 위한 명령들을 포함하는 비일시적 컴퓨터 판독가능 매체가 제공된다. 방법은, 대응하는 제1 세트의 출력 시계열 데이터를 생성하기 위해, 사양 내에 있는 제1 프로세싱 챔버의 하나 이상의 센서들의 제1 세트의 입력 시계열 데이터를 뉴럴 네트워크에 피딩함으로써 뉴럴 네트워크를 트레이닝하는 단계를 포함한다. 방법은, 제1 세트의 입력 시계열 데이터와 대응하는 제1 세트의 출력 시계열 데이터 사이의 제1 오차를 계산하는 단계를 더 포함한다. 방법은, 대응하는 제2 세트의 출력 시계열 데이터를 생성하기 위해, 시험 중인 제2 프로세싱 챔버와 연관된 대응하는 하나 이상의 센서들로부터의 제2 세트의 입력 시계열 데이터를 트레이닝된 뉴럴 네트워크에 피딩하는 단계를 더 포함한다. 방법은, 제2 세트의 입력 시계열 데이터와 대응하는 제2 세트의 출력 시계열 데이터 사이의 제2 오차를 계산하는 단계를 더 포함한다. 방법은, 제2 오차와 제1 오차 사이의 차이가 임계량 이상인 것에 응답하여, 시험 중인 제2 프로세싱 챔버는 사양들 내에 있는 제1 챔버와 미스매칭한다고 선언하는 단계를 더 포함한다.
[0010] 본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있는 방식으로, 위에서 간략히 요약된 구현들의 더욱 상세한 설명은 구현들을 참조함으로써 이루어질 수 있으며, 이러한 구현들 중 일부가 첨부된 도면들에서 예시된다. 그러나, 첨부된 도면들은 본 개시내용의 단지 통상적인 구현들을 예시하며 그러므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 동일하게 유효한 구현들을 허용할 수 있기 때문이다.
[0011] 도 1은 본 개시내용의 일 실시예에 따른, 기판 프로세싱을 위한 복수의 제조 툴들을 개략적으로 예시한다.
[0012] 도 2는 본 개시내용의 실시예들이 구현될 수 있는 네트워크 환경을 도시한다.
[0013] 도 3은 본 개시내용의 실시예들에서 사용될 딥 오토 인코더(deep auto-encoder)를 예시한다.
[0014] 도 4는 모듈러 방식의 딥 오토 인코더의 아키텍처를 도시한다.
[0015] 도 5는 본 개시내용의 실시예들에 따른 챔버 매칭 분석 엔진의 컴포넌트들을 예시한다.
[0016] 도 6은 하나의 골든 챔버, 그리고 골든 챔버와 동일한 타입의 시험 중인 하나의 프로세싱 챔버의 경우, 반도체 제조 툴들의 프로세스 챔버들 사이의 미스매칭들을 검출하기 위한 방법의 일 실시예를 예시한다.
[0017] 도 7은 하나의 골든 챔버, 그리고 골든 챔버와 동일한 타입의 시험 중인 복수의 프로세싱 챔버들의 경우, 반도체 제조 툴들의 프로세스 챔버들 사이의 미스매칭들을 검출하기 위한 방법의 일 실시예를 예시한다.
[0018] 도 8은 상이한 타입들의 복수의 골든 챔버들, 그리고 골든 챔버와 대응하는 상이한 타입들의 시험 중인 복수의 프로세싱 챔버들의 경우, 반도체 제조 툴들의 프로세스 챔버들 사이의 미스매칭들을 검출하기 위한 방법의 일 실시예를 예시한다.
[0019] 도 9는 본 개시내용의 실시예들이 구현될 수 있는 예시적인 컴퓨터 시스템을 도시한다.
[0020] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 구현의 엘리먼트들 및 특징들이 추가적인 언급 없이 다른 구현들에 유익하게 통합될 수 있는 것으로 고려된다.
[0021] 다음의 개시내용은 제조 툴들의 복수의 센서들로부터 수신되는 시계열 데이터에서 이상(anomaly)들을 검출하기 위한 방법을 설명한다. 본 개시내용의 다양한 구현들에 대한 완전한 이해를 제공하기 위해 다음의 설명에서 그리고 도 1-도 7에서 특정 세부사항들이 제시된다. 플라즈마 에칭과 대개 연관되는, 잘 알려진 구조들 및 시스템들을 설명하는 다른 세부사항들은, 다양한 구현들의 설명을 불필요하게 모호하게 하는 것을 회피하기 위해 다음의 개시내용에서 제시되지 않는다.
[0022] 도면들에 도시된 많은 세부사항들, 치수들, 컴포넌트들 및 다른 특징들은 단지 특정 구현들에 대해 예시적이다. 이에 따라서, 다른 구현들은 본 개시내용의 사상 또는 범위를 벗어나지 않고 다른 세부사항들, 컴포넌트들, 치수들, 각도들 및 특징들을 가질 수 있다. 그 외에도, 본 개시내용의 추가적인 구현들은 아래에서 설명되는 여러 세부사항들 없이 실시될 수 있다.
[0023] 생산 장비의 적절한 동작을 달성하는 것은 통상적으로, 프로세싱 전에 장비의 초기 셋업 및 구성, 그리고 프로세싱 동안 장비의 모니터링 및 제어 양자 모두를 수반한다. 프로세스 챔버들의 경우, 초기 셋업은 챔버 매칭을 포함할 수 있으며, 이러한 챔버 매칭은, 위에서 언급된 바와 같이, 시운전되고 있는 챔버의 성능이 한 세트의 알려진 우수한 챔버들로부터의 데이터와 비교되는 방법이다. 프로세스 챔버를 모니터링 및 제어하기 위해, 장애 검출 방법이 통상적으로 사용된다. 챔버의 알려진 우수한(정상) 동작으로부터의 거동 편차들을 식별하기 위해, 챔버 장애 검출을 이용하여 데이터가 모니터링 및 분석된다.
[0024] 프로세스 장비 성능이 챔버 매칭에 의해 확정(confirm)(및 보정)된 후에, 챔버는 프로세스를 모니터링 및 제어하기 위한 방법들을 사용하여 동작될 수 있다. 그러한 방법들은 장애 검출을 포함하며, 여기서, 데이터는 프로세스 툴로부터 모니터링되고 거동 편차들에 대해 분석된다. 가장 단순한 접근법들 중 하나는 통계적 프로세스 제어, 즉, SPC(statistical process control)를 사용하는 것이었으며, 여기서, 특정 정량들이 제어 상한치 및 하한치에 대해 모니터링된다. 그러한 제어 제한치들은 단순한 고정 값들로부터 복잡한 정의들을 갖는 값들까지 다양할 수 있다. 정량이 특정 제한치를 초과하면, 챔버에는 '장애'가 일어나고, 프로세싱은 중단된다. 이 접근법은 기판들이 잘못 프로세싱되지 않도록 보장하며, 따라서, 스크랩 손실을 감소시키고 문제를 챔버 오퍼레이터들에게 즉시 통보한다. 기판 프로세싱을 위한 레시피 실행의 경우, SPC 접근법은 제한되는데, 그 이유는 기판을 프로세싱하는 동안 프로세싱 챔버는 자신의 동작 공간의 일련의 상이한 구역들에서 동작하기 때문이다. 레시피 실행(및 거동)의 역학(dynamics)은 단순한 SPC 방법론들로 추적하기 어렵다.
[0025] 제조 환경에서, 프로세스 레시피는 통상적으로, 임계 치수(CD; critical dimension) 및 에칭 레이트(ER; etch rate)와 같은 계측 값들과 매칭하도록 조절된다. 그러나, 이 접근법은, 시험 및 계측을 위해, 적어도, 프로세스 라인으로부터 프로세싱된 기판들을 빼낼 것을 요구하여서, 생산성이 손실되게 한다. 더욱이, 일부 계측 기법들은 본래 파괴적이어서, ER(etch rate), 그리고 더욱 중요하게는 CD(critical dimension)를 검증하기 위해, 기판들이 파괴되는 것에 기인하여 수익이 손실되게 한다. 계측 스테이션들로의 프로세싱된 기판들의 시간 소모적인 라우팅, 또는 훨씬 더 비용이 많이 드는 파괴적인 계측 기법들 없이, 챔버 매칭 및 프로세스 조절이 확인(ascertain)될 수 있게 할 진단에 대한 필요가 존재한다. 그러한 신규한 진단들은, 챔버 매칭 및 사양 내(in-spec) CD(critical dimension) 및 ER(etch rate)을 보장하기 위해, 플라즈마 프로세싱 챔버 상의 기존의 센서들로부터 수집되는 데이터를 활용할 것이다.
[0026] 선행 기술의 챔버 매칭에서, 모듈 레벨 센서 값들을 매칭시키는 것, 이를테면, 예컨대, 매칭 네트워크 상의 커패시턴스 포지션들을 매칭시키는 것은 디바이스 생산 동안의 플라즈마 파라미터들 및 이에 따라 생산된 디바이스 특성들의 매칭을 보증하지 않는다. 센서 간 변동(sensor-to-sensor variation)들은 통상적으로, 센서 값들의 직접적인 매칭을 유용하지 않게 만든다. 더욱이, 통계적 장애 검출 시스템들의 사용은, 프로세싱되는 디바이스들로부터 획득되는 CD 계측 데이터에 의해 정의되는 바와 같이, 정상 상태와 비정상 상태 사이에서, 예컨대, 정상 플라즈마 상태와 비정상 플라즈마 상태 사이에서 사용자 정의 경계가 정의될 것을 필요로 한다. (제어 및 측정되는) 파라미터들과 CD(critical dimension) 사이에 직접적인 링크들이 존재하지 않기 때문에, 그러한 시스템들은 장애들을 과잉-진단(over-diagnose)하는 경향이 있다. 다시 말해서, 실제로 생산된 디바이스들이 계속해서 사양 내에 있을 때, 장애 검출들의 빈도의 증가가 발생할 수 있다. 예컨대, 일부 양성 파라미터 이탈(benign parameter excursion)은 잘못된 장애 검출을 트리거할 수 있다. 또는, 챔버 매칭, 유지보수 후 시즈닝(post-maintenance seasoning) 등에서의 애플리케이션 측면에서, 생산된 디바이스 특성들에 해롭지 않은 일부 파라미터의 약간의 미스매칭이 유지보수 담당자로 하여금 연장된 시간 기간 동안 챔버를 생산으로 복귀시키지 않게 하여서 불필요한 수익 손실을 초래할 수 있다. 상기 단점들을 해결하기 위해, 본원에서 설명되는 실시예들은 정상 조건들과, 장애성 또는 미스매칭되는 챔버 상태 사이에 더욱 신뢰성 있는 경계를 설정한다.
[0027] 실리콘 기판들 상에 집적 회로들을 제조하는 것은, 복수의 프로세싱 챔버들을 포함하는 단일 프로세싱 시스템 또는 "툴"에서 수행될 수 있는 일련의 상이한 프로세싱 동작들을 수반한다. 추가로, 이들 다수의 실리콘 기판 프로세싱 동작들은 시간 인터벌에 걸쳐 발생한다. 프로세스는 제1 동작으로부터 제2 동작으로의 전이(transition)를 포함할 수 있다. 시계열 트레이스 데이터는, 전이(예컨대, 시계열 전이)를 포함하여, 시간 인터벌에 걸쳐 수집되는 데이터이다. 시험 중인 챔버들의 에칭 레이트와 같은 제조 프로세싱 및 임계 치수들을 측정하는 것과 연관된 센서들의 시계열 트레이스 데이터는 사양들에 있는 것으로 알려진 챔버 또는 "골든" 챔버의 대응하는 시계열 데이터와의 비교를 위한 프록시(proxy) 역할을 할 수 있다.
[0028] 시계열 트레이스 데이터 분석은, 챔버 매칭 시 사용하기 위해 프로세스 센서 시계열 트레이스 데이터에서의 시계열 이상들을 모니터링하는 능력을 제공한다. 시계열 트레이스 데이터 분석은, 종래의 방법들을 통해 검출가능하지 않은 희귀하고 이상하며 그리고/또는 예상치 못한 시퀀스들(예컨대, 시계열 트레이스 데이터의 곡선(샘플에 대해 그려진 값)의 형상, 크기, 포지션 등)을 검출할 수 있다. 일 실시예에서, 딥 오토 인코더 타입 뉴럴 네트워크는 트레이닝 시계열 트레이스 데이터의 재구성 오차를 최소화하도록 트레이닝된다. 서버는, 대응하는 제1 세트의 트레이닝된 출력 시계열 데이터를 생성하기 위해, 사양들 내에 있는 것으로 알려진 제1 프로세싱 챔버(예컨대, "골든" 챔버)의 하나 이상의 센서들의 제1 세트의 입력 시계열 데이터를 뉴럴 네트워크에 피딩하도록 구성된다. 서버는 추가로, 제1 세트의 입력 시계열 데이터와 대응하는 제1 세트의 트레이닝된 출력 시계열 데이터 사이의 제1 오차를 계산하도록 구성된다. 서버는 추가로, 대응하는 제2 세트의 출력 시계열 데이터를 생성하기 위해, 시험 중인 제2 프로세싱 챔버와 연관된 대응하는 하나 이상의 센서들로부터의 제2 세트의 입력 시계열 데이터를 트레이닝된 뉴럴 네트워크에 피딩하도록 구성된다. 서버는 추가로, 제2 세트의 입력 시계열 데이터와 대응하는 제2 세트의 출력 시계열 데이터 사이의 제2 오차를 계산하도록 구성된다. 제2 오차와 제1 오차 사이의 차이가 임계량 이상이면, 서버는, 시험 중인 제2 프로세싱 챔버는 사양들 내에 있는 제1 프로세싱 챔버와 미스매칭한다고 선언했다.
[0029] 도 1은 본 개시내용의 일 실시예에 따른, 챔버 매칭을 포함하는 기판 프로세싱을 위한 제조 툴(101)을 개략적으로 예시한다. 본원에서 설명되는 방법은 프로세스 시퀀스를 수행하도록 구성된 다른 툴들에서 실시될 수 있다는 것이 고려된다. 예컨대, 도 1에 구현된 제조 툴(101)은 캘리포니아주 산타 클라라에 있는 Applied Materials, Inc.로부터 상업적으로 입수가능한 ENDURA® 클러스터 툴이다.
[0030] 제조 툴(101)은 데이터가 획득될 수 있는 하나 이상의 툴들을 나타낸다. 제조 툴(101)은 공장 인터페이스(102) 및 프로세싱 챔버들의 진공-기밀(vacuum-tight) 세트를 포함한다. 복수의 프로세싱 챔버들(108, 110, 114, 112, 116, 118)은 개별적인 프로세싱 단계들을 수행한다. 공장 인터페이스(102)는 로드 록(load lock) 챔버(120)에 의해 이송 챔버(104)에 커플링된다.
[0031] 일 실시예에서, 공장 인터페이스(102)는 적어도 하나의 도킹 스테이션, 적어도 하나의 기판 이송 엔드 이펙터(138) 및 적어도 하나의 기판 정렬기(140)를 포함한다. 도킹 스테이션은 하나 이상의 FOUP(front opening unified pod)들(128)을 수용하도록 구성된다. 2 개의 FOUP들(128A, 128B)이 도 1의 실시예에 도시된다. 기판 이송 엔드 이펙터(138)는 공장 인터페이스(102)로부터 로드 록 챔버(120)로 기판을 이송하도록 구성된다.
[0032] 로드 록 챔버(120)는 공장 인터페이스(102)에 커플링된 제1 포트 및 제1 이송 챔버(104)에 커플링된 제2 포트를 갖는다. 로드 록 챔버(120)는 압력 제어 시스템에 커플링되고, 이러한 압력 제어 시스템은 이송 챔버(104)의 진공 환경과 공장 인터페이스(102)의 실질적으로 주위(예컨대, 대기) 환경 사이에서 기판을 전달하는 것을 가능하게 하기 위해 필요에 따라 챔버(120)를 펌핑 다운하고 벤트(vent)시킨다.
[0033] 제1 이송 챔버(104) 및 제2 이송 챔버(103)에는, 각각, 제1 엔드 이펙터(107) 및 제2 엔드 이펙터(105)가 배치되어 있다. 2 개의 기판 이송 플랫폼들(106A, 106B)이 엔드 이펙터들(105, 107) 사이의 기판의 이송을 가능하게 하기 위해 이송 챔버(104)에 배치된다. 플랫폼들(106A, 106B)은, 이송 챔버들(103, 104) 각각에서 상이한 동작 압력들이 유지될 수 있도록, 이송 챔버들(103, 104)에 대해 개방되거나 또는 이송 챔버들(103, 104)로부터 선택적으로 격리(즉, 밀봉)될 수 있다.
[0034] 제1 이송 챔버(104)에 배치된 엔드 이펙터(107)는 로드 록 챔버(120), 프로세싱 챔버들(116, 118) 그리고 기판 이송 플랫폼들(106A, 106B) 사이에서 기판들을 이송할 수 있다. 제2 이송 챔버(103)에 배치된 엔드 이펙터(105)는 기판 이송 플랫폼들(106A, 106B)과 프로세싱 챔버들(112, 114, 110, 108) 사이에서 기판들을 이송할 수 있다.
[0035] 일 실시예에서, 프로세싱 챔버들(108, 110, 114, 112, 118, 116) 중 하나 이상이 하나 이상의 "골든 챔버"(예컨대, 110)로서 지정될 수 있는 한편, 나머지 챔버들(108, 114, 112, 118, 116)은 골든 챔버에 매칭시키기 위해 시험 중인 프로세싱 챔버들이다. 다른 실시예에서, 프로세싱 챔버들(108, 110, 114, 112, 118, 116) 전부가 시험 중인 프로세싱 챔버들로서 지정될 수 있다. 하나 이상의 별개의 골든 챔버들(미도시)이 프로세싱 챔버들(108, 110, 114, 112, 118, 116) 중 하나 이상을 시험하기 위해 제공될 수 있다. 또 다른 실시예에서, 하나 이상의 타입들의 하나 이상의 골든 챔버들로부터 취해진 트레이스 데이터가, 프로세싱 챔버들(108, 110, 114, 112, 118, 116)로부터 취해지며 본원에서 아래에 논의될 뉴럴 네트워크를 사용하는 서버(미도시)에 의한 챔버 매칭을 위해 프로세싱된 트레이스 데이터와의 서버에 의한 비교를 위해, 데이터베이스에 저장될 수 있다.
[0036] 일부 실시예들에서, 제조 툴(101)은 MES(manufacturing execution system)와 연관된다. 데이터는 예컨대 다양한 센서들의 시계열 트레이스들을 포함할 수 있다. 데이터는 서버와 같은 중앙 위치에서 집계(aggregate) 및 분석될 수 있으며, 실시간으로 시계열 트레이스 데이터에 기반하여 챔버들의 매칭들 또는 미스매칭들을 검출하기 위해 사용될 수 있다.
[0037] 트레이스 데이터는 서버로부터 MES로 제공될 수 있으며, 이러한 트레이스 데이터는 분석될 수 있고, 따라서 챔버들 사이의 미스매칭들의 선언들을 초래하는, 시계열 트레이스 데이터에서 검출된 이상들의 결과로서 식별되는 문제들에 관하여 필요에 따라 보정 액션이 취해질 수 있다. 서버는 또한, 데이터의 분석에 기반하여(예컨대, 센서들의 시계열 트레이스 데이터와, 기판의 파라미터들을 측정하는 다른 센서들로부터 취해진 계측 데이터 사이의 상관(correlation)들을 식별하는 것에 기반하여) 취해질 적절한 보정 액션을 결정할 수 있고, 보정 액션을 수행하도록 명령들을 제공할 수 있다. 일부 실시예들에서, MES는 예측 정보에 기반하여 보정 액션(예컨대, 리소그래피 툴의 파라미터를 재교정하거나 또는 조정하는 것, 기판에 대한 제조 프로세스의 일부분을 재수행하는 것, 또는 다른 적절한 컴퓨팅 디바이스에 대한 것)을 자동으로 취할 수 있다. 특정 실시예들에서, 예측 정보가 기판의 하나 이상의 파라미터들에 대한 문제를 식별하면, 이 문제는, (예컨대, 계측 툴들을 사용하여) 기판을 분석함으로써 검증될 수 있다. 기판을 분석함으로써 문제가 확정되면, 보정 액션이 (예컨대, MES에 의해 자동으로 또는 수동으로) 취해질 수 있다.
[0038] 도 2는 본 개시내용의 실시예들이 구현될 수 있는 네트워크 환경(200)을 도시한다. 도시된 바와 같이, 네트워크 환경(200)은 서버(220)를 포함하고, 서버(220)는, 하나 이상의 제조 툴들(101)에 연결된 다른 서버(230)에 네트워크(210)를 통해 연결된다. 네트워크(210)는 예컨대 인터넷을 포함할 수 있다. 다른 실시예에서, 제조 툴(101)은 또한, 서버(220)에 직접 연결된다.
[0039] 서버(220)는 컴퓨팅 디바이스, 이를테면, 랙(rack) 서버, 데스크톱 또는 랩톱 컴퓨터, 모바일 폰, 태블릿 또는 다른 적절한 컴퓨팅 디바이스를 포함할 수 있다. 도시된 바와 같이, 서버(220)는 챔버 매칭 분석 엔진(222)을 포함하고, 이러한 챔버 매칭 분석 엔진(222)은 챔버 매칭들에 관한 결정들 및 예측들을 하는 것과 관련하여 본원에서 설명되는 동작들을 수행할 수 있다. 챔버 매칭 분석 엔진(222)은, 시스템을 정의하며 그리고/또는 제조 툴(101)과 같은 시스템을 모니터링하기 위해 사용되는 데이터 소스들(206A-206N)(예컨대, 센서들)을 식별한다.
[0040] 예컨대, 챔버 매칭 분석 엔진(222)은, 챔버 매칭들 또는 미스매칭들을 검출, 분석 및 검증하기 위하여, 제조 툴(101)로부터 직접 수신된 또는 MES(232)로부터 수신된 시계열 센서 데이터를 분석할 수 있다.
[0041] 예컨대, 챔버 매칭 분석 엔진(222)은, 프로세싱 챔버들 중 하나 이상의 센서 트레이스 데이터에서, 데이터베이스(240) 내에 저장된, 대응하는 골든 챔버들의 대응하는 센서 트레이스 데이터와의 미스매칭들을 검출하기 위하여, 제조 툴(101) 내에 적용된 센서들로부터 직접 수신된 또는 MES(232)로부터 수신된 프로세스 및 기판 센서 정보를 분석할 수 있다. 일부 실시예들에서, 서버(220) 및 데이터베이스(240)는 제조 툴(101)과 별개이다. 다른 실시예들에서, 서버(220) 및 데이터베이스(240)는 제조 툴(101)의 일부일 수 있거나 또는 오프라인 서버일 수 있다.
[0042] 예컨대, 챔버 매칭 분석 엔진(222)은 실리콘 기판을 프로세싱하도록 구성된 제조 툴(101)과 연관된 복수의 센서들로부터 수집되는, 온도, 가스 유동 또는 RF 전력 전류 등을 표현하는 시계열 센서 트레이스 데이터를 MES(232)로부터 수신할 수 있다. 챔버 매칭 분석 엔진(222)은 입력 트레이닝 시계열 데이터의 재구성 오차를 최소화하도록 트레이닝되는 딥 오토 인코더 타입 뉴럴 네트워크를 사용할 수 있다.
[0043] 챔버 매칭 분석 엔진(222)은, 대응하는 제1 세트의 트레이닝된 출력 시계열 데이터를 생성하기 위해, 사양들 내에 있는 것으로 알려진 제1 프로세싱 챔버(예컨대, 골든 챔버)의 하나 이상의 센서들의 제1 세트의 입력 시계열 데이터 ―이는, 제조 툴(101)의 골든 챔버로부터 직접 취해지거나, 또는 골든 챔버의 트레이스들을 저장하는 데이터베이스(240)로부터 취해짐― 를 뉴럴 네트워크에 피딩할 수 있다. 챔버 매칭 분석 엔진(222)은 추가로, 제1 세트의 입력 시계열 데이터와 대응하는 제1 세트의 트레이닝된 출력 시계열 데이터 사이의 제1 오차를 계산하도록 구성된다. 챔버 매칭 분석 엔진(222)은 추가로, 대응하는 제2 세트의 출력 시계열 데이터를 생성하기 위해, 시험 중인 제2 프로세싱 챔버와 연관된 대응하는 하나 이상의 센서들로부터의 제2 세트의 입력 시계열 데이터를 트레이닝된 뉴럴 네트워크에 피딩하도록 구성된다. 챔버 매칭 분석 엔진(222)은 추가로, 제2 세트의 입력 시계열 데이터와 대응하는 제2 세트의 출력 시계열 데이터 사이의 제2 오차를 계산하도록 구성된다. 제2 오차와 제1 오차 사이의 차이가 임계량 이상이면, 챔버 매칭 분석 엔진(222)은, 시험 중인 제2 프로세싱 챔버는 사양들 내에 있는 제1 프로세싱 챔버와 미스매칭한다고 선언한다. 제2 오차와 제1 오차 사이의 차이가 임계량 미만이면, 챔버 매칭 분석 엔진(222)은, 시험 중인 제2 프로세싱 챔버는 사양들 내에 있는 제1 프로세싱 챔버와 매칭한다고 선언한다.
[0044] 일 예에서, 계산된 오차들은 챔버의 트레이스 데이터의 총 분포의 계산된 평균 제곱 오차들이다. 임계량은, 컷오프(cutoff)로서 트레이닝 재구성 평균 제곱 오차의 3시그마를 평균에 더한 값으로 세팅될 수 있다. 챔버 매칭 분석 엔진(222)은, 미스매칭을 유발한 시험 중인 챔버들의 하나 이상의 시계열 트레이스 데이터에서의 이상의 원인을 제거하기 위해 보정 액션을 취하도록 제조 시스템(MES)(232)에게 명령할 수 있다.
[0045] 챔버 매칭 분석 엔진(222)은, 센서 데이터에서 미래의 이상들에 관한 예측 정보를 MES(232)에 제공할 수 있다. 일부 실시예들에서, 챔버 매칭 분석 엔진(222)은 또한, 데이터에 기반하여 프로세스들 또는 개별적인 기판들과 관련하여 취해질 보정 액션을 결정할 수 있다. 예컨대, 챔버 매칭 분석 엔진(222)은 툴 파라미터와 특정 기판 파라미터 문제와 상관된 온도 센서와 연관되는 측정된 이상 사이의 상관을 식별할 수 있고, 툴 파라미터가 특정량만큼 조정되어야 한다고 결정할 수 있다. 더욱이, 챔버 매칭 분석 엔진(222)은 하나 이상의 예측된 파라미터들을 수용가능한 범위로 가져오기 위해 기판에 대해 수행될 액션들(예컨대, 오버레이 마크들을 보정하는 것, 기판을 스트리핑 및 재코팅하는 것 등)을 결정할 수 있다.
[0046] 파라미터들과 관련된 기판 품질에 관한 예측 정보는 인력 또는 MES(232)가 제조 프로세스를 개선시키도록 할 수 있다(예컨대, 예측가능한 특성들을 달성하기 위해 리소그래피, 에칭, 증착 및 평탄화가 개선될 수 있음).
[0047] 서버(230)는 컴퓨팅 디바이스, 이를테면, 랙 서버, 데스크톱 또는 랩톱 컴퓨터, 모바일 폰, 태블릿 또는 다른 적절한 컴퓨팅 디바이스를 포함할 수 있다. 도시된 바와 같이, 서버(220)는 MES(232)를 포함하며, MES(232)는 실리콘 기판들의 제조를 관리하는 것과 관련하여 본원에서 설명되는 동작들을 수행할 수 있다. 예컨대, MES(232)는 제조 툴(101)에 의해 수행되는 프로세스들을 조정할 수 있고, 제조 툴(101)로부터의 데이터(예컨대, 툴 파라미터들, 두께, 광학 반사 지수, 흡수 지수, 강도, 오버레이 및 정렬 데이터, 기판에 걸친 임계 치수 변동 등) 및 제조 툴(101)에 의해 사용되는 센서들로부터의 시간 트레이스들을 수집할 수 있다. 일부 실시예들에서, 데이터는, 제조 프로세스들이 수행됨에 따라 시간의 경과에 따른 센서들의 거동을 표현하는 시계열 트레이스들일 수 있다. MES(232)는 이 정보를 (예컨대, 네트워크(210)를 통해) 챔버 매칭 분석 엔진(222)에 제공할 수 있고, 챔버 매칭 분석 엔진(222)은 정보를 분석하여 미스매칭들의 검출의 검증을 제공할 수 있다. 일부 실시예들에서, 챔버 매칭 분석 엔진(222)은 개별적인 결함(defect), 제조 툴(101), 또는 제조 프로세스의 다른 양상들과 관련하여 취할 보정 액션에 관한 명령들을 MES(232)에 제공할 수 있다. 특정 실시예들에서, MES(232)는, 이를테면 특정 태스크들을 수행하도록 제조 툴(101) 내의 개별적인 챔버들의 개별적인 센서들에게 명령함으로써(예컨대, 정렬 결함을 보정하기 위하여 기판을 스트리핑 및 재코팅하도록 리소그래피 툴에게 명령함으로써, 또는 하나 이상의 파라미터들을 변경하도록 증착 툴에게 명령함으로써) 보정 액션을 자동으로 취한다.
[0048] 제조 툴(101)은 실리콘 기판들을 제조하는 것과 관련된 프로세스들을 수행하는 하나 이상의 챔버들을 포함할 수 있다. 예컨대, 제조 툴(101)은 리소그래피 챔버들, 에칭 챔버들, 증착 챔버들 및 평탄화 챔버들을 포함할 수 있다. 제조 툴(101)은, 명령들을 수신하며 특정 챔버 내의 센서들로부터의 데이터(예컨대, 제조 동안 시간의 경과에 따라 센서들에 의해 캡처된, 기판들의 파라미터들과 연관된 하나 이상의 센서들에 의해 출력된 값들을 표현하는 시계열 데이터)를 제공하기 위하여, MES(232)와 통신할 수 있다.
[0049] 본 개시내용의 실시예들은 딥 오토 인코더로서 알려진 인공 뉴럴 네트워크 아키텍처를 사용한다. 인공 뉴럴 네트워크들은 인간 두뇌의 뉴럴 네트워크의 동작 원리들에 영감을 받은 머신 러닝 모델들이며, 분류 및 함수 근사의 문제들에 널리 적용되어왔다. 딥 러닝은, 태스크-특정 알고리즘들과 대조적으로, 학습 데이터 표현들에 기반한 머신 러닝 방법들의 더욱 광범위한 군(family)의 일부이다. 딥 러닝은, 입력 데이터의 특징 추출 및 변환(transformation)을 위해 다수의 계층들의 비선형 프로세싱 유닛들의 캐스케이드(cascade)를 사용하는 머신 러닝 알고리즘들의 클래스이다. 다수의 계층들의 각각의 연속적인 계층은 이전 계층으로부터의 출력을 입력으로서 사용한다. 그런 다음, 다수의 계층들은, 통상적으로 비지도(unsupervised)(예컨대, 패턴 분석) 방식의 차원 축소(dimensionality reduction)의 목적으로, 한 세트의 입력 데이터의 표현(인코딩)을 학습하도록 트레이닝된다.
[0050] 딥 오토 인코더는 하나 이상의 계층들의 숨겨진 노드(hidden node)들을 갖는 딥 러닝 피드포워드 뉴럴 네트워크 타입이며, 이러한 하나 이상의 계층들의 숨겨진 노드들 중, 대개, 인코딩 절반(half)에서의 입력 노드들보다 더 적은 수의 노드들이 연속적인 계층들에 있으며, 디코딩 절반은 대개, 인코딩 절반에 대해 거울 대칭(mirror symmetric)인 구조를 갖는다. 딥 오토 인코더의 구조는, 임베디드 뉴럴 네트워크가, 골든 또는 사양 내(in-specification) 챔버의 비-이상(non-anomalous) 입력 센서 트레이스들로부터 도출된 한 세트의 트레이닝 데이터에 대응하는 입력 데이터의 최상의 표현을 학습하고 입력 데이터를 입력 센서 트레이스들에 대응하는 출력 데이터로서 재구성하는 데 도움이 된다. 일 실시예에서, 딥 오토 인코더는, 재구성 오차를 최소화하는 딥 오토 인코더의 출력에서 입력 센서 트레이스 데이터를 재생하는 데 필요한 최소 세트의 특징들을 학습하기 위해 비-이상 센서 트레이스 데이터 실행(run)들로 트레이닝된다. 더욱 구체적으로, 학습은, 학습 문제를 풀 때 성능이 최대화되도록 계층들 사이의 연결 가중치들을 결정하는 프로세스이다. 표현은 센서 트레이스들 각각의 정상 시그니처(normal signature)이다. 이에 따라서, 오토 인코더는 입력과 동일한 출력을 생성한다.
[0051] 도 3은 본 개시내용의 실시예들에서 사용될 딥 오토 인코더(300)를 예시한다. 딥 오토 인코더(300)로의 입력 데이터(302)는, 데이터베이스(240)에 저장된, 하나 이상의 골든 챔버들로부터의 또는 제조 툴(101)과 연관된 복수의 센서들의 복수의 시계열 트레이스 데이터의 행렬 표현(matrix representation)으로부터 도출된 센서 트레이스들의 타임 슬라이스들을 포함한다. 딥 오토 인코더(300)는 입력 계층(304), 하나 이상의 숨겨진 계층들(306), 중앙 병목(bottleneck) 계층(308), 출력 계층(310), 그리고 계층들 사이의 연결들(312)의 전체 세트를 포함할 수 있다. 숨겨진 층들(306)의 구조는 최소 수의 노드들을 갖는 병목 층(308)에 대하여 대칭이다. 병목 계층(308)은, 뉴럴 네트워크(300)가, 입력 데이터(302)를 표현하는 제한된 수의 특징들을 추출함으로써 출력 데이터(314)로 재구성되는, 입력 데이터(302)의 최소 표현을 찾는 것을 돕기 위해 사용된다. 설계자는 단지, 딥 오토 인코더(300)에서의 계층들의 수 및 계층들 각각에 얼마나 많은 노드들이 있어야 하는지를 정의할 필요만이 있다. 딥 오토 인코더(300)는, 골든 챔버로부터의, 이상들을 갖지 않는 예시적인 트레이스 데이터로 트레이닝되며, 그리고 입력 데이터(302)에 대응하는 복수의 트레이스들의 재구성인 출력 데이터(314)를 생성하도록 구성되며, 여기서, 출력 데이터(314)는 입력 트레이스들에 대한 최소화된 재구성 오차(예컨대, 평균 제곱 오차(mean squared error) 또는 MSE)를 갖는다. 예에서, 재구성 오차를 최소화하는 것은, 뉴럴 네트워크(300)에 피딩되는 트레이닝 시계열 트레이스 데이터와 뉴럴 네트워크(300)의 출력 트레이스 데이터 사이의 평균 제곱 오차를 최소화한다. 재구성 오차는 입력 센서 트레이스들을 재생하는 데 필요한, 트레이닝 동안 딥 오토 인코더(300)에 의해 학습되는 최소 세트의 전역 및 시간 불변 특징들에 대해 최소화된다.
[0052] 재구성 오차를 최소화하는 것은, 예컨대, 입력 트레이스들에 대응하는 입력 데이터(302)의 전역 및 시간 불변 특징들을 식별하는 방법을 학습함으로써 딥 오토 인코더(300)가 차원 축소에 관여하게 강제한다. 딥 오토 인코더(300)는, 입력 계층(304)으로부터의 데이터를 짧은 코드로 압축하고 그런 다음 그 코드를 원래 입력 데이터(302)와 밀접하게 매칭하는 것으로 압축해제하도록 학습한다.
[0053] 딥 오토 인코더(300)는 리얼(real) 데이터에 대한 사용 전에 트레이닝된다. 딥 오토 인코더에 대한 트레이닝 알고리즘은 다음과 같이 요약될 수 있다: 각각의 입력에 대해, 피드포워드 패스(feed-forward pass)를 수행하여, 모든 숨겨진 계층들에서 활성화(activation)들을 컴퓨팅하고, 그런 다음, 출력 계층에서 출력을 획득하며; 재구성 오차, 예컨대, 평균 제곱 오차를 최소화하는, 입력으로부터의 편차를 측정한다. 그런 다음, 딥 오토 인코더(300)의 노드들을 통해 오차를 역전파하고, 가중치 업데이트들을 수행한다. 이에 따라서, 숨겨진 계층들(306)의 숨겨진 노드들은 재구성 오차를 최소화함으로써 출력에서 입력을 재구성하도록 트레이닝된다. 그 결과, 숨겨진 노드들은 입력 트레이스들을 재생하는 데 필요한, 입력 트레이스들의 전역 및 시간 불변 특징들을 학습한다. 재구성 오차(예컨대, 평균 제곱 오차(mean squared error) 또는 MSE)를 최소화하는 것은 대응하는 센서 신호들을 출력하는 딥 오토 인코더(300)를 산출한다.
[0054] 도 4는 모듈러 방식의 딥 오토 인코더(300)의 아키텍처(400)를 도시한다. 딥 오토 인코더(300)를 트레이닝하거나 또는 실제(actual) 트레이스 실행들을 시험하는 데 필요한 다중 센서 시계열 트레이스 데이터는, 챔버 매칭 분석 엔진(222) 내에 포함된 딥 오토 인코더(300)에 피딩되기 전에 챔버 매칭 분석 엔진(222)에 의해 프리-프로세싱된다. 프리-프로세싱은 시계열 트레이스 데이터 각각을 [0,1]의 범위로 정규화하는 것(최소-최대 정규화, (x-min)/(max-min))을 포함한다. 방법의 전개 시, 최소 최대 값은 트레이닝 실행들로부터만 나왔다. 프리-프로세싱은, 복수의 타임스탬프들에서 모든 타임스탬프들에 대해 센서들 전부로부터의 입력 시계열 트레이스 데이터의 값/타임스탬프 쌍들을 포함하는 행렬(402)로부터 입력 시계열 트레이스 데이터 각각의 복수의 롤링 시간 윈도우들(404)(부분행렬들)을 추출하는 것, 그리고 값/타임스탬프 데이터(Vij)의 복수의 롤링 시간 윈도우들(404)을 입력 데이터로서 딥 오토 인코더(300)에 피딩하는 것을 더 포함한다.
[0055] 행렬(402)의 차원들은 롤링 시간 윈도우들(404)의 길이(w) 및 스텝 사이즈에 따라 좌우된다. 길이 및 스텝 사이즈는 딥 러닝 모델의 하이퍼파라미터이다.
[0056] 복수의 롤링 시간 윈도우들(404)로부터, 값들(Vli-Vw1 내지 Vln-Vwn)의 행(row)이 챔버 매칭 분석 엔진(222)에 의해 딥 오토 인코더(300)에 피딩된다. 입력 트레이스 데이터(302)의 최소 전역 및 시간 불변 특징 세트는 트레이닝(장애 없음) 데이터에 기반한 확률적 경사 하강법 및 역전파에 의해 딥 오토 인코더(300)에 의해 학습된다. 딥 오토 인코더(304, 306, 308)의 노드들은 롤링 윈도우 데이터(404)를 인코딩하고, 롤링 윈도우 데이터(404)를 압축하고, 계층들(308-312)에서 롤링 윈도우 데이터를 디코딩/압축해제하여, 재구성된 입력 트레이스 값들의 출력 행을 각각의 타임 스탬프(Oli-O(w1 내지 Oln-Own))에 대한 출력 트레이스 값들(408)로서 생성한다. 시험 동안, 계층들(304-310)에 있는 노드들(406)의 전체 체인이 실시간으로 피드포워드 방식으로 동작되고, 시간 윈도우에 대한 RMS 재구성 오차를 제공한다.
[0057] 일 실시예에서, 딥 오토 인코더(300)는 옵티마이저(optimizer)를 사용하여 골든 챔버의 정상 실행들로 트레이닝된다. 하나의 그러한 옵티마이저는 적응적 모멘트 추정(Adam; adaptive moment estimation) 옵티마이저이다. Adam 옵티마이저는, 저차 모멘트들의 적응적 추정치들에 기반한 확률적 목적 함수들의 1차 경사 기반 최적화용 알고리즘이다. 모든 센서들에 걸친 시간 윈도우의 평균 재구성 오차(예컨대, 평균 제곱 오차)는 시간 윈도우가 공칭 조건으로부터 얼마나 멀리 떨어져 있는지를 나타내며, 이상 표시기로서 사용된다.
[0058] 다른 실시예들에서, 다른 네트워크 구조 하이퍼파라미터들은 계층들의 수, 각각의 계층에 얼마나 많은 노드들이 있는지, 롤링 윈도우 사이즈, 뉴럴 네트워크의 활성화 함수 등에 기반하여 사용될 수 있다.
[0059] 일단 최소 특징 세트가 학습되면, 시계열 트레이스 데이터의 실행들의 실제 세트가 딥 오토 인코더(300)에 피딩되고, 딥 오토 인코더(300)는 그런 다음, 동일한 롤링 윈도우 기법 및 딥 오토 인코더(300) 압축/압축해제 기법들을 사용하여 입력 데이터(302)의 최소 재구성 오차 버전에 대응하는 출력 데이터(314)를 생성한다. 그런 다음, 챔버 매칭 분석 엔진(222)은 입력 시계열 트레이스들에 대응하는 재구성된 입력 데이터를 표현하는 출력 데이터(408)(Oli-Ow1 내지 Oln-Own)와, 제조 툴(101) 또는 골든 챔버에 대한 복수의 센서들의 센서들 각각에 대한 원래 시계열 트레이스들에 대응하는 입력 데이터(302)(Vli-Vw1 내지 Vln-Vwn) 사이의 평균 제곱 오차를 계산한다. 그런 다음, 챔버 매칭 분석 엔진(222)은, 입력 시계열 트레이스들에 대응하는 재구성된 입력 데이터를 표현하는 출력 데이터(Oli-Ow1 내지 Oln-Own)와, 데이터베이스(240)로부터 취해진 골든 챔버의 입력 데이터(302)(Vli-Vw1 내지 Vln-Vwn) 사이의 대응하는 평균 제곱 오차를 이용하여, 입력 시계열 트레이스들에 대응하는 재구성된 입력 데이터를 표현하는 출력 데이터(Oli-Ow1 내지 Oln-Own)와 시험 중인 제조 툴(101)의 입력 데이터(302)(Vli-Vw1 내지 Vln-Vwn) 사이의 평균 제곱 오차를 컴퓨팅한다. 제조 툴(101)의 챔버의 평균 제곱 오차가 미리 결정된 임계치만큼 골든 챔버의 평균 제곱 오차를 초과하면, 챔버 매칭 분석 엔진(222)은 골든 챔버와 챔버들 중 선택된 챔버 사이의 미스매칭을 선언한다.
[0060] 개별적인 평균 제곱 오차 타임스탬프 값들(MSEij)(410)이 전체 평균 제곱 오차 계산에 기여한다. 입력 시계열 데이터가 v1, v2,…, vt라고 가정하면, 데이터가 트레이닝된 뉴럴 네트워크 모델에 피팅(fit)된 후에, 재구성된 출력은 동일한 차원 시계열(o1,o2,…,ot)을 갖는다. 그런 다음, 제곱 재구성 오차(square reconstructed error)
Figure pct00001
가 계산된다. 각각의 타임스탬프는 제곱 오차 값을 갖는다. 윈도우 내의 제곱 오차의 평균이 이상 점수로서 간주된다.
[0061] 그런 다음, 실행당(또는 스텝당) 각각의 타임스탬프에 대한 제곱 오차의 평균이 집계될 수 있다. 이러한 실행당(또는 스텝당) 평균 제곱 오차가 특정 임계치를 초과하면, 실행(또는 스텝)은 주어진 센서에서 이상인 것으로 선언된다.
[0062] 도 5는 본 개시내용의 실시예들에 따른 챔버 매칭 분석 엔진(222)의 컴포넌트들을 예시한다. 도시된 바와 같이, 챔버 매칭 분석 엔진(222)은 데이터베이스(240)로부터 또는 MES(232)로부터 (예컨대, 네트워크(210)를 통해) 데이터를 획득할 수 있는 데이터 획득 모듈(510)을 포함한다. 대안적인 실시예들에서, 데이터 획득 모듈(510)은, 데이터베이스(240)로부터 간접적으로 또는 제조 툴(101)의 챔버 내의 센서들로부터 직접적으로, 골든 챔버를 표현하는 시계열 트레이스 데이터를 획득한다. 챔버 매칭 분석 엔진(222)은, 도 6에 설명된 방법에 따라, 시계열 트레이스들을 프리-프로세싱할 수 있고 트레이스들을 타임 슬라이스하며 타임 슬라이스들을 딥 오토 인코더(300)에 피딩하는 데이터 프리-프로세싱 모듈(520)을 더 포함한다. 챔버 매칭 분석 엔진(222)은, 제조 툴(101)의 시험 중인 챔버와 데이터베이스(240)에 저장된 골든 챔버 데이터 사이에 미스매칭이 있는지 여부를 결정하기 위해 딥 오토 인코더(300)를 사용하는 미스매칭 검증 모듈(530)을 더 포함한다. 시험 중인 챔버와 골든 챔버 사이의 미스매칭은, 시험 중인 챔버의 재구성된 출력 시계열 트레이스들과 대응하는 입력 시계열 트레이스들 사이의 평균 제곱 오차의 차이가, 골든 챔버의 재구성된 출력 시계열 트레이스들과 대응하는 입력 시계열 트레이스들 사이의 평균 제곱 오차의 차이를 미리 결정된 임계량만큼 초과하는지 여부에 기반하여 선언된다. 챔버 매칭 분석 엔진(222)은, 센서 정보 및 보정 명령들과 같은 데이터를 (예컨대, 네트워크(210)를 통해) MES(232)에 또는 다른 목적지들에 송신할 수 있는 송신 모듈(540)을 더 포함한다.
[0063] 다른 실시예에서, 도 7과 관련하여 아래에서 설명되는 바와 같이, 하나의 골든 챔버, 그리고 골든 챔버와 동일한 타입의 시험 중인 복수의 프로세싱 챔버들의 경우, 챔버 매칭 분석 엔진(222)은 반도체 제조 툴(101)의 프로세스 챔버들 사이의 미스매칭들을 검출할 수 있다. 또 다른 실시예에서, 도 8과 관련하여 아래에서 설명되는 바와 같이, 복수의 타입들의 복수의 골든 챔버들, 그리고 골든 챔버와 대응하는 복수의 타입들의 시험 중인 복수의 프로세싱 챔버들의 경우, 챔버 매칭 분석 엔진(222)은 반도체 제조 툴들(101)의 프로세스 챔버들 사이의 미스매칭들을 검출할 수 있다.
[0064] 도 6은 하나의 골든 챔버, 그리고 골든 챔버와 동일한 타입의 시험 중인 하나의 프로세싱 챔버의 경우, 반도체 제조 툴(101)의 프로세스 챔버들 사이의 미스매칭들을 검출하기 위한 방법(600)의 일 실시예를 예시한다. 방법(600)은, 하드웨어(예컨대, 회로소자, 전용 로직, 프로그램가능 로직, 마이크로코드 등), 소프트웨어(예컨대, 프로세싱 디바이스 상에서 실행되는 명령들), 또는 이들의 조합을 포함할 수 있는 프로세싱 로직에 의해 수행될 수 있다. 일 실시예에서, 방법(600)은 도 2의 챔버 매칭 분석 엔진(222)에 의해 수행된다.
[0065] 블록(605)에서, 챔버 매칭 분석 엔진(222)은, 대응하는 제1 세트의 출력 시계열 데이터를 생성하기 위해, 사양 내에 있는 제1 프로세싱 챔버(예컨대, 골든 챔버)의 하나 이상의 센서들의 제1 세트의 입력 시계열 데이터를 뉴럴 네트워크(300)에 피딩함으로써 뉴럴 네트워크(300)를 트레이닝한다. 블록(610)에서, 챔버 매칭 분석 엔진(222)은, 제1 세트의 입력 시계열 데이터와 대응하는 제1 세트의 출력 시계열 데이터 사이의 제1 오차를 계산한다. 예에서, 제1 오차는 평균 제곱 오차이다.
[0066] 블록(615)에서, 챔버 매칭 분석 엔진(222)은, 대응하는 제2 세트의 출력 시계열 데이터를 생성하기 위해, (예컨대, 제조 툴(101)의) 시험 중인 제2 프로세싱 챔버와 연관된 대응하는 하나 이상의 센서들로부터의 제2 세트의 입력 시계열 데이터를 트레이닝된 뉴럴 네트워크(300)에 피딩한다.
[0067] 입력 시계열 트레이스 데이터 각각은 최소-최대 정규화된다. 프리-프로세싱은, 시간의 모든 모멘트들에 대해 센서들 전부로부터의 입력 시계열 트레이스들의 타임 슬라이스들을 포함하는 행렬로부터 시간의 일 모멘트에서의 입력 시계열 트레이스들 각각의 입력 타임 슬라이스를 추출하는 것, 그리고 타임 슬라이스를 입력 데이터로서 딥 오토 인코더(300)에 피딩하는 것을 더 포함한다. 챔버 매칭 분석 엔진(222)은 추가로, 센서들 전부로부터의 입력 시계열 트레이스들의 타임 슬라이스를 포함하는 행렬로부터 입력 벡터를 추출하고, 타임 슬라이스를 입력 데이터로서 뉴럴 네트워크(300)에 피딩한다.
[0068] 블록(620)에서, 챔버 매칭 분석 엔진(222)은, 제2 세트의 입력 시계열 데이터와 대응하는 제2 세트의 출력 시계열 데이터 사이의 제2 오차를 계산한다. 일 실시예에서, 오차는 평균 제곱 오차이다. 블록(625)에서, 제2 오차와 제1 오차 사이의 차이가 임계량 이상이면, 블록(630)에서, 챔버 매칭 분석 엔진(222)은, 시험 중인 제2 프로세싱 챔버는 사양들 내에 있는 제1 프로세싱 챔버와 미스매칭한다고 선언한다. 블록(635)에서, 챔버 매칭 분석 엔진(222)은 미스매칭을 선언하는 것에 응답하여 보정 액션이 발생하게 한다. 보정 액션은 예컨대 경고를 디스플레이하는 것, 가청 경고를 생성하는 것, 툴이 추가적인 프로세싱을 수행하는 것을 정지시키는 것, 또는 선언된 미스매칭의 원인을 제거하기 위해 보정 액션을 취하도록 제조 시스템에게 명령하는 것을 포함할 수 있다.
[0069] 블록(625)에서, 제2 오차와 제1 오차 사이의 차이가 임계량 미만이면, 블록(640)에서, 챔버 매칭 분석 엔진(222)은, 시험 중인 제2 프로세싱 챔버는 사양들 내에 있는 제1 프로세싱 챔버와 미스매칭한다고 선언한다. 일 예에서, 임계량은, 컷오프로서 트레이닝 재구성 평균 제곱 오차의 3시그마를 평균에 더한 값으로 세팅될 수 있다.
[0070] 도 7은 하나의 골든 챔버, 그리고 골든 챔버와 동일한 타입의 시험 중인 복수의 프로세싱 챔버들의 경우, 반도체 제조 툴(101)의 프로세스 챔버들 사이의 미스매칭들을 검출하기 위한 방법(700)의 일 실시예를 예시한다. 방법(700)은, 하드웨어(예컨대, 회로소자, 전용 로직, 프로그램가능 로직, 마이크로코드 등), 소프트웨어(예컨대, 프로세싱 디바이스 상에서 실행되는 명령들), 또는 이들의 조합을 포함할 수 있는 프로세싱 로직에 의해 수행될 수 있다. 일 실시예에서, 방법(700)은 도 2의 챔버 매칭 분석 엔진(222)에 의해 수행된다.
[0071] 블록(705)에서, 챔버 매칭 분석 엔진(222)은, 대응하는 제1 세트의 출력 시계열 데이터를 생성하기 위해, 사양 내에 있는, 반도체 기판을 프로세싱하는 제1 프로세싱 챔버(예컨대, 골든 챔버)의 하나 이상의 센서들의 제1 세트의 입력 시계열 데이터를 뉴럴 네트워크(300)에 피딩함으로써 뉴럴 네트워크(300)를 트레이닝한다. 블록(710)에서, 챔버 매칭 분석 엔진(222)은, 제1 세트의 입력 시계열 데이터와 대응하는 제1 세트의 출력 시계열 데이터 사이의 제1 오차를 계산한다. 예에서, 제1 오차는 평균 제곱 오차이다.
[0072] 블록(715)에서, 챔버 매칭 분석 엔진(222)은, 대응하는 복수의 제2 세트들의 출력 시계열 데이터를 생성하기 위해, 사양들 내에 있는 제1 프로세싱 챔버와 동일한 타입의, (예컨대, 제조 툴(101)의) 시험 중인 복수의 제2 프로세싱 챔버들과 연관된 대응하는 하나 이상의 센서들로부터의 제2 세트의 입력 시계열 데이터를 트레이닝된 뉴럴 네트워크에 피딩한다.
[0073] 블록(720)에서, 챔버 매칭 분석 엔진(222)은, 복수의 제2 세트들의 입력 시계열 데이터와 대응하는 복수의 제2 세트들의 출력 시계열 데이터 사이의 복수의 제2 오차들을 계산한다. 일 실시예에서, 오차들은 평균 제곱 오차들이다. 블록(725)에서, 복수의 제2 오차들의 합과 제1 오차 사이의 차이가 임계량 이상이면, 블록(730)에서, 챔버 매칭 분석 엔진(222)은, 시험 중인 복수의 제2 프로세싱 챔버들은 사양들 내에 있는 제1 프로세싱 챔버와 미스매칭한다고 선언한다. 블록(735)에서, 챔버 매칭 분석 엔진(222)은 시험 중인 하나 이상의 챔버들을 고장의 원인으로서 식별한다. 블록(740)에서, 챔버 매칭 분석 엔진(222)은 미스매칭을 선언하는 것에 응답하여 보정 액션이 발생하게 한다. 보정 액션은 예컨대 경고를 디스플레이하는 것, 가청 경고를 생성하는 것, 툴이 추가적인 프로세싱을 수행하는 것을 정지시키는 것, 또는 선언된 미스매칭들의 원인을 제거하기 위해 보정 액션을 취하도록 제조 시스템에게 명령하는 것을 포함할 수 있다.
[0074] 블록(725)에서, 복수의 제2 오차들의 가중 합(weighted sum)과 제1 오차 사이의 차이가 임계량 미만이면, 블록(745)에서, 챔버 매칭 분석 엔진(222)은, 시험 중인 복수의 제2 프로세싱 챔버들 각각은 사양들 내에 있는 제1 프로세싱 챔버와 미스매칭한다고 선언한다. 일 예에서, 임계량은, 컷오프로서 트레이닝 재구성 평균 제곱 오차의 3시그마를 평균에 더한 값으로 세팅될 수 있다.
[0075] 도 8은 상이한 타입들의 복수의 골든 챔버들, 그리고 골든 챔버와 대응하는 상이한 타입들의 시험 중인 복수의 프로세싱 챔버들의 경우, 반도체 제조 툴(101)의 프로세스 챔버들 사이의 미스매칭들을 검출하기 위한 방법(800)의 일 실시예를 예시한다. 방법(800)은, 하드웨어(예컨대, 회로소자, 전용 로직, 프로그램가능 로직, 마이크로코드 등), 소프트웨어(예컨대, 프로세싱 디바이스 상에서 실행되는 명령들), 또는 이들의 조합을 포함할 수 있는 프로세싱 로직에 의해 수행될 수 있다. 일 실시예에서, 방법(800)은 도 2의 챔버 매칭 분석 엔진(222)에 의해 수행된다.
[0076] 블록(805)에서, 챔버 매칭 분석 엔진(222)은, 대응하는 복수의 제1 세트들의 출력 시계열 데이터를 생성하기 위해, 사양 내에 있는, 반도체 기판을 프로세싱하는 복수의 제1 프로세싱 챔버들(예컨대, 복수의 골든 챔버들)의 하나 이상의 센서들의 복수의 제1 세트들의 입력 시계열 데이터를 뉴럴 네트워크(300)에 피딩함으로써 뉴럴 네트워크(300)를 트레이닝한다. 블록(810)에서, 챔버 매칭 분석 엔진(222)은, 복수의 세트들의 제1 입력 시계열 데이터와 대응하는 복수의 제1 세트들의 출력 시계열 데이터 사이의 복수의 제1 오차들을 계산한다. 예에서, 제1 오차들은 평균 제곱 오차들이다.
[0077] 블록(815)에서, 챔버 매칭 분석 엔진(222)은, 대응하는 복수의 제2 세트들의 출력 시계열 데이터를 생성하기 위해, 사양들 내에 있는 복수의 제1 프로세싱 챔버와 상이한 타입의, (예컨대, 제조 툴(101)의) 시험 중인 복수의 제2 프로세싱 챔버들과 연관된 대응하는 하나 이상의 센서들로부터의 복수의 제2 세트들의 입력 시계열 데이터를 트레이닝된 뉴럴 네트워크에 피딩한다.
[0078] 블록(820)에서, 챔버 매칭 분석 엔진(222)은, 복수의 제2 세트들의 입력 시계열 데이터와 대응하는 복수의 제2 세트들의 출력 시계열 데이터 사이의 복수의 제2 오차들을 계산한다. 일 실시예에서, 오차들은 평균 제곱 오차들이다. 블록(825)에서, 복수의 제2 오차들의 합과 복수의 제1 오차들의 합 사이의 차이가 임계량 이상이면, 블록(830)에서, 챔버 매칭 분석 엔진(222)은, 시험 중인 복수의 제2 프로세싱 챔버들은 사양들 내에 있는 복수의 제1 프로세싱 챔버들과 미스매칭한다고 선언한다. 블록(835)에서, 챔버 매칭 분석 엔진(222)은 시험 중인 하나 이상의 챔버들을 고장의 원인으로서 식별한다. 블록(840)에서, 챔버 매칭 분석 엔진(222)은 미스매칭을 선언하는 것에 응답하여 보정 액션이 발생하게 한다. 보정 액션은 예컨대 경고를 디스플레이하는 것, 가청 경고를 생성하는 것, 툴이 추가적인 프로세싱을 수행하는 것을 정지시키는 것, 또는 선언된 미스매칭들의 원인을 제거하기 위해 보정 액션을 취하도록 제조 시스템에게 명령하는 것을 포함할 수 있다.
[0079] 블록(825)에서, 복수의 제2 오차들의 가중 합과 복수의 제1 오차들의 합 사이의 차이가 임계량 미만이면, 블록(845)에서, 챔버 매칭 분석 엔진(222)은, 시험 중인 복수의 제2 프로세싱 챔버들 각각은 사양들 내에 있는 복수의 제1 프로세싱 챔버들 각각과 매칭한다고 선언한다. 일 예에서, 임계량은, 컷오프로서 트레이닝 재구성 평균 제곱 오차의 3시그마를 평균에 더한 값으로 세팅될 수 있다.
[0080] 도 9는 본 개시내용의 일부 실시예들에 따른, 이상들을 검출 및 분석하기 위해 사용되는 예시적인 컴퓨팅 시스템(900)을 예시한다. 특정 실시예들에서, 컴퓨터 시스템(900)은 서버(220)를 나타낸다. 컴퓨터 시스템(900)의 양상들은 또한, 본원에서 설명되는 기법들을 수행하기 위해 사용되는 다른 디바이스들(예컨대, 서버(230))을 나타낼 수 있다. 예컨대, 컴퓨팅 시스템(900)은 퍼스널 컴퓨터, 산업용 프로세서, 퍼스널 디지털 어시스턴트, 모바일 폰, 모바일 디바이스 또는 본 발명의 하나 이상의 실시예들을 실시하기에 적절한 임의의 다른 디바이스일 수 있다.
[0081] 시스템(900)은 메모리 브리지(905)를 포함할 수 있는 버스 경로를 통해 통신하는 CPU(central processing unit)(902) 및 시스템 메모리(904)를 포함한다. CPU(902)는 하나 이상의 프로세싱 코어들을 포함하며, 동작 시, CPU(902)는 다른 시스템 컴포넌트들의 동작들을 제어 및 조정하는, 시스템(900)의 마스터 프로세서이다. 시스템 메모리(904)는 CPU(902)에 의한 사용을 위해 소프트웨어 애플리케이션(906) 및 데이터를 저장한다. CPU(902)는 소프트웨어 애플리케이션들, 그리고 선택적으로 운영 체제를 실행한다.
[0082] 예시적으로, 시스템 메모리(904)는, 본원에서 설명된 기법들에 따라 챔버 미스매칭들을 검출하는 것과 관련된 동작들을 수행하는 챔버 매칭 분석 엔진(222)에 대응할 수 있는 챔버 매칭 분석 엔진(980)을 포함한다. 예컨대, 챔버 매칭 분석 엔진(980)은 도 2의 챔버 매칭 분석 엔진(222)과 등가물일 수 있으며, 트레이닝 시계열 트레이스들의 재구성 오차를 최소화하는 모델에 기반하여 하나 이상의 입력 시계열 트레이스들을 대응하는 하나 이상의 출력 시계열 트레이스들로서 재구성하고 그 세트에 기반하여 프로세싱 챔버들의 매칭들 또는 미스매칭들 그리고 제조 프로세스의 다른 양상들을 식별하는 딥 오토 인코더 타입 뉴럴 네트워크(300)를 사용할 수 있다.
[0083] 예컨대, 노스브리지 칩일 수 있는 메모리 브리지(905)는 버스 또는 다른 통신 경로(예컨대, 하이퍼트랜스포트 링크)를 통해 I/O(입력/출력) 브리지(907)에 연결된다. 예컨대 사우스브리지 칩일 수 있는 I/O 브리지(907)는, 하나 이상의 사용자 입력 디바이스들(908)(예컨대, 키보드, 마우스, 조이스틱, 디지타이저 태블릿들, 터치 패드들, 터치 스크린들, 스틸 또는 비디오 카메라들, 모션 센서들 및/또는 마이크로폰들)로부터 사용자 입력을 수신하고, 메모리 브리지(705)를 통해 CPU(702)에 입력을 포워딩한다.
[0084] 디스플레이 프로세서(912)는 버스 또는 다른 통신 경로(예컨대, PCI 익스프레스, 가속 그래픽 포트 또는 하이퍼트랜스포트 링크)를 통해 메모리 브리지(985)에 커플링되고; 일 실시예에서, 디스플레이 프로세서(912)는 적어도 하나의 GPU(graphics processing unit) 및 그래픽 메모리를 포함하는 그래픽 서브시스템이다. 그래픽 메모리는 출력 이미지의 각각의 픽셀에 대한 픽셀 데이터를 저장하기 위해 사용되는 디스플레이 메모리(예컨대, 프레임 버퍼)를 포함한다. 그래픽 메모리는 GPU와 동일한 디바이스에 통합되고, 별개의 디바이스로서 GPU와 연결되며 그리고/또는 시스템 메모리(904) 내에 구현될 수 있다.
[0085] 디스플레이 프로세서(912)는 대시보드의 픽셀들을 디스플레이 디바이스(910)(예컨대, 스크린 또는 통상적인 CRT, 플라즈마, OLED, SED 또는 LCD 기반 모니터 또는 텔레비전)에 주기적으로 전달한다. 추가적으로, 디스플레이 프로세서(912)는 포토그래픽 필름 상에 컴퓨터 생성 이미지들을 재생하도록 구성된 필름 레코더들에 픽셀들을 출력할 수 있다. 디스플레이 프로세서(912)는 디스플레이 디바이스(910)에 아날로그 또는 디지털 신호를 제공할 수 있다.
[0086] 영구적 저장부(920)가 또한, I/O 브리지(907)에 연결되며, CPU(902) 및 디스플레이 프로세서(912)에 의한 사용을 위해 데이터베이스 라이브러리(915)와 같이 콘텐츠 및 애플리케이션들 및 데이터를 저장하도록 구성될 수 있다. 영구적 저장부(920)는 애플리케이션들 및 데이터를 위한 비휘발성 저장부를 제공하며, 고정식 또는 제거가능 하드 디스크 드라이브들, 플래시 메모리 디바이스들, 그리고 CD-ROM, DVD-ROM, 블루레이, HD-DVD 또는 다른 자기, 광학 또는 솔리드 스테이트 저장 디바이스들을 포함할 수 있다.
[0087] 예시적으로, 영구적 저장부(920)는 챔버 매칭 분석 엔진(222)에 의해 획득된 정보를 포함할 수 있는 제조 데이터(990)를 포함할 수 있다. 제조 데이터(990)는, 예컨대, 제조 프로세스들 동안 시간의 경과에 따라 기판들 및 툴들로부터 측정들을 취하는 다양한 센서들과 관련되는, 제조 툴(101)에 의해 수집된 MES(232)로부터 수신되는 정보를 포함할 수 있다.
[0088] 스위치(916)가 I/O 브리지(907)와 다른 컴포넌트들, 이를테면, 네트워크 어댑터(918) 및 다양한 애드-인(add in) 카드들(920 및 921) 사이의 연결들을 제공한다. 네트워크 어댑터(918)는 시스템(900)이 전자 통신 네트워크를 통해 다른 시스템들과 통신할 수 있게 하며, 로컬 영역 네트워크들(940) 및 광역 네트워크들, 이를테면, 인터넷을 통한 유선 또는 무선 통신을 포함할 수 있다.
[0089] USB 또는 다른 포트 연결들, 필름 레코딩 디바이스들 또는 다른 적절한 컴퓨팅 디바이스를 포함하는 다른 컴포넌트들(미도시)이 또한, I/O 브리지(907)에 연결될 수 있다. 예컨대, 프로세스 장비(970)는 CPU(902), 시스템 메모리(904) 또는 영구적 저장부(920)에 의해 제공되는 명령들 및/또는 데이터로 동작할 수 있다. 도 9의 다양한 컴포넌트들을 상호연결하는 통신 경로들은, 임의의 적절한 프로토콜들, 이를테면, PCI(Peripheral Component Interconnect), PCI 익스프레스(PCI E; PCI Express), 가속 그래픽 포트(AGP; Accelerated Graphics Port), 하이퍼트랜스포트 또는 임의의 다른 버스 또는 점 대 점 통신 프로토콜(들)을 사용하여 구현될 수 있거나, 또는 당업계에 알려진 바와 같이, 상이한 디바이스들 사이의 연결들이 상이한 프로토콜들을 사용할 수 있다.
[0090] 프로세스 장비(970)는 하나 이상의 반도체 챔버들, 이를테면, 플라즈마 강화 화학 기상 증착(PECVD; plasma enhanced chemical vapor deposition) 또는 다른 플라즈마 프로세싱 챔버, 이를테면, 제조 툴(101)에 포함된 프로세싱 툴들일 수 있다. 예컨대, 프로세스 장비(970)는, 단독으로 또는 하나 이상의 다른 챔버들과 조합하여, 에칭 챔버, 화학 기상 증착 챔버, 물리 기상 증착 챔버, 주입 챔버, 플라즈마 처리 챔버 또는 다른 플라즈마 프로세싱 챔버일 수 있다.
[0091] 일 실시예에서, 디스플레이 프로세서(912)는, 예컨대 수학 코-프로세서(math co-processor)를 포함하여, 수학 연산들을 수행하도록 최적화된 회로소자를 통합하며, 추가적으로, GPU(graphics processing unit)를 구성할 수 있다. 다른 실시예에서, 디스플레이 프로세서(912)는 범용 프로세싱을 위해 최적화된 회로소자를 통합한다. 또 다른 실시예에서, 디스플레이 프로세서(912)는 SoC(system on chip)을 형성하도록 메모리 브리지(905), CPU(702) 및 I/O 브리지(907)와 같은 하나 이상의 다른 시스템 엘리먼트들과 통합될 수 있다. 또 추가적인 실시예들에서, 디스플레이 프로세서(912)는 생략되며, CPU(902)에 의해 실행되는 소프트웨어가 디스플레이 프로세서(912)의 기능들을 수행한다.
[0092] 픽셀 데이터는 CPU(902)로부터 직접적으로 디스플레이 프로세서(912)로 제공될 수 있다. 일부 실시예들에서, 이상 검증 분석을 표현하는 명령들 및/또는 데이터가 네트워크 어댑터(918) 또는 시스템 디스크(914)를 통해 서버 컴퓨터들 ―서버 컴퓨터들 각각은 시스템(900)과 유사함― 의 세트에 제공된다. 서버들은 분석을 위해 제공된 명령들을 사용하여 데이터의 서브세트들에 대해 동작들을 수행할 수 있다. 이들 동작들로 인한 결과들은 컴퓨터 판독가능 매체 상에 디지털 포맷으로 저장될 수 있고, 선택적으로, 추가적인 분석 또는 디스플레이를 위해 시스템(900)에 반환될 수 있다. 유사하게, 데이터는 디스플레이를 위해 다른 시스템들로 출력되거나, 시스템 디스크(914) 상의 데이터베이스 라이브러리(915)에 저장되거나, 또는 디지털 포맷으로 컴퓨터 판독가능 매체 상에 저장될 수 있다.
[0093] 대안적으로, CPU(902)는 원하는 출력 이미지들을 정의하는 데이터 및/또는 명령들을 디스플레이 프로세서(912)에 제공하고, 이러한 원하는 출력 이미지들을 정의하는 데이터 및/또는 명령들로부터, 디스플레이 프로세서(912)는, 스테레오 이미지 쌍들 사이의 오프셋을 특성화 및/또는 조정하는 것을 포함하여, 하나 이상의 출력 이미지들의 픽셀 데이터를 생성한다. 원하는 출력 이미지들을 정의하는 데이터 및/또는 명령들은 시스템 메모리(904) 또는 디스플레이 프로세서(912) 내의 그래픽 메모리에 저장될 수 있다. CPU(902) 및/또는 디스플레이 프로세서(912)는, 모델들을 실행하는 것 그리고 센서들로부터의 데이터를 비교하여 챔버 컴포넌트들의 서비스 수명을 추적하는 것을 포함하여, 제공된 데이터 및 명령들로부터 하나 이상의 결과들을 생성하기 위해, 당업계에 알려진 임의의 수학적인 함수 또는 기법을 사용할 수 있다.
[0094] 본원에 도시된 시스템은 예시적이며 변형들 및 수정들이 가능하다는 것이 인식될 것이다. 브리지들의 수 및 어레인지먼트를 포함하는 연결 토폴로지는 원하는 대로 수정될 수 있다. 예컨대, 일부 실시예들에서, 시스템 메모리(904)는, 브리지를 통하는 것이 아니라 직접적으로 CPU(902)에 연결되며, 다른 디바이스들은 메모리 브리지(905) 및 CPU(902)를 통해 시스템 메모리(904)와 통신한다. 다른 대안적인 토폴로지들에서, 디스플레이 프로세서(912)는, 메모리 브리지(905)에 연결되는 것이 아닌 CPU(902)에 직접적으로 연결되거나 또는 I/O 브리지(907)에 연결된다. 또 다른 실시예들에서, I/O 브리지(907) 및 메모리 브리지(905)는 단일 칩에 통합될 수 있다. 본원에 도시된 특정 컴포넌트들은 선택적이며; 예컨대, 임의의 수의 애드-인 카드들 또는 주변 디바이스들이 지원될 수 있다. 일부 실시예들에서, 프로세스 장비(970)는 I/O 브리지(907)에 직접적으로 연결될 수 있다. 일부 실시예들에서, 스위치(916)는 제거되며, 네트워크 어댑터(918) 및 애드-인 카드들(920, 921)은 I/O 브리지(907)에 직접적으로 연결된다.
[0095] 본 발명의 다양한 실시예들은 컴퓨터 시스템과 함께 사용하기 위한 프로그램 제품으로서 구현될 수 있다. 프로그램 제품의 소프트웨어 루틴들은 (본원에서 설명된 방법들을 포함하여) 실시예들의 기능들을 정의하며, 다양한 컴퓨터 판독가능 저장 매체 상에 포함될 수 있다. 예시적인 컴퓨터 판독가능 저장 매체는 (i) 정보가 영구적으로 저장되는 기록가능하지 않은 저장 매체(예컨대, 컴퓨터 내의 판독-전용 메모리 디바이스들, 이를테면, CD-ROM 드라이브에 의해 판독가능한 CD-ROM 디스크들, 플래시 메모리, ROM 칩들 또는 임의의 타입의 솔리드 스테이트 비휘발성 반도체 메모리); 및 (ⅱ) 변경가능한 정보가 저장되는 기록가능한 저장 매체(예컨대, 하드 디스크 드라이브 또는 디스켓 드라이브 내의 플로피 디스크들 또는 임의의 타입의 솔리드 스테이트 랜덤 액세스 반도체 메모리)를 포함(그러나, 이에 제한되지 않음)한다.
[0096] 하나 이상의 컴퓨터 판독가능 매체(들)의 임의의 조합이 프로그램 제품을 저장하기 위해 활용될 수 있으며, 이러한 프로그램 제품은, 실행될 때, 챔버 컴포넌트들의 서비스 수명을 결정하기 위한 방법을 수행하도록 구성된다. 컴퓨터 판독가능 저장 매체는 예컨대 전자, 자기, 광학, 전자기, 적외선 또는 반도체 시스템, 장치 또는 디바이스 또는 이들의 임의의 적절한 조합(그러나, 이에 제한되지는 않음)일 수 있다. 컴퓨터 판독가능 저장 매체의 더욱 구체적인 예들(총망라하지는 않은 목록)은, 휴대용 컴퓨터 디스켓, 하드 디스크, RAM(random access memory), ROM(read-only memory), EPROM(erasable programmable read-only memory) 또는 플래시 메모리, 광섬유, 휴대용 CD-ROM(compact disc read-only memory), 광학 저장 디바이스, 자기 저장 디바이스 또는 이들의 임의의 적절한 조합을 포함할 것이다. 본 문서의 문맥에서, 컴퓨터 판독가능 저장 매체는 명령 실행 시스템, 장치 또는 디바이스에 의한 사용 또는 이와 관련한 사용을 위한 프로그램을 포함하거나 또는 저장할 수 있는 임의의 유형의(tangible) 매체일 수 있다.
[0097] 본 발명의 양상들에 대한 동작들을 수행하기 위한 컴퓨터 프로그램 코드는 객체 지향 프로그래밍 언어, 이를테면, JAVA TM, SMALLTALK TM, C++ 등 그리고 통상적인 절차적 프로그래밍 언어들, 이를테면, "C" 프로그래밍 언어 또는 유사한 프로그래밍 언어들을 포함하는 하나 이상의 프로그래밍 언어들의 임의의 조합으로 기록될 수 있다. 프로그램 코드는, 사용자의 컴퓨터 상에서 전적으로, 사용자의 컴퓨터 상에서 부분적으로, 독립형 소프트웨어 패키지로서, 사용자의 컴퓨터 상에서 부분적으로 그리고 원격 컴퓨터 상에서 부분적으로, 또는 원격 컴퓨터 또는 서버 상에서 전적으로 실행될 수 있다. 후자의 시나리오에서, 원격 컴퓨터는, 로컬 영역 네트워크(LAN; local area network) 또는 광역 네트워크(WAN; wide area network)를 포함하는 임의의 타입의 네트워크를 통해 사용자의 컴퓨터에 연결될 수 있거나, 또는 연결은 (예컨대, 인터넷 서비스 제공자를 사용하여 인터넷을 통해) 외부 컴퓨터에 대해 행해질 수 있다.
[0098] 컴퓨터 프로그램 명령들은 또한, 컴퓨터 구현 프로세스를 생성하도록 일련의 동작들로 하여금 컴퓨터, 다른 프로그램가능 장치 또는 다른 디바이스들 상에서 수행되게 하기 위해 컴퓨터, 다른 프로그램가능 데이터 프로세싱 장치 또는 다른 디바이스들 상에 로딩될 수 있어서, 컴퓨터 또는 다른 프로그램가능 장치 상에서 실행되는 명령들은 흐름도 및/또는 블록 다이어그램 블록 또는 블록들에서 특정된 기능들/행위들을 구현하기 위한 프로세스들을 제공한다.
[0099] 본 발명의 실시예들은 클라우드 컴퓨팅 인프라구조를 통해 최종 사용자들에게 제공될 수 있다. 클라우드 컴퓨팅은 네트워크를 통한 서비스로서 스케일러블 컴퓨팅 자원들의 프로비전을 지칭한다. 더욱 공식적으로, 클라우드 컴퓨팅은, 컴퓨팅 자원과 이러한 컴퓨팅 자원의 기본 기술 아키텍처(예컨대, 서버들, 저장부들, 네트워크들) 사이의 추상화를 제공하여서, 최소의 관리 노력 또는 서비스 제공자 상호작용으로 신속하게 프로비저닝 및 릴리즈될 수 있는 구성가능한 컴퓨팅 자원들의 공유 풀(pool)로의 편리한 온디맨드(on-demand) 네트워크 액세스를 가능하게 하는 컴퓨팅 능력으로서 정의될 수 있다. 따라서, 클라우드 컴퓨팅은, 컴퓨팅 자원들을 제공하기 위해 사용되는 기본 물리적 시스템들(또는 그러한 시스템들의 위치들)에 관계 없이, 사용자가 "클라우드"에 있는 가상 컴퓨팅 자원들(예컨대, 저장부, 데이터, 애플리케이션들 그리고 훨씬 완전한 가상화된 컴퓨팅 시스템들)에 액세스할 수 있게 한다.
[0100] 유리하게는, 본원에서 제시된 기법들은 실시간 이상 검출, 오프라인 문제 진단 및 챔버 매칭을 가능하게 한다. 실시간 이상 검출과 관련하여, 실시예들은 사전에 모델을 트레이닝하고, 우수한 트레이스로부터 큰 편차가 있는지 여부 그리고 이에 따라서 보정을 할지 여부를 실시간으로 검출한다. 오프라인 문제 진단과 관련하여, 장시간(marathon)의 실행들 동안 특정 문제가 발생하는 경우, 실시예들은 우수한 실행들을 사용하여 모델을 트레이닝하고 우수한 실행과 비교하여 불량한 실행의 차이를 체크한다. 이는 하드웨어 또는 프로세스 자체(예컨대, 유동 밸브, 지연된 파워 스트라이크(power strike delayed) 등)에서 진짜 문제가 무엇인지를 진단하는 것을 돕기 위해 이러한 차이의 최상위 기여자 센서들을 결정한다. 챔버 매칭과 관련하여, 실시예들은, 하나의/다수의 우수한 챔버들로부터의 다수의 실행들로 모델을 트레이닝하며 불량한 챔버로부터 나오는 것이 아닌 우수한 챔버로부터의 출력 데이터의 차이들을 체크하는 것을 가능하게 한다. 위에서 언급된 바와 같이, 오토 인코더는 우수한 챔버들 사이의 베이스라인 차이를 무시할 것이고, 더욱 중요한 차이들(예컨대, 패턴, 프로세스 등)을 찾는 데 중점을 둘 것이다. 이는 동일한/유사한 레시피를 실행하는, 챔버 매칭을 위한 종래의 방법들보다 더욱 효율적이다.
[0101] 이러한 기법들은, 제조 데이터에 기반한 기판 품질의 지능적 예측들을 가능하게 하고, 개별적인 기판들 및 제조 프로세스의 다른 양상들과 관련하여 취해질 보정 액션들에 관하여 효율적인 결정들이 행해질 수 있게 한다. 본 개시내용의 실시예들의 사용은 비용들을 감소시키고, 효율성을 개선시키며, 제조 프로세스와 관련하여 더 나은 전략적 계획을 가능하게 할 수 있다.
[0102] 당업자에 의해 인식될 바와 같이, 본 발명의 양상들은 시스템, 방법 또는 컴퓨터 프로그램 제품으로서 구현될 수 있다. 이에 따라서, 본 발명의 양상들은 전적으로 하드웨어 실시예, 전적으로 소프트웨어 실시예(펌웨어, 상주 소프트웨어, 마이크로코드 등을 포함), 또는 소프트웨어 및 하드웨어 양상들을 조합하는 실시예의 형태를 취할 수 있으며, 이들은 본원에서 "회로", "모듈" 또는 "시스템"으로 지칭될 수 있다. 더욱이, 본 발명의 양상들은 컴퓨터 판독가능 프로그램 코드가 구현되어 있는 하나 이상의 컴퓨터 판독가능 매체(들)에 구현된 컴퓨터 프로그램 제품의 형태를 취할 수 있다.
[0103] 전술한 내용에서, 본 개시내용에 제시된 실시예들이 참조되었다. 그러나, 본 개시내용의 범위는 특정한 설명된 실시예들로 제한되지 않는다. 대신, 다음의 특징들 및 엘리먼트들의 임의의 조합은, 상이한 실시예들에 관련되는지 또는 아닌지에 관계없이, 고려된 실시예들을 구현 및 실시하는 것으로 고려된다. 더욱이, 본원에 개시된 실시예들이 다른 가능한 솔루션들에 비해 또는 종래 기술에 비해 장점들을 달성할 수 있지만, 특정 장점이 주어진 실시예에 의해 달성되는지 여부는 본 개시내용의 범위를 제한하지 않는다. 따라서, 다음의 양상들, 특징들, 실시예들 및 장점들은 단지 예시적일 뿐이며, 청구항(들)에서 명시적으로 언급된 경우를 제외하고, 첨부된 청구항들의 엘리먼트들 또는 제한들로 간주되지 않는다. 마찬가지로, "본 발명"에 대한 언급은 본원에 개시된 임의의 발명의 청구 대상의 일반화로서 해석되지 않을 것이며, 청구항(들)에서 명시적으로 언급된 경우를 제외하고, 첨부된 청구항들의 엘리먼트 또는 제한인 것으로 간주되지 않을 것이다.
[0104] 전술된 내용이 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 기본적인 범위를 벗어나지 않고, 본 개시내용의 다른 그리고 추가적인 실시예들이 안출될 수 있으며, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (15)

  1. 반도체 기판을 프로세싱하는 프로세싱 챔버들을 매칭시키기 위한 방법으로서,
    대응하는 제1 세트의 출력 시계열 데이터를 생성하기 위해, 사양(specification) 내에 있는 제1 프로세싱 챔버의 하나 이상의 센서들의 제1 세트의 입력 시계열 데이터를 뉴럴 네트워크에 피딩(feeding)함으로써 상기 뉴럴 네트워크를 트레이닝(training)하는 단계;
    상기 제1 세트의 입력 시계열 데이터와 상기 대응하는 제1 세트의 출력 시계열 데이터 사이의 제1 오차를 계산하는 단계;
    대응하는 제2 세트의 출력 시계열 데이터를 생성하기 위해, 시험 중인 제2 프로세싱 챔버와 연관된 대응하는 하나 이상의 센서들로부터의 제2 세트의 입력 시계열 데이터를 상기 트레이닝된 뉴럴 네트워크에 피딩하는 단계;
    상기 제2 세트의 입력 시계열 데이터와 상기 대응하는 제2 세트의 출력 시계열 데이터 사이의 제2 오차를 계산하는 단계; 및
    상기 제2 오차와 상기 제1 오차 사이의 차이가 임계량(threshold amount) 이상인 것에 응답하여, 시험 중인 상기 제2 프로세싱 챔버는 사양들 내에 있는 상기 제1 프로세싱 챔버와 미스매칭한다고 선언하는 단계
    를 포함하는,
    반도체 기판을 프로세싱하는 프로세싱 챔버들을 매칭시키기 위한 방법.
  2. 제1 항에 있어서,
    상기 제2 오차와 상기 제1 오차 사이의 차이가 상기 임계량 미만인 것에 응답하여, 시험 중인 상기 제2 프로세싱 챔버는 사양들 내에 있는 상기 제1 프로세싱 챔버와 매칭한다고 선언하는 단계를 더 포함하는,
    반도체 기판을 프로세싱하는 프로세싱 챔버들을 매칭시키기 위한 방법.
  3. 제1 항에 있어서,
    상기 제1 프로세싱 챔버 및 상기 제2 프로세싱 챔버는 제1 타입을 가지며,
    상기 제1 타입의 시험 중인 제3 프로세싱 챔버를 더 포함하며,
    상기 방법은,
    대응하는 제3 세트의 출력 시계열 데이터를 생성하기 위해, 시험 중인 상기 제3 프로세싱 챔버와 연관된 대응하는 하나 이상의 센서들로부터의 제3 세트의 입력 시계열 데이터를 상기 트레이닝된 뉴럴 네트워크에 피딩하는 단계;
    상기 제3 세트의 입력 시계열 데이터와 상기 대응하는 제3 세트의 출력 시계열 데이터 사이의 제3 오차를 계산하는 단계; 및
    상기 제2 오차와 상기 제3 오차의 합(sum)과 상기 제1 오차 사이의 차이가 제2 임계량 이상인 것에 응답하여, 시험 중인 상기 제2 프로세싱 챔버 및 시험 중인 상기 제3 프로세싱 챔버는 사양들 내에 있는 상기 제1 프로세싱 챔버와 미스매칭한다고 선언하는 단계
    를 더 포함하는,
    반도체 기판을 프로세싱하는 프로세싱 챔버들을 매칭시키기 위한 방법.
  4. 제3 항에 있어서,
    상기 제2 오차와 상기 제3 오차의 합과 상기 제1 오차 사이의 차이가 상기 제2 임계량보다 작다는 것에 응답하여, 시험 중인 상기 제2 프로세싱 챔버 및 시험 중인 상기 제3 프로세싱 챔버는 사양들 내에 있는 상기 제1 프로세싱 챔버와 매칭한다고 선언하는 단계를 더 포함하는,
    반도체 기판을 프로세싱하는 프로세싱 챔버들을 매칭시키기 위한 방법.
  5. 제1 항에 있어서,
    상기 제1 프로세싱 챔버 및 상기 제2 프로세싱 챔버는 제1 타입을 가지며,
    제2 타입을 갖는, 사양들 내에 있는 제3 프로세싱 챔버, 그리고 상기 제2 타입을 갖는, 시험 중인 제4 프로세싱 챔버를 더 포함하며,
    상기 방법은,
    대응하는 제3 세트의 트레이닝된 출력 시계열 데이터를 생성하기 위해, 사양 내에 있는 상기 제3 프로세싱 챔버의 하나 이상의 센서들의 제3 세트의 입력 시계열 데이터를 상기 뉴럴 네트워크에 피딩하는 단계;
    상기 제3 세트의 입력 시계열 데이터와 상기 대응하는 제3 세트의 트레이닝된 출력 시계열 데이터 사이의 제3 오차를 계산하는 단계;
    대응하는 제4 세트의 출력 시계열 데이터를 생성하기 위해, 시험 중인 상기 제4 프로세싱 챔버와 연관된 대응하는 하나 이상의 센서들로부터의 제4 세트의 입력 시계열 데이터를 상기 트레이닝된 뉴럴 네트워크에 피딩하는 단계;
    상기 제4 세트의 입력 시계열 데이터와 상기 대응하는 제4 세트의 출력 시계열 데이터 사이의 제4 오차를 계산하는 단계; 및
    상기 제2 오차와 상기 제4 오차의 제1 합과, 상기 제1 오차와 상기 제3 오차의 제2 합 사이의 차이가 제2 임계량 이상인 것에 응답하여, 시험 중인 상기 제2 프로세싱 챔버 및 시험 중인 상기 제4 프로세싱 챔버는 사양들 내에 있는 상기 제1 프로세싱 챔버 및 사양들 내에 있는 상기 제3 프로세싱 챔버와 미스매칭한다고 선언하는 단계
    를 더 포함하는,
    반도체 기판을 프로세싱하는 프로세싱 챔버들을 매칭시키기 위한 방법.
  6. 제5 항에 있어서,
    상기 제2 오차와 상기 제4 오차의 상기 제1 합과, 상기 제1 오차와 상기 제3 오차의 상기 제2 합 사이의 차이가 상기 제2 임계량보다 작다는 것에 응답하여, 시험 중인 상기 제2 프로세싱 챔버 및 시험 중인 상기 제4 프로세싱 챔버는, 각각, 사양들 내에 있는 상기 제1 프로세싱 챔버 및 사양들 내에 있는 상기 제3 프로세싱 챔버와 매칭한다고 선언하는 단계를 더 포함하는,
    반도체 기판을 프로세싱하는 프로세싱 챔버들을 매칭시키기 위한 방법.
  7. 제1 항에 있어서,
    챔버들의 미스매칭을 선언하는 것에 응답하여 보정 액션(corrective action)이 발생하게 하는 단계를 더 포함하는,
    반도체 기판을 프로세싱하는 프로세싱 챔버들을 매칭시키기 위한 방법.
  8. 제1 항에 있어서,
    상기 뉴럴 네트워크는 재구성 오차를 최소화하는,
    반도체 기판을 프로세싱하는 프로세싱 챔버들을 매칭시키기 위한 방법.
  9. 제1 항에 있어서,
    상기 제1 오차 및 상기 제2 오차는 평균 제곱 오차들인,
    반도체 기판을 프로세싱하는 프로세싱 챔버들을 매칭시키기 위한 방법.
  10. 제1 항에 있어서,
    재구성 오차를 최소화하는 것은 상기 뉴럴 네트워크에 피딩되는 한 세트의 입력 시계열 데이터와 상기 뉴럴 네트워크에 의해 출력되는 대응하는 세트의 시계열 데이터 사이의 평균 제곱 오차를 최소화하는,
    반도체 기판을 프로세싱하는 프로세싱 챔버들을 매칭시키기 위한 방법.
  11. 컴퓨팅 시스템으로서,
    메모리; 및
    반도체 기판을 프로세싱하는 프로세싱 챔버들을 매칭시키기 위한 방법을 수행하도록 구성된 프로세서
    를 포함하며,
    상기 방법은,
    대응하는 제1 세트의 출력 시계열 데이터를 생성하기 위해, 사양 내에 있는 제1 프로세싱 챔버의 하나 이상의 센서들의 제1 세트의 입력 시계열 데이터를 뉴럴 네트워크에 피딩함으로써 상기 뉴럴 네트워크를 트레이닝하는 단계;
    상기 제1 세트의 입력 시계열 데이터와 상기 대응하는 제1 세트의 출력 시계열 데이터 사이의 제1 오차를 계산하는 단계;
    대응하는 제2 세트의 출력 시계열 데이터를 생성하기 위해, 시험 중인 제2 프로세싱 챔버와 연관된 대응하는 하나 이상의 센서들로부터의 제2 세트의 입력 시계열 데이터를 상기 트레이닝된 뉴럴 네트워크에 피딩하는 단계;
    상기 제2 세트의 입력 시계열 데이터와 상기 대응하는 제2 세트의 출력 시계열 데이터 사이의 제2 오차를 계산하는 단계; 및
    상기 제2 오차와 상기 제1 오차 사이의 차이가 임계량 이상인 것에 응답하여, 시험 중인 상기 제2 프로세싱 챔버는 사양들 내에 있는 상기 제1 프로세싱 챔버와 미스매칭한다고 선언하는 단계
    를 포함하는,
    컴퓨팅 시스템.
  12. 제11 항에 있어서,
    상기 방법은, 상기 제2 오차와 상기 제1 오차 사이의 차이가 상기 임계량 미만인 것에 응답하여, 시험 중인 상기 제2 프로세싱 챔버는 사양들 내에 있는 상기 제1 프로세싱 챔버와 매칭한다고 선언하는 단계를 더 포함하는,
    컴퓨팅 시스템.
  13. 제11 항에 있어서,
    상기 제1 프로세싱 챔버 및 상기 제2 프로세싱 챔버는 제1 타입을 가지며,
    상기 제1 타입의 시험 중인 제3 프로세싱 챔버를 더 포함하며,
    상기 방법은,
    대응하는 제3 세트의 출력 시계열 데이터를 생성하기 위해, 시험 중인 상기 제3 프로세싱 챔버와 연관된 대응하는 하나 이상의 센서들로부터의 제3 세트의 입력 시계열 데이터를 상기 트레이닝된 뉴럴 네트워크에 피딩하는 단계;
    상기 제3 세트의 입력 시계열 데이터와 상기 대응하는 제3 세트의 출력 시계열 데이터 사이의 제3 오차를 계산하는 단계; 및
    상기 제2 오차와 상기 제3 오차의 합과 상기 제1 오차 사이의 차이가 제2 임계량 이상인 것에 응답하여, 시험 중인 상기 제2 프로세싱 챔버 및 시험 중인 상기 제3 프로세싱 챔버는 사양들 내에 있는 상기 제1 프로세싱 챔버와 미스매칭한다고 선언하는 단계
    를 더 포함하는,
    컴퓨팅 시스템.
  14. 제11 항에 있어서,
    상기 제1 프로세싱 챔버 및 상기 제2 프로세싱 챔버는 제1 타입을 가지며,
    제2 타입을 갖는, 사양들 내에 있는 제3 프로세싱 챔버, 그리고 상기 제2 타입을 갖는, 시험 중인 제4 프로세싱 챔버를 더 포함하며,
    상기 방법은,
    대응하는 제3 세트의 트레이닝된 출력 시계열 데이터를 생성하기 위해, 사양 내에 있는 상기 제3 프로세싱 챔버의 하나 이상의 센서들의 제3 세트의 입력 시계열 데이터를 상기 뉴럴 네트워크에 피딩하는 단계;
    상기 제3 세트의 입력 시계열 데이터와 상기 대응하는 제3 세트의 트레이닝된 출력 시계열 데이터 사이의 제3 오차를 계산하는 단계;
    대응하는 제4 세트의 출력 시계열 데이터를 생성하기 위해, 시험 중인 상기 제4 프로세싱 챔버와 연관된 대응하는 하나 이상의 센서들로부터의 제4 세트의 입력 시계열 데이터를 상기 트레이닝된 뉴럴 네트워크에 피딩하는 단계;
    상기 제4 세트의 입력 시계열 데이터와 상기 대응하는 제4 세트의 출력 시계열 데이터 사이의 제4 오차를 계산하는 단계; 및
    상기 제2 오차와 상기 제4 오차의 제1 합과, 상기 제1 오차와 상기 제3 오차의 제2 합 사이의 차이가 제2 임계량 이상인 것에 응답하여, 시험 중인 상기 제2 프로세싱 챔버 및 시험 중인 상기 제4 프로세싱 챔버는 사양들 내에 있는 상기 제1 프로세싱 챔버 및 사양들 내에 있는 상기 제3 프로세싱 챔버와 미스매칭한다고 선언하는 단계
    를 더 포함하는,
    컴퓨팅 시스템.
  15. 제14 항에 있어서,
    상기 방법은, 상기 제2 오차와 상기 제4 오차의 상기 제1 합과, 상기 제1 오차와 상기 제3 오차의 상기 제2 합 사이의 차이가 상기 제2 임계량보다 작다는 것에 응답하여, 시험 중인 상기 제2 프로세싱 챔버 및 시험 중인 상기 제4 프로세싱 챔버는, 각각, 사양들 내에 있는 상기 제1 프로세싱 챔버 및 사양들 내에 있는 상기 제3 프로세싱 챔버와 매칭한다고 선언하는 단계를 더 포함하는,
    컴퓨팅 시스템.
KR1020217027125A 2019-01-29 2020-01-06 반도체 장비 툴들에서 뉴럴 네트워크들을 이용한 챔버 매칭 KR20210109662A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/261,041 2019-01-29
US16/261,041 US11133204B2 (en) 2019-01-29 2019-01-29 Chamber matching with neural networks in semiconductor equipment tools
PCT/US2020/012416 WO2020159673A1 (en) 2019-01-29 2020-01-06 Chamber matching with neural networks in semiconductor equipment tools

Publications (1)

Publication Number Publication Date
KR20210109662A true KR20210109662A (ko) 2021-09-06

Family

ID=71732578

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217027125A KR20210109662A (ko) 2019-01-29 2020-01-06 반도체 장비 툴들에서 뉴럴 네트워크들을 이용한 챔버 매칭

Country Status (6)

Country Link
US (1) US11133204B2 (ko)
JP (1) JP7200387B2 (ko)
KR (1) KR20210109662A (ko)
CN (1) CN113330463A (ko)
TW (1) TWI822939B (ko)
WO (1) WO2020159673A1 (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020055555A1 (en) * 2018-09-12 2020-03-19 Applied Materials, Inc. Deep auto-encoder for equipment health monitoring and fault detection in semiconductor and display process equipment tools
US11892938B2 (en) * 2020-03-16 2024-02-06 International Business Machines Corporation Correlation and root cause analysis of trace data using an unsupervised autoencoder
US20210352835A1 (en) * 2020-05-05 2021-11-11 Integrated Dynamics Engineering Gmbh Method for processing substrates, in particular wafers, masks or flat panel displays, with a semi-conductor industry machine
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
KR20230124043A (ko) * 2020-12-21 2023-08-24 램 리써치 코포레이션 반도체 제작 장비의 프로세스 제어를 위한 적응형 모델 트레이닝 (adaptive model training)
US11709477B2 (en) 2021-01-06 2023-07-25 Applied Materials, Inc. Autonomous substrate processing system
TWI819318B (zh) * 2021-06-17 2023-10-21 台達電子工業股份有限公司 機台監控裝置以及方法
JP7282837B2 (ja) * 2021-07-20 2023-05-29 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US20230185255A1 (en) * 2021-12-14 2023-06-15 Applied Materials, Inc. Post preventative maintenance chamber condition monitoring and simulation
US20230236569A1 (en) * 2022-01-25 2023-07-27 Applied Materials, Inc. Estimation of chamber component conditions using substrate measurements
US20230257900A1 (en) * 2022-02-11 2023-08-17 Applied Materials, Inc. Parameter adjustment model for semiconductor processing chambers
WO2024024631A1 (ja) * 2022-07-26 2024-02-01 東京エレクトロン株式会社 評価装置、評価方法及びコンピュータプログラム

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7062411B2 (en) * 2003-06-11 2006-06-13 Scientific Systems Research Limited Method for process control of semiconductor manufacturing equipment
TWI222549B (en) 2003-07-04 2004-10-21 Benq Corp Projector
US7624003B2 (en) 2005-01-10 2009-11-24 Applied Materials, Inc. Split-phase chamber modeling for chamber matching and fault detection
US20070249071A1 (en) 2006-04-21 2007-10-25 Lei Lian Neural Network Methods and Apparatuses for Monitoring Substrate Processing
US7813895B2 (en) 2007-07-27 2010-10-12 Applied Materials, Inc. Methods for plasma matching between different chambers and plasma stability monitoring and control
US8725667B2 (en) * 2008-03-08 2014-05-13 Tokyo Electron Limited Method and system for detection of tool performance degradation and mismatch
KR101094598B1 (ko) 2010-05-13 2011-12-15 세종대학교산학협력단 플라즈마 챔버 감시 장치 및 그 방법
US8723869B2 (en) * 2011-03-21 2014-05-13 Tokyo Electron Limited Biologically based chamber matching
US20140100806A1 (en) * 2012-10-04 2014-04-10 Globalfoundries Inc. Method and apparatus for matching tools based on time trace data
US10118532B2 (en) 2016-07-14 2018-11-06 Dejana Truck And Equipment Reel handler
US9972478B2 (en) * 2016-09-16 2018-05-15 Lam Research Corporation Method and process of implementing machine learning in complex multivariate wafer processing equipment
JP6545728B2 (ja) * 2017-01-11 2019-07-17 株式会社東芝 異常検知装置、異常検知方法、および異常検知プログラム
JP6823501B2 (ja) * 2017-03-03 2021-02-03 日本電信電話株式会社 異常検知装置、異常検知方法及びプログラム
JP6625098B2 (ja) * 2017-07-20 2019-12-25 株式会社Kokusai Electric 基板処理システム、半導体装置の製造方法およびプログラム
US10747210B2 (en) * 2017-09-11 2020-08-18 Lam Research Corporation System and method for automating user interaction for semiconductor manufacturing equipment
WO2020055555A1 (en) * 2018-09-12 2020-03-19 Applied Materials, Inc. Deep auto-encoder for equipment health monitoring and fault detection in semiconductor and display process equipment tools

Also Published As

Publication number Publication date
TWI822939B (zh) 2023-11-21
US20200243359A1 (en) 2020-07-30
JP7200387B2 (ja) 2023-01-06
JP2022519348A (ja) 2022-03-23
WO2020159673A1 (en) 2020-08-06
TW202044454A (zh) 2020-12-01
CN113330463A (zh) 2021-08-31
US11133204B2 (en) 2021-09-28

Similar Documents

Publication Publication Date Title
US11133204B2 (en) Chamber matching with neural networks in semiconductor equipment tools
US11948061B2 (en) Deep auto-encoder for equipment health monitoring and fault detection in semiconductor and display process equipment tools
US10409231B2 (en) Methods and apparatuses for utilizing adaptive predictive algorithms and determining when to use the adaptive predictive algorithms for virtual metrology
Kang et al. Virtual metrology for run-to-run control in semiconductor manufacturing
US11126172B2 (en) Methods and systems for applying run-to-run control and virtual metrology to reduce equipment recovery time
KR20230104540A (ko) 반도체 제작 장비를 위한 예측 유지 보수
US20190198405A1 (en) Statistical framework for tool chamber matching in semiconductor manufacturing processes
US20230195071A1 (en) Methods and mechanisms for generating a data collection plan for a semiconductor manufacturing system
Tin et al. A realizable overlay virtual metrology system in semiconductor manufacturing: Proposal, challenges and future perspective
WO2019240019A1 (ja) 異常解析装置、製造システム、異常解析方法及びプログラム
KR102623389B1 (ko) 센서 데이터에 기반한 복수의 제조 공정 장비 간 정합성검사 방법, 장치 및 프로그램
WO2023114075A1 (en) Post preventative maintenance chamber condition monitoring and simulation
US11487848B2 (en) Process abnormality identification using measurement violation analysis
US20230222394A1 (en) Predictive modeling for chamber condition monitoring
KR102623390B1 (ko) 장비 이상 탐지 모델의 정확도를 유지하기 위한 방법,장치 및 프로그램
US20230260767A1 (en) Process control knob estimation
US20230306281A1 (en) Machine learning model generation and updating for manufacturing equipment
Lee et al. Double bagging trees with weighted sampling for predictive maintenance and management of etching equipment
CN116340854A (zh) 一种基于图注意力机制确定异常的方法
WO2021074800A1 (en) Model management for non-stationary systems
CN116383745A (zh) 一种基于图结构学习确定异常的方法