KR20210082272A - Three-dimensional memory die including stress-compensating slit trench structures or stress-absorbing seal ring structures and method of manufacturing the same - Google Patents

Three-dimensional memory die including stress-compensating slit trench structures or stress-absorbing seal ring structures and method of manufacturing the same Download PDF

Info

Publication number
KR20210082272A
KR20210082272A KR1020217019755A KR20217019755A KR20210082272A KR 20210082272 A KR20210082272 A KR 20210082272A KR 1020217019755 A KR1020217019755 A KR 1020217019755A KR 20217019755 A KR20217019755 A KR 20217019755A KR 20210082272 A KR20210082272 A KR 20210082272A
Authority
KR
South Korea
Prior art keywords
seal ring
structures
layers
layer
dielectric
Prior art date
Application number
KR1020217019755A
Other languages
Korean (ko)
Other versions
KR102591927B1 (en
Inventor
카즈마 시마모토
타꾸지 오누마
Original Assignee
샌디스크 테크놀로지스 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/594,892 external-priority patent/US11289429B2/en
Priority claimed from US16/594,959 external-priority patent/US11069631B2/en
Application filed by 샌디스크 테크놀로지스 엘엘씨 filed Critical 샌디스크 테크놀로지스 엘엘씨
Publication of KR20210082272A publication Critical patent/KR20210082272A/en
Application granted granted Critical
Publication of KR102591927B1 publication Critical patent/KR102591927B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/585Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries comprising conductive layers or plates or strips or rods or rings
    • H01L27/11582
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • H01L27/11524
    • H01L27/11529
    • H01L27/11556
    • H01L27/1157
    • H01L27/11573
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/10Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/41Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region of a memory region comprising a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/50Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the boundary region between the core region and the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/10EEPROM devices comprising charge-trapping gate insulators characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)

Abstract

반도체 다이는 기판 위에 위치된 절연 층들 및 전기 전도성 층들의 복수의 교번하는 스택들, 및 복수의 교번하는 스택들을 통해 연장되는 메모리 스택 구조물들을 포함한다. 복수의 슬릿 트렌치 충전 구조물들이 복수의 교번하는 스택들의 적어도 2개의 이웃하는 교번하는 스택들의 세트보다 수평 방향을 따라 더 멀리 측방향으로 연장될 수 있다. 각각의 분할기 트렌치 충전 구조물 및 각각의 슬릿 트렌치 충전 구조물은 동일한 재료 조성을 갖는 적어도 하나의 재료 부분의 각자의 세트를 가질 수 있다. 또한, 제1 시일 링 폭을 갖는 제1 시일 링 구조물, 및 제2 시일 링 폭을 갖는 제2 시일 링 구조물을 포함하여, 제1 시일 링 폭이 제2 시일 링 폭보다 작은 복수의 네스팅된 시일 링 구조물들이 제공될 수 있다.The semiconductor die includes a plurality of alternating stacks of insulating and electrically conductive layers positioned over a substrate, and memory stack structures extending through the plurality of alternating stacks. The plurality of slit trench fill structures may laterally extend further along the horizontal direction than a set of at least two neighboring alternating stacks of the plurality of alternating stacks. Each divider trench fill structure and each slit trench fill structure may have a respective set of at least one material portion having the same material composition. Further, a plurality of nested nested structures comprising a first seal ring structure having a first seal ring width, and a second seal ring structure having a second seal ring width, wherein the first seal ring width is less than the second seal ring width. Seal ring structures may be provided.

Description

응력-보상 슬릿 트렌치 구조물들 또는 응력-흡수 시일 링 구조물들을 포함하는 3차원 메모리 다이 및 이의 제조 방법Three-dimensional memory die including stress-compensating slit trench structures or stress-absorbing seal ring structures and method of manufacturing the same

관련 출원Related applications

본 출원은 2019년 10월 7일자로 출원된 미국 출원 제16/594,892호 및 2019년 10월 7일자로 출원된 미국 출원 일련번호 제16/594,959호로부터의 우선권의 이익을 주장한다.This application claims priority from U.S. Application Serial No. 16/594,892, filed October 7, 2019, and U.S. Application Serial No. 16/594,959, filed October 7, 2019.

기술분야technical field

본 개시내용은 일반적으로 반도체 디바이스들의 분야에 관한 것이며, 특히 웨이퍼 휨을 감소시키기 위한 응력-보상 슬릿 트렌치 구조물들 또는 응력-흡수 시일 링 구조물들을 포함하는 3차원 메모리 다이들 및 이를 형성하기 위한 방법에 관한 것이다.TECHNICAL FIELD The present disclosure relates generally to the field of semiconductor devices, and more particularly to three-dimensional memory dies including stress-compensating slit trench structures or stress-absorbing seal ring structures for reducing wafer warpage and methods for forming the same. will be.

셀당 1 비트를 갖는 3차원 수직 NAND 스트링들을 포함하는 3차원 메모리 디바이스가 T. Endoh 등에 의한 다음 제목의 논문에 개시되어 있다: "Novel Ultra High Density Memory With A Stacked-Surrounding Gate Transistor (S-SGT) Structured Cell", IEDM Proc. (2001) 33-36.A three-dimensional memory device comprising three-dimensional vertical NAND strings with one bit per cell is disclosed in a paper by T. Endoh et al. entitled: "Novel Ultra High Density Memory With A Stacked-Surrounding Gate Transistor (S-SGT) Structured Cell", IEDM Proc. (2001) 33-36.

본 개시내용의 일 실시예에 따르면, 반도체 다이가 제공되며, 이 반도체 다이는, 기판 위에 위치되고 제1 수평 방향을 따라 측방향으로 연장되는 복수의 분할기 트렌치 충전 구조물들에 의해 측방향으로 이격된 절연 층들 및 전기 전도성 층들의 복수의 교번하는 스택들 - 복수의 교번하는 스택들 및 복수의 분할기 트렌치 충전 구조물들은 제1 수평 방향에 수직인 제2 수평 방향을 따라 교번하여 인터레이싱됨 -; 메모리 스택 구조물들의 복수의 세트들 - 메모리 스택 구조물들의 각각의 세트는 복수의 교번하는 스택들의 각자의 교번하는 스택을 통해 수직으로 연장되고, 메모리 스택 구조물들 각각은 각자의 수직 반도체 채널 및 각자의 메모리 필름을 포함함 -; 및 복수의 교번하는 스택들의 적어도 2개의 이웃하는 교번하는 스택들의 세트의 제2 수평 방향을 따른 측방향 범위보다 큰 측방향 거리만큼 제2 수평 방향을 따라 측방향으로 연장되는 복수의 슬릿 트렌치 충전 구조물들을 포함하고, 복수의 분할기 트렌치 충전 구조물들 각각 및 복수의 슬릿 트렌치 충전 구조물들 각각은 동일한 재료 조성을 갖는 적어도 하나의 재료 부분의 각자의 세트를 포함한다.According to one embodiment of the present disclosure, a semiconductor die is provided, the semiconductor die being laterally spaced apart by a plurality of divider trench fill structures positioned over a substrate and extending laterally along a first horizontal direction. a plurality of alternating stacks of insulating layers and electrically conductive layers, wherein the plurality of alternating stacks and the plurality of divider trench fill structures are alternately interlaced along a second horizontal direction perpendicular to the first horizontal direction; a plurality of sets of memory stack structures, each set of memory stack structures extending vertically through a respective alternating stack of the plurality of alternating stacks, each of the memory stack structures having a respective vertical semiconductor channel and a respective memory including film -; and a plurality of slit trench fill structures extending laterally along the second horizontal direction by a lateral distance greater than a lateral extent along the second horizontal direction of at least two neighboring sets of alternating stacks of the plurality of alternating stacks. wherein each of the plurality of divider trench fill structures and each of the plurality of slit trench fill structures comprises a respective set of at least one material portion having the same material composition.

본 개시내용의 다른 실시예에 따르면, 반도체 구조물을 형성하는 방법이 제공되며, 이 방법은, 기판 위에 연속적인 절연 층들 및 연속적인 희생 재료 층들의 수직으로 교번하는 시퀀스를 형성하는 단계; 메모리 스택 구조물들의 복수의 세트들을 형성하는 단계 - 메모리 스택 구조물들의 각각의 세트는 수직으로 교번하는 시퀀스의 각자의 영역을 통해 수직으로 연장되고, 메모리 스택 구조물들 각각은 각자의 수직 반도체 채널 및 각자의 메모리 필름을 포함함 -; 분할기 트렌치들 및 슬릿 트렌치들을 형성하는 단계 - 분할기 트렌치들은 제1 수평 방향을 따라 측방향으로 연장되고, 수직으로 교번하는 시퀀스를 절연 층들 및 희생 재료 층들의 복수의 교번하는 스택들로 분할하고, 슬릿 트렌치들은 제1 수평 방향에 수직인 제2 수평 방향을 따라 측방향으로 연장됨 -; 복수의 교번하는 스택들 내의 희생 재료 층들을, 희생 재료 층들을 에칭하는 에천트 및 전기 전도성 층들의 전도성 재료를 침착하는 반응물에 대한 도관으로서 분할기 트렌치들을 이용하는 전기 전도성 층들로 대체하는 단계; 및 분할기 트렌치들 및 슬릿 트렌치들 각각에 하나 이상의 재료의 세트를 침착하는 단계를 포함하고, 복수의 분할기 트렌치 충전 구조물들이 분할기 트렌치들 내에 형성되고, 복수의 슬릿 트렌치 충전 구조물들이 슬릿 트렌치들 내에 형성된다.According to another embodiment of the present disclosure, a method of forming a semiconductor structure is provided, the method comprising: forming a vertically alternating sequence of successive insulating layers and successive sacrificial material layers over a substrate; forming a plurality of sets of memory stack structures, each set of memory stack structures extending vertically through a respective region in a vertically alternating sequence, each of the memory stack structures having a respective vertical semiconductor channel and a respective respective vertical semiconductor channel including memory film -; forming divider trenches and slit trenches, the divider trenches extending laterally along a first horizontal direction, dividing the vertically alternating sequence into a plurality of alternating stacks of insulating layers and sacrificial material layers, the slit the trenches extend laterally along a second horizontal direction perpendicular to the first horizontal direction; replacing the sacrificial material layers in the plurality of alternating stacks with electrically conductive layers using divider trenches as conduits for an etchant that etches the sacrificial material layers and a reactant that deposits the conductive material of the electrically conductive layers; and depositing one or more sets of material in each of the divider trenches and the slit trenches, wherein a plurality of divider trench fill structures are formed in the divider trenches and a plurality of slit trench fill structures are formed in the slit trenches. .

본 개시내용의 일 실시예에 따르면, 반도체 다이는 기판 위에 위치되고 제1 수평 방향을 따라 측방향으로 연장되고 제1 수평 방향에 수직인 제2 수평 방향을 따라 측방향으로 이격되는 절연 층들 및 전기 전도성 층들의 복수의 교번하는 스택들, 복수의 교번하는 스택들을 통해 연장되는 메모리 스택 구조물들의 복수의 세트들, 및 복수의 네스팅된 시일 링 구조물들을 포함하고, 복수의 네스팅된 시일 링 구조물들은, 내측 측벽과 외측 측벽 사이의 제1 시일 링 폭을 갖는 제1 시일 링 구조물, 및 제1 시일 링 폭이 제2 시일 링 폭보다 작도록 내측 측벽과 외측 측벽 사이의 제2 시일 링 폭을 갖는 제2 시일 링 구조물을 포함한다.In accordance with one embodiment of the present disclosure, a semiconductor die is disposed over a substrate and has electrical and insulating layers extending laterally along a first horizontal direction and laterally spaced apart along a second horizontal direction perpendicular to the first horizontal direction. a plurality of alternating stacks of conductive layers, a plurality of sets of memory stack structures extending through the plurality of alternating stacks, and a plurality of nested seal ring structures, the plurality of nested seal ring structures comprising: , a first seal ring structure having a first seal ring width between the inner sidewall and the outer sidewall, and a second seal ring width between the inner sidewall and the outer sidewall such that the first seal ring width is less than the second seal ring width and a second seal ring structure.

본 개시내용의 다른 실시예에 따르면, 반도체 구조물을 형성하는 방법이 제공되며, 이 방법은, 기판 위에 연속적인 절연 층들 및 연속적인 희생 재료 층들의 수직으로 교번하는 시퀀스를 형성하는 단계; 수직으로 교번하는 시퀀스의 각자의 영역을 통해 연장되는 메모리 스택 구조물들의 복수의 세트들을 형성하는 단계; 수직으로 교번하는 시퀀스를 통해 제1 수평 방향을 따라 측방향으로 연장되는 배면 트렌치들을 형성함으로써 수직으로 교번하는 시퀀스를 절연 층들 및 희생 재료 층들의 복수의 교번하는 스택들로 분할하는 단계; 희생 재료 층들을, 희생 재료 층들을 에칭하는 에천트 및 전기 전도성 층들의 전도성 재료를 침착하는 반응물에 대한 도관으로서 배면 트렌치들을 이용하는 전기 전도성 층들로 대체하는 단계; 절연 층들 및 전기 전도성 층들 위에 유전체 재료 부분들을 형성하는 단계; 및 유전체 재료 부분들의 최상부 표면으로부터 기판까지 연장되고, 교번하는 스택들 및 유전체 재료 부분들의 내측 영역을 측방향으로 둘러싸고 봉입(enclosing)하는 복수의 네스팅된 시일 링 구조물들을 형성하는 단계를 포함하고, 복수의 네스팅된 시일 링 구조물들은, 내측 측벽과 외측 측벽 사이의 제1 시일 링 폭을 갖는 제1 시일 링 구조물; 및 제1 시일 링 구조물을 측방향으로 봉입하거나 제1 시일 링 구조물에 의해 측방향으로 봉입되고, 내측 측벽과 외측 측벽 사이의 제2 시일 링 폭을 갖는 제2 시일 링 구조물을 포함하고, 제1 시일 링 폭은 제2 시일 링 폭보다 작다.According to another embodiment of the present disclosure, a method of forming a semiconductor structure is provided, the method comprising: forming a vertically alternating sequence of successive insulating layers and successive sacrificial material layers over a substrate; forming a plurality of sets of memory stack structures extending through respective regions of a vertically alternating sequence; dividing the vertically alternating sequence into a plurality of alternating stacks of insulating layers and sacrificial material layers by forming backside trenches extending laterally along a first horizontal direction through the vertically alternating sequence; replacing the sacrificial material layers with electrically conductive layers using the backside trenches as conduits for an etchant that etches the sacrificial material layers and a reactant that deposits the conductive material of the electrically conductive layers; forming portions of dielectric material over the insulating layers and the electrically conductive layers; and forming a plurality of nested seal ring structures extending from a top surface of the dielectric material portions to the substrate and laterally surrounding and enclosing the alternating stacks and inner regions of the dielectric material portions; The plurality of nested seal ring structures comprises: a first seal ring structure having a first seal ring width between an inner sidewall and an outer sidewall; and a second seal ring structure laterally enclosing or laterally enclosed by the first seal ring structure, the second seal ring structure having a second seal ring width between the inner sidewall and the outer sidewall; The seal ring width is less than the second seal ring width.

도 1a는 본 개시내용의 실시예에 따른, 다양한 도핑된 반도체 영역들, 전계 효과 트랜지스터들, 평탄화 유전체 층, 에칭 정지 유전체 층, 및 희생 비아 구조물들의 형성 후에 반도체 다이를 형성하기 위한 제1 예시적인 구조물의 영역의 수직 단면도이다.
도 1b는 도 1a의 제1 예시적인 구조물의 단위 다이 영역의 평면도이다.
도 2는 본 개시내용의 일 실시예에 따른, 제1 절연 층들 및 제1 스페이서 재료 층들의 제1-티어의 교번하는 스택의 형성 이후 및 제1-티어 계단형 영역을 패턴화한 후의 제1 예시적인 구조물의 영역의 수직 단면도이다.
도 3은 본 개시내용의 일 실시예에 따른, 제1 역-계단형 유전체 재료 부분 및 인터-티어 유전체 층의 형성 후의 제1 예시적인 구조물의 영역의 수직 단면도이다.
도 4a는 본 개시내용의 일 실시예에 따른, 제1-티어 메모리 개구들 및 제1-티어 지지 개구들의 형성 후의 제1 예시적인 구조물의 영역의 수직 단면도이다.
도 4b는 도 4a의 제1 예시적인 구조물의 영역의 수평 단면도이다. 힌지된 수직 평면 A - A'는 도 4a의 수직 단면도의 평면에 대응한다.
도 5는 본 개시내용의 일 실시예에 따른, 다양한 희생 충전 구조물들의 형성 후의 제1 예시적인 구조물의 영역의 수직 단면도이다.
도 6은 본 개시내용의 일 실시예에 따른, 제2 절연 층들 및 제2 스페이서 재료 층들, 제2 계단형 표면들 및 제2 계단형 유전체 재료 부분의 제2-티어의 교번하는 스택의 형성 후의 제1 예시적인 구조의 영역의 수직 단면도이다.
도 7a는 본 개시내용의 일 실시예에 따른, 제2-티어 메모리 개구들 및 제2-티어 지지 개구들의 형성 후의 제1 예시적인 구조물의 영역의 수직 단면도이다.
도 7b는 도 7a의 수평 평면 B - B'를 따른 제1 예시적인 구조물의 영역의 수평 단면이다. 힌지된 수직 평면 A - A'는 도 7a의 수직 단면도의 평면에 대응한다.
도 8은 본 개시내용의 일 실시예에 따른, 인터-티어 메모리 개구들 및 인터-티어 지지 개구들의 형성 후의 제1 예시적인 구조물의 영역의 수직 단면도이다.
도 9a 내지 도 9d는 본 개시내용의 일 실시예에 따른, 메모리 개구 충전 구조물의 형성 동안의 메모리 개구의 순차적인 수직 단면도들을 예시한다.
도 10은 본 개시내용의 일 실시예에 따른, 메모리 개구 충전 구조물들 및 지지 기둥 구조물들의 형성 후의 제1 예시적인 구조물의 영역의 수직 단면도이다.
도 11a는 본 개시내용의 일 실시예에 따른, 접촉 레벨 유전체 층 및 분할기 트렌치들의 형성 후의 제1 예시적인 구조물의 영역의 수직 단면도이다.
도 11b는 도 11a의 수평 평면 B - B'를 따른 제1 예시적인 구조물의 영역의 수평 단면이다. 힌지된 수직 평면 A - A'는 도 11a의 수직 단면도의 평면에 대응한다.
도 11c는 도 11a 내지 도 11d의 제1 예시적인 구조물의 단위 다이 영역의 평면도이다. 힌지된 수직 평면 A - A'는 도 11a의 수직 단면도의 평면에 대응한다.
도 11d는 도 11c의 수직 평면 D - D'를 따른 제1 예시적인 구조물의 수직 단면도이다.
도 11e는 도 11a 내지 도 11d의 제1 예시적인 구조물의 제1 대안적인 실시예의 단위 다이 영역의 평면도이다.
도 11f는 도 11a 내지 도 11d의 제1 예시적인 구조물의 제2 대안적인 실시예의 단위 다이 영역의 평면도이다.
도 12는 본 개시내용의 일 실시예에 따른 배면 리세스들의 형성 후의 제1 예시적인 구조물의 영역의 수직 단면도이다.
도 13a는 본 개시내용의 일 실시예에 따른 전기 전도성 층들의 형성 후의 제1 예시적인 구조물의 영역의 수직 단면도이다.
도 13b는 도 13a의 수평 평면 B - B'를 따른 제1 예시적인 구조물의 영역의 수평 단면이다. 힌지된 수직 평면 A - A'는 도 13a의 수직 단면도의 평면에 대응한다.
도 14a는 본 개시내용의 일 실시예에 따른, 분할기 트렌치 충전 구조물들 및 슬릿 트렌치 충전 구조물들의 형성 후의 제1 예시적인 구조물의 영역의 수직 단면도이다.
도 14b는 도 14a의 수평 평면 B - B'를 따른 제1 예시적인 구조물의 영역의 수평 단면이다. 힌지된 수직 평면 A - A'는 도 14a의 수직 단면도의 평면에 대응한다.
도 14c는 도 14b의 수직 평면 C - C'를 따른 제1 예시적인 구조물의 수직 단면도이다.
도 14d는 도 14a 내지 도 14c의 제1 예시적인 구조물의 단위 다이 영역의 평면도이다.
도 14e는 도 14d의 수직 평면 E - E'를 따른 제1 예시적인 구조물의 수직 단면도이다. 힌지된 수직 평면 A - A'는 도 14a의 수직 단면도의 평면에 대응한다.
도 14f는 도 14a 내지 도 14c의 제1 예시적인 구조물의 제1 대안적인 실시예의 단위 다이 영역의 평면도이다.
도 14g는 도 14a 내지 도 14c의 제1 예시적인 구조물의 제2 대안적인 실시예의 단위 다이 영역의 평면도이다.
도 15a는 본 개시내용의 일 실시예에 따른, 주변 디바이스 접촉 비아 구조물들의 형성 후의 제1 예시적인 구조물의 영역의 수직 단면도이다.
도 15b는 도 15a의 제1 예시적인 구조물의 영역의 수평 단면도이다.
도 16a는 본 개시내용의 일 실시예에 따른, 시일 링 구조물의 형성 후의 제1 예시적인 구조물의 단위 다이 영역의 평면도이다.
도 16b는 본 개시내용의 일 실시예에 따른, 시일 링 구조물의 형성 후의 제1 예시적인 구조물의 제1 대안적인 실시예의 단위 다이 영역의 평면도이다.
도 16c는 본 개시내용의 일 실시예에 따른, 시일 링 구조물의 형성 후의 제1 예시적인 구조물의 제2 대안적인 실시예의 단위 다이 영역의 평면도이다.
도 16d는 도 16c의 제1 예시적인 구조물의 제2 대안적인 실시예의 수직 단면도이다.
도 17a는 본 개시내용의 실시예에 따른, 다양한 도핑된 반도체 영역들, 전계 효과 트랜지스터들, 평탄화 유전체 층, 에칭 정지 유전체 층, 및 희생 비아 구조물들의 형성 후에 반도체 다이를 형성하기 위한 제2 예시적인 구조물의 영역의 수직 단면도이다.
도 17b는 도 17a의 제2 예시적인 구조물의 단위 다이 영역의 평면도이다.
도 18은 본 개시내용의 일 실시예에 따른, 제1 절연 층들 및 제1 스페이서 재료 층들의 제1-티어의 교번하는 스택의 형성 이후 및 제1-티어 계단형 영역을 패턴화한 후의 제2 예시적인 구조물의 영역의 수직 단면도이다.
도 19는 본 개시내용의 일 실시예에 따른, 제1 역-계단형 유전체 재료 부분 및 인터-티어 유전체 층의 형성 후의 제2 예시적인 구조물의 영역의 수직 단면도이다.
도 20a는 본 개시내용의 일 실시예에 따른, 제1-티어 메모리 개구들 및 제1-티어 지지 개구들의 형성 후의 제2 예시적인 구조물의 영역의 수직 단면도이다.
도 20b는 도 20a의 제2 예시적인 구조물의 영역의 수평 단면도이다. 힌지된 수직 평면 A - A'는 도 20a의 수직 단면도의 평면에 대응한다.
도 21은 본 개시내용의 일 실시예에 따른, 다양한 희생 충전 구조물들의 형성 후의 제2 예시적인 구조물의 영역의 수직 단면도이다.
도 22는 본 개시내용의 일 실시예에 따른, 제2 절연 층들 및 제2 스페이서 재료 층들, 제2 계단형 표면들 및 제2 계단형 유전체 재료 부분의 제2-티어의 교번하는 스택의 형성 후의 제2 예시적인 구조의 영역의 수직 단면도이다.
도 23a는 본 개시내용의 일 실시예에 따른, 제2-티어 메모리 개구들 및 제2-티어 지지 개구들의 형성 후의 제2 예시적인 구조물의 영역의 수직 단면도이다.
도 23b는 도 23a의 수평 평면 B - B'를 따른 제2 예시적인 구조물의 영역의 수평 단면이다. 힌지된 수직 평면 A - A'는 도 23a의 수직 단면도의 평면에 대응한다.
도 24는 본 개시내용의 일 실시예에 따른, 인터-티어 메모리 개구들 및 인터-티어 지지 개구들의 형성 후의 제2 예시적인 구조물의 영역의 수직 단면도이다.
도 25a 내지 도 25d는 본 개시내용의 일 실시예에 따른, 메모리 개구 충전 구조물의 형성 동안의 메모리 개구의 순차적인 수직 단면도들을 예시한다.
도 26은 본 개시내용의 일 실시예에 따른, 메모리 개구 충전 구조물들 및 지지 기둥 구조물들의 형성 후의 제2 예시적인 구조물의 영역의 수직 단면도이다.
도 27a는 본 개시내용의 일 실시예에 따른, 접촉 제1 레벨 유전체 층 및 배면 트렌치들의 형성 후의 제2 예시적인 구조물의 영역의 수직 단면도이다.
도 27b는 도 27a의 수평 평면 B - B'를 따른 제2 예시적인 구조물의 영역의 수평 단면이다. 힌지된 수직 평면 A - A'는 도 27a의 수직 단면도의 평면에 대응한다.
도 27c는 도 27a 및 도 27b의 제2 예시적인 구조물의 단위 다이 영역의 평면도이다. 힌지된 수직 평면 A - A'는 도 27a의 수직 단면도의 평면에 대응한다.
도 28은 본 개시내용의 일 실시예에 따른 배면 리세스들의 형성 후의 제2 예시적인 구조물의 영역의 수직 단면도이다.
도 29a는 본 개시내용의 일 실시예에 따른 전기 전도성 층들의 형성 후의 제2 예시적인 구조물의 영역의 수직 단면도이다.
도 29b는 도 29a의 수평 평면 B - B'를 따른 제2 예시적인 구조물의 영역의 수평 단면이다. 힌지된 수직 평면 A - A'는 도 29a의 수직 단면도의 평면에 대응한다.
도 30a는 본 개시내용의 일 실시예에 따른, 배면 트렌치 충전 구조물들 및 슬릿 트렌치 충전 구조물들의 형성 후의 제2 예시적인 구조물의 영역의 수직 단면도이다.
도 30b는 도 30a의 수평 평면 B - B'를 따른 제2 예시적인 구조물의 영역의 수평 단면이다. 힌지된 수직 평면 A - A'는 도 30a의 수직 단면도의 평면에 대응한다.
도 30c는 도 30b의 수직 평면 C - C'를 따른 제2 예시적인 구조물의 수직 단면도이다.
도 30d는 도 30a 내지 도 30c의 제2 예시적인 구조물의 단위 다이 영역의 평면도이다.
도 31은 본 개시내용의 일 실시예에 따른, 관통 메모리 레벨 접촉 비아 구조물들, 비트 라인 레벨 유전체 층, 및 비트 라인 레벨 금속 상호접속부 구조물들의 형성 후의 제2 예시적인 구조물의 영역의 수직 단면도이다.
도 32는 본 개시내용의 일 실시예에 따른, 상부 유전체 재료 층들 및 상부 금속 상호접속부 구조물들의 형성 후의 제2 예시적인 구조물의 영역의 수직 단면도이다.
도 33a는 본 개시내용의 일 실시예에 따른 시일 링 공동들의 형성 후의 제2 예시적인 구조물의 영역의 제1 수직 단면도이다.
도 33b는 본 개시내용의 일 실시예에 따른 시일 링 공동들의 형성 후의 제2 예시적인 구조물의 영역의 제2 수직 단면도이다.
도 33c는 본 개시내용의 일 실시예에 따른, 시일 링 공동들의 형성 후의 제2 예시적인 구조물의 단위 다이 영역의 평면도이다. 수직 평면들 A - A 및 B - B는 각각 도 33a 및 도 33b의 수직 단면도의 평면들에 대응한다.
도 33d는 도 33c의 영역 D의 확대도이다.
도 33e는 본 개시내용의 일 실시예에 따른, 시일 링 공동들의 형성 후의 제2 예시적인 구조물의 대안적인 구성의 단위 다이 영역의 평면도이다.
도 33e는 도 33d의 영역 F의 확대도이다.
도 34a는 본 개시내용의 일 실시예에 따른 시일 링 구조물들의 형성 후의 제2 예시적인 구조물의 영역의 수직 단면도이다.
도 34b는 본 개시내용의 일 실시예에 따른, 시일 링 구조물들의 형성 후의 제2 예시적인 구조물의 단위 다이 영역의 평면도이다.
도 34c는 도 34b의 영역 C의 확대도이다.
도 34d는 본 개시내용의 일 실시예에 따른, 시일 링 구조물들의 형성 후의 제2 예시적인 구조물의 대안적인 구성의 단위 다이 영역의 평면도이다.
도 34e는 도 34d의 영역 E의 확대도이다.
도 35는 본 개시내용의 일 실시예에 따른 유전체 패시베이션 층의 형성 후의 제2 예시적인 구조물의 영역의 수직 단면도이다.
도 36은 본 개시내용의 일 실시예에 따른 접합 패드들의 형성 후의 제2 예시적인 구조물의 영역의 수직 단면도이다.
1A is a first exemplary diagram for forming a semiconductor die after formation of various doped semiconductor regions, field effect transistors, a planarization dielectric layer, an etch stop dielectric layer, and sacrificial via structures, in accordance with an embodiment of the present disclosure; A vertical cross-sectional view of an area of a structure.
1B is a plan view of a unit die area of the first exemplary structure of FIG. 1A ;
2 is a first diagram after formation of an alternating stack of first-tiers of first insulating layers and first spacer material layers and after patterning the first-tier stepped region, in accordance with one embodiment of the present disclosure; A vertical cross-sectional view of an area of an exemplary structure.
3 is a vertical cross-sectional view of an area of a first exemplary structure after formation of a first reverse-stepped dielectric material portion and an inter-tier dielectric layer, in accordance with an embodiment of the present disclosure.
4A is a vertical cross-sectional view of an area of a first exemplary structure after formation of first-tier memory openings and first-tier support openings, in accordance with one embodiment of the present disclosure.
4B is a horizontal cross-sectional view of an area of the first exemplary structure of FIG. 4A ; The hinged vertical plane A - A' corresponds to the plane of the vertical cross-sectional view of FIG. 4A .
5 is a vertical cross-sectional view of an area of a first exemplary structure after formation of various sacrificial fill structures, in accordance with one embodiment of the present disclosure.
6 is after formation of a second-tier alternating stack of second insulating layers and second spacer material layers, second stepped surfaces and a second stepped dielectric material portion, in accordance with an embodiment of the present disclosure; A vertical cross-sectional view of an area of the first exemplary structure.
7A is a vertical cross-sectional view of an area of the first exemplary structure after formation of second-tier memory openings and second-tier support openings, in accordance with one embodiment of the present disclosure.
FIG. 7B is a horizontal cross-section of an area of the first exemplary structure along horizontal plane B - B′ of FIG. 7A . The hinged vertical plane A - A' corresponds to the plane of the vertical cross-sectional view of FIG. 7A .
8 is a vertical cross-sectional view of an area of the first exemplary structure after formation of inter-tier memory openings and inter-tier support openings, in accordance with an embodiment of the present disclosure.
9A-9D illustrate sequential vertical cross-sectional views of a memory opening during formation of a memory opening filling structure, in accordance with one embodiment of the present disclosure.
10 is a vertical cross-sectional view of an area of the first exemplary structure after formation of memory aperture filling structures and support pillar structures, in accordance with one embodiment of the present disclosure.
11A is a vertical cross-sectional view of an area of a first exemplary structure after formation of a contact level dielectric layer and divider trenches, in accordance with an embodiment of the present disclosure.
FIG. 11B is a horizontal cross-section of an area of the first exemplary structure along horizontal plane B - B′ of FIG. 11A . The hinged vertical plane A - A' corresponds to the plane of the vertical cross-sectional view of FIG. 11A .
11C is a plan view of a unit die area of the first exemplary structure of FIGS. 11A-11D ; The hinged vertical plane A - A' corresponds to the plane of the vertical cross-sectional view of FIG. 11A .
11D is a vertical cross-sectional view of the first exemplary structure along the vertical plane D-D′ of FIG. 11C .
11E is a top view of a unit die area of a first alternative embodiment of the first exemplary structure of FIGS. 11A-11D ;
11F is a top view of a unit die area of a second alternative embodiment of the first exemplary structure of FIGS. 11A-11D ;
12 is a vertical cross-sectional view of an area of the first exemplary structure after formation of back recesses in accordance with an embodiment of the present disclosure.
13A is a vertical cross-sectional view of an area of a first exemplary structure after formation of electrically conductive layers in accordance with an embodiment of the present disclosure.
FIG. 13B is a horizontal cross-section of an area of the first exemplary structure along horizontal plane B-B′ of FIG. 13A . The hinged vertical plane A - A' corresponds to the plane of the vertical cross-sectional view of FIG. 13A .
14A is a vertical cross-sectional view of an area of the first exemplary structure after formation of divider trench fill structures and slit trench fill structures, in accordance with one embodiment of the present disclosure.
14B is a horizontal cross-section of an area of the first exemplary structure along horizontal plane B - B' of FIG. 14A . The hinged vertical plane A - A' corresponds to the plane of the vertical cross-sectional view of FIG. 14A .
14C is a vertical cross-sectional view of the first exemplary structure along the vertical plane C-C' of FIG. 14B .
14D is a top view of a unit die area of the first exemplary structure of FIGS. 14A-14C ;
14E is a vertical cross-sectional view of the first exemplary structure along vertical plane E - E' of FIG. 14D . The hinged vertical plane A - A' corresponds to the plane of the vertical cross-sectional view of FIG. 14A .
14F is a top view of a unit die area of a first alternative embodiment of the first exemplary structure of FIGS. 14A-14C ;
14G is a top view of a unit die area of a second alternative embodiment of the first exemplary structure of FIGS. 14A-14C ;
15A is a vertical cross-sectional view of an area of a first exemplary structure after formation of peripheral device contact via structures, in accordance with an embodiment of the present disclosure.
15B is a horizontal cross-sectional view of an area of the first exemplary structure of FIG. 15A .
16A is a top view of a unit die area of a first exemplary structure after formation of a seal ring structure, in accordance with an embodiment of the present disclosure.
16B is a top view of a unit die area of a first alternative embodiment of a first exemplary structure after formation of a seal ring structure, in accordance with an embodiment of the present disclosure.
16C is a top view of a unit die area of a second alternative embodiment of the first exemplary structure after formation of the seal ring structure, in accordance with one embodiment of the present disclosure.
16D is a vertical cross-sectional view of a second alternative embodiment of the first exemplary structure of FIG. 16C ;
17A is a second exemplary diagram for forming a semiconductor die after formation of various doped semiconductor regions, field effect transistors, planarization dielectric layer, etch stop dielectric layer, and sacrificial via structures, in accordance with an embodiment of the present disclosure; A vertical cross-sectional view of an area of a structure.
17B is a plan view of a unit die area of the second exemplary structure of FIG. 17A ;
18 is a second diagram after formation of an alternating stack of first-tier of first insulating layers and first spacer material layers and after patterning the first-tier stepped region, in accordance with an embodiment of the present disclosure; A vertical cross-sectional view of an area of an exemplary structure.
19 is a vertical cross-sectional view of an area of a second exemplary structure after formation of a first reverse-stepped dielectric material portion and an inter-tier dielectric layer, in accordance with an embodiment of the present disclosure.
20A is a vertical cross-sectional view of an area of a second exemplary structure after formation of first-tier memory openings and first-tier support openings, in accordance with one embodiment of the present disclosure.
FIG. 20B is a horizontal cross-sectional view of an area of the second exemplary structure of FIG. 20A . The hinged vertical plane A - A' corresponds to the plane of the vertical cross-sectional view of FIG. 20A .
21 is a vertical cross-sectional view of an area of a second exemplary structure after formation of various sacrificial fill structures, in accordance with one embodiment of the present disclosure.
22 is after formation of a second two-tier alternating stack of second insulating layers and second spacer material layers, second stepped surfaces and a second stepped dielectric material portion, in accordance with an embodiment of the present disclosure; A vertical cross-sectional view of an area of a second exemplary structure.
23A is a vertical cross-sectional view of an area of a second exemplary structure after formation of second-tier memory openings and second-tier support openings, in accordance with one embodiment of the present disclosure.
23B is a horizontal cross-section of an area of the second exemplary structure along horizontal plane B-B' of FIG. 23A . The hinged vertical plane A - A' corresponds to the plane of the vertical cross-sectional view of FIG. 23A .
24 is a vertical cross-sectional view of an area of a second exemplary structure after formation of inter-tier memory openings and inter-tier support openings, in accordance with one embodiment of the present disclosure.
25A-25D illustrate sequential vertical cross-sectional views of a memory opening during formation of a memory opening filling structure, in accordance with one embodiment of the present disclosure.
26 is a vertical cross-sectional view of an area of a second exemplary structure after formation of memory aperture fill structures and support pillar structures, in accordance with one embodiment of the present disclosure.
27A is a vertical cross-sectional view of an area of a second exemplary structure after formation of a contact first level dielectric layer and backside trenches, in accordance with an embodiment of the present disclosure.
FIG. 27B is a horizontal cross-section of an area of the second exemplary structure along horizontal plane B-B′ of FIG. 27A . The hinged vertical plane A - A' corresponds to the plane of the vertical cross-sectional view of FIG. 27A .
27C is a top view of a unit die area of the second exemplary structure of FIGS. 27A and 27B ; The hinged vertical plane A - A' corresponds to the plane of the vertical cross-sectional view of FIG. 27A .
28 is a vertical cross-sectional view of an area of a second exemplary structure after formation of back recesses in accordance with an embodiment of the present disclosure.
29A is a vertical cross-sectional view of an area of a second exemplary structure after formation of electrically conductive layers in accordance with an embodiment of the present disclosure.
29B is a horizontal cross-section of an area of the second exemplary structure along horizontal plane B - B' of FIG. 29A . The hinged vertical plane A - A' corresponds to the plane of the vertical cross-sectional view of FIG. 29A .
30A is a vertical cross-sectional view of an area of a second exemplary structure after formation of back trench fill structures and slit trench fill structures, in accordance with an embodiment of the present disclosure.
FIG. 30B is a horizontal cross-section of an area of the second exemplary structure along horizontal plane B-B′ of FIG. 30A ; The hinged vertical plane A - A' corresponds to the plane of the vertical cross-sectional view of FIG. 30A .
30C is a vertical cross-sectional view of the second exemplary structure along the vertical plane C-C' of FIG. 30B .
30D is a top view of a unit die area of the second exemplary structure of FIGS. 30A-30C ;
31 is a vertical cross-sectional view of an area of a second exemplary structure after formation of through memory level contact via structures, bit line level dielectric layer, and bit line level metal interconnect structures, in accordance with one embodiment of the present disclosure.
32 is a vertical cross-sectional view of an area of a second exemplary structure after formation of top dielectric material layers and top metal interconnect structures, in accordance with an embodiment of the present disclosure.
33A is a first vertical cross-sectional view of an area of a second exemplary structure after formation of seal ring cavities in accordance with an embodiment of the present disclosure.
33B is a second vertical cross-sectional view of an area of a second exemplary structure after formation of seal ring cavities in accordance with an embodiment of the present disclosure.
33C is a top view of a unit die area of a second exemplary structure after formation of seal ring cavities, in accordance with an embodiment of the present disclosure. The vertical planes A - A and B - B correspond to the planes of the vertical cross-sectional view of FIGS. 33A and 33B , respectively.
Fig. 33D is an enlarged view of area D of Fig. 33C.
33E is a top view of a unit die area of an alternative configuration of a second exemplary structure after formation of seal ring cavities, in accordance with an embodiment of the present disclosure.
Fig. 33E is an enlarged view of area F in Fig. 33D.
34A is a vertical cross-sectional view of an area of a second exemplary structure after formation of seal ring structures in accordance with an embodiment of the present disclosure.
34B is a top view of a unit die area of a second exemplary structure after formation of the seal ring structures, in accordance with an embodiment of the present disclosure.
Fig. 34C is an enlarged view of region C of Fig. 34B;
34D is a top view of a unit die area of an alternative configuration of a second exemplary structure after formation of the seal ring structures, in accordance with an embodiment of the present disclosure.
Fig. 34E is an enlarged view of area E of Fig. 34D.
35 is a vertical cross-sectional view of an area of a second exemplary structure after formation of a dielectric passivation layer in accordance with an embodiment of the present disclosure.
36 is a vertical cross-sectional view of an area of a second exemplary structure after formation of bonding pads in accordance with an embodiment of the present disclosure.

3차원 메모리 디바이스들에서, 절연 층들 및 희생 재료 층들의 교번하는 스택의 수직 계단들에 수직인 수평 방향을 따라 측방향으로 연장되는 슬릿 트렌치들이 사용되어 도관들을 제공할 수 있고, 도관들을 통해 리세스들을 형성하도록 희생 재료 층들을 제거하기 위한 액체 에천트가 제공되고, 도관들을 통해 리세스들에 전기 전도성 층들(예를 들어, 워드 라인들)을 형성하기 위한 반응물이 제공된다. 슬릿 트렌치들이 동일한 수평 방향(예컨대, 워드 라인 방향)을 따라 측방향으로 연장되기 때문에, 3차원 메모리 디바이스들에서의 기계적 응력은 희생 재료 층들을 전기 전도성 층들로 교체할 때 안장(saddleback) 형상으로 웨이퍼의 변형을 유도한다. 웨이퍼의 안장 형상 변형은 금속 상호접속부 구조물들의 후속 형성 동안 다양한 어려움들을 유도한다. 본 개시내용의 실시예들은 웨이퍼 휨을 감소시키기 위한 응력-보상 슬릿 트렌치 구조물들을 포함하는 3차원 메모리 다이들 및 이를 형성하기 위한 방법들에 관한 것이고, 웨이퍼 휨을 감소시키기 위한 응력-흡수 시일 링 구조물을 포함하는 3차원 메모리 디바이스들에 관한 것이고, 그 다양한 태양들이 상세히 설명된다.In three-dimensional memory devices, slit trenches extending laterally along a horizontal direction perpendicular to vertical steps of an alternating stack of insulating layers and sacrificial material layers may be used to provide conduits, recessed through the conduits. A liquid etchant is provided to remove the sacrificial material layers to form the etchants, and a reactant is provided to form electrically conductive layers (eg, word lines) in the recesses through the conduits. Because the slit trenches extend laterally along the same horizontal direction (eg, word line direction), mechanical stress in three-dimensional memory devices causes the wafer into a saddleback shape when replacing the sacrificial material layers with electrically conductive layers. induce the transformation of The saddle shape deformation of the wafer introduces various difficulties during the subsequent formation of metal interconnect structures. Embodiments of the present disclosure relate to three-dimensional memory dies including stress-compensating slit trench structures for reducing wafer warpage and methods for forming the same, including a stress-absorbing seal ring structure for reducing wafer warpage to three-dimensional memory devices, the various aspects of which are described in detail.

도면들은 일정한 축척으로 작성된 것은 아니다. 요소들의 중복의 부존재가 명백히 기술되거나 명확하게 달리 지시되지 않는 한, 요소의 단일 인스턴스가 예시되는 경우 요소의 다수의 인스턴스들이 중복될 수 있다. "제1", "제2" 및 "제3"과 같은 서수들은 단지 유사한 요소들을 식별하기 위해 사용되며, 상이한 서수들이 본 개시의 명세서 및 청구범위에 걸쳐 사용될 수 있다. 동일한 도면 부호는 동일한 요소 또는 유사한 요소를 지칭한다. 달리 지시되지 않는 한, 동일한 도면 부호를 갖는 요소들은 동일한 조성 및 동일한 기능을 갖는 것으로 추정된다. 달리 나타내지 않는 한, 요소들 사이의 "접촉"은 요소들에 의해 공유되는 에지 또는 표면을 제공하는 요소들 사이의 직접 접촉을 지칭한다. 본 명세서에서 사용되는 바와 같이, 제2 요소 "상에" 위치된 제1 요소는 제2 요소의 표면의 외부 면 상에 또는 제2 요소의 내부 면 상에 위치될 수 있다. 본 명세서에서 사용되는 바와 같이, 제1 요소의 표면과 제2 요소의 표면 사이의 물리적 접촉이 존재하는 경우, 제1 요소는 제2 요소 "상에 직접" 위치된다. 본 명세서에 사용되는 바와 같이, "프로토타입" 구조물 또는 "공정-중" 구조물은, 그 안의 적어도 하나의 컴포넌트의 형상 또는 조성이 후속적으로 변형되는 일시적인 구조물을 지칭한다.The drawings are not drawn to scale. Multiple instances of an element may be duplicated when a single instance of an element is illustrated, unless the absence of duplication of elements is explicitly stated or clearly indicated otherwise. Ordinal numbers such as “first,” “second,” and “third” are merely used to identify similar elements, and different ordinal numbers may be used throughout the specification and claims of this disclosure. Like reference numbers refer to like elements or like elements. Unless otherwise indicated, elements having the same reference number are assumed to have the same composition and the same function. Unless otherwise indicated, “contact” between elements refers to direct contact between elements that provides an edge or surface shared by the elements. As used herein, a first element positioned “on” a second element may be positioned on the outer surface of the surface of the second element or on the inner surface of the second element. As used herein, a first element is positioned “directly on” a second element when there is physical contact between the surface of the first element and the surface of the second element. As used herein, a “prototype” structure or “in-process” structure refers to a temporary structure in which the shape or composition of at least one component therein is subsequently modified.

본 명세서에 사용되는 바와 같이, "층"은 두께를 갖는 영역을 포함하는 재료 부분을 지칭한다. 층은 아래에 놓인 또는 위에 놓인 구조의 전체에 걸쳐 연장될 수 있거나, 아래에 놓인 또는 위에 놓인 구조의 범위보다 작은 범위를 가질 수 있다. 또한, 층은 연속적인 구조의 두께보다 작은 두께를 갖는 균질한 또는 비균질한 연속적인 구조의 영역일 수 있다. 예를 들어, 층은 연속적인 구조의 상부 표면과 저부 표면에 있는 또는 이들 사이에 있는 임의의 쌍의 수평 평면들 사이에 위치될 수 있다. 층은 수평으로, 수직으로, 그리고/또는 테이퍼진 표면을 따라 연장될 수 있다. 기판은 하나의 층일 수 있거나, 그 내부에 하나 이상의 층들을 포함할 수 있거나, 그 상에, 그 위에, 그리고/또는 그 아래에 하나 이상의 층들을 가질 수 있다.As used herein, “layer” refers to a portion of a material comprising a region having a thickness. A layer may extend over the entirety of the underlying or overlying structure, or it may have an extent that is less than the extent of the underlying or overlying structure. Further, the layer may be a region of a homogeneous or heterogeneous continuous structure having a thickness less than the thickness of the continuous structure. For example, the layer may be positioned between any pair of horizontal planes at or between the top and bottom surfaces of the continuous structure. The layer may extend horizontally, vertically, and/or along a tapered surface. The substrate may be one layer, may include one or more layers therein, or may have one or more layers thereon, above, and/or below.

본 명세서에서 사용되는 바와 같이, 제2 표면이 제1 표면 위에 놓이거나 또는 아래에 놓이는 경우, 그리고 제1 표면 및 제2 표면을 포함하는 수직 평면 또는 실질적으로 수직인 평면이 존재하는 경우, 제1 표면과 제2 표면은 서로 "수직으로 일치"한다. 실질적으로 수직인 평면은 수직 방향으로부터 5 도 미만의 각도만큼 벗어나는 방향을 따라 곧게 연장되는 평면이다. 수직 평면 또는 실질적으로 수직인 평면은 수직 방향 또는 실질적으로 수직인 방향을 따라 직선이고, 수직 방향 또는 실질적으로 수직인 방향에 수직인 방향을 따른 곡률을 포함할 수 있거나 포함하지 않을 수 있다.As used herein, when a second surface overlies or underlies a first surface, and when there is a vertical or substantially vertical plane comprising the first surface and the second surface, a first The surface and the second surface are "perpendicularly coincident" with each other. A substantially vertical plane is a plane extending straight along a direction deviating from the vertical direction by an angle of less than 5 degrees. A vertical or substantially perpendicular plane is a straight line along a vertical or substantially perpendicular direction, and may or may not include a curvature along a direction perpendicular to the vertical or substantially perpendicular direction.

본 명세서에 사용되는 바와 같이, "메모리 레벨" 또는 "메모리 어레이 레벨"은 메모리 요소들의 어레이의 최상부 표면들을 포함하는 제1 수평 평면(즉, 기판의 상단 표면에 평행한 평면)과 메모리 요소들의 어레이의 최하부 표면들을 포함하는 제2 수평 평면 사이의 일반적 영역에 대응하는 레벨을 지칭한다. 본 명세서에 사용되는 바와 같이, "관통 스택" 요소는 메모리 레벨을 통해 수직으로 연장되는 요소를 지칭한다.As used herein, “memory level” or “memory array level” refers to an array of memory elements and a first horizontal plane that includes the top surfaces of the array of memory elements (ie, a plane parallel to the top surface of the substrate). refers to the level corresponding to the general area between the second horizontal planes including the lowermost surfaces of As used herein, a “through stack” element refers to an element that extends vertically through a memory level.

본 명세서에 사용되는 바와 같이, "반도체성 재료"는 1.0 × 10-5 S/m 내지 1.0 × 105 S/m 범위의 전기 전도도를 갖는 재료를 지칭한다. 본 명세서에 사용되는 바와 같이, "반도체 재료"는 전기 도펀트가 내부에 존재하지 않을 시 1.0 × 10-5 S/m 내지 1.0 S/m 범위의 전기 전도도를 갖는 재료를 지칭하며, 전기 도펀트를 이용한 적합한 도핑 시 1.0 S/m 내지 1.0 × 105 S/m 범위의 전기 전도도를 갖는 도핑된 재료를 생성할 수 있다. 본 명세서에 사용되는 바와 같이, "전기 도펀트"는 밴드 구조(band structure) 내의 가전자대에 홀을 추가하는 p-형 도펀트, 또는 밴드 구조 내의 전도대에 전자를 추가하는 n-형 도펀트를 지칭한다. 본 명세서에 사용되는 바와 같이, "전도성 재료"는 1.0 × 105 S/m 초과인 전기 전도도를 갖는 재료를 지칭한다. 본 명세서에 사용되는 바와 같이, "절연체 재료" 또는 "유전체 재료"는 1.0 × 10-5 S/m 미만인 전기 전도도를 갖는 재료를 지칭한다. 본 명세서에 사용되는 바와 같이, "고농도로 도핑된 반도체 재료"는, 결정질 재료로서 형성된 바와 같이 또는 (예를 들어, 초기 비정질 상태로부터) 어닐링 공정을 통해 결정질 재료로 전환되는 경우 전도성 재료가 되도록, 즉 1.0 × 105 S/m 초과인 전기 전도도를 갖도록, 충분히 높은 원자 농도에서 전기 도펀트로 도핑된 반도체 재료를 지칭한다. "도핑된 반도체 재료"는 고농도로 도핑된 반도체 재료일 수 있거나, 또는 1.0 × 10-5 S/m 내지 1.0 × 105 S/m 범위의 전기 전도도를 제공하는 농도에서의 전기 도펀트(즉, p-형 도펀트 및/또는 n-형 도펀트)를 포함하는 반도체 재료일 수 있다. "진성 반도체 재료"는 전기 도펀트로 도핑되지 않는 반도체 재료를 지칭한다. 따라서, 반도체 재료는 반도체성 또는 전도성일 수 있고, 진성 반도체 재료 또는 도핑된 반도체 재료일 수 있다. 도핑된 반도체 재료는 그 내부의 전기 도펀트의 원자 농도에 따라 반도체성 또는 전도성일 수 있다. 본 명세서에 사용되는 바와 같이, "금속성 재료"는 적어도 하나의 금속성 원소를 내부에 포함하는 전도성 재료를 지칭한다. 전기 전도도에 대한 모든 측정은 표준 조건에서 이루어진다.As used herein, “semiconducting material” refers to a material having an electrical conductivity in the range of 1.0×10 −5 S/m to 1.0×10 5 S/m. As used herein, "semiconductor material" refers to a material having an electrical conductivity in the range of 1.0 × 10 -5 S/m to 1.0 S/m when no electrical dopant is present therein, and Suitable doping can produce doped materials having electrical conductivity in the range of 1.0 S/m to 1.0 x 10 5 S/m. As used herein, “electrical dopant” refers to a p-type dopant that adds holes to the valence band in the band structure, or an n-type dopant adds electrons to the conduction band in the band structure. As used herein, “conductive material” refers to a material having an electrical conductivity greater than 1.0×10 5 S/m. As used herein, “insulator material” or “dielectric material” refers to a material having an electrical conductivity of less than 1.0×10 −5 S/m. As used herein, "heavily doped semiconductor material" means a conductive material as formed as a crystalline material or when converted to a crystalline material via an annealing process (e.g., from an initial amorphous state); It refers to a semiconductor material doped with an electrical dopant at a sufficiently high atomic concentration to have an electrical conductivity greater than 1.0×10 5 S/m. A “doped semiconductor material” may be a highly doped semiconductor material, or an electrical dopant (i.e., p) at a concentration that provides an electrical conductivity ranging from 1.0×10 −5 S/m to 1.0×10 5 S/m. -type dopants and/or n-type dopants). “Intrinsic semiconductor material” refers to a semiconductor material that is not doped with an electrical dopant. Accordingly, the semiconductor material may be semiconducting or conductive, and may be an intrinsic semiconducting material or a doped semiconducting material. The doped semiconductor material may be semiconducting or conductive, depending on the atomic concentration of the electrical dopant therein. As used herein, “metallic material” refers to a conductive material having therein at least one metallic element. All measurements of electrical conductivity are made under standard conditions.

모놀리식 3차원 메모리 어레이는, 개재하는 기판 없이 반도체 웨이퍼와 같은 단일 기판 위에 다수의 메모리 레벨들이 형성되는 것이다. 용어 "모놀리식"은 어레이의 각각의 레벨의 층들이 어레이의 각각의 아래에 놓인 레벨의 층들 상에 직접 침착된다는 것을 의미한다. 대조적으로, 2차원 어레이들은 별도로 형성되고 이어서 함께 패키징되어 비-모놀리식 메모리 디바이스를 형성할 수 있다. 예를 들어, 발명의 명칭이 "Three-dimensional Structure Memory"인 미국 특허 제5,915,167호에 기술된 바와 같이, 별개의 기판들 상에 메모리 레벨들을 형성하고 메모리 레벨들을 수직으로 적층함으로써 비-모놀리식 적층형 메모리들이 구성되었다. 기판들은 접합 이전에 메모리 레벨들로부터 박화되거나 제거될 수 있지만, 메모리 레벨들은 초기에 별개의 기판들 위에 형성되기 때문에, 그러한 메모리들은 진정한 모놀리식 3차원 메모리 어레이들이 아니다. 기판은 메모리 디바이스를 위한 드라이버 회로들과 같은, 그 위에 제작된 집적 회로들을 포함할 수 있다.A monolithic three-dimensional memory array is one in which multiple memory levels are formed on a single substrate, such as a semiconductor wafer, without an intervening substrate. The term “monolithic” means that the layers of each level of the array are deposited directly on the layers of each underlying level of the array. In contrast, two-dimensional arrays can be formed separately and then packaged together to form a non-monolithic memory device. For example, as described in U.S. Patent No. 5,915,167 entitled "Three-dimensional Structure Memory," the non-monolithic structure is formed by forming memory levels on separate substrates and vertically stacking the memory levels. Stacked memories were constructed. Substrates may be thinned or removed from the memory levels prior to bonding, but since the memory levels are initially formed over separate substrates, such memories are not truly monolithic three-dimensional memory arrays. The substrate may include integrated circuits fabricated thereon, such as driver circuits for a memory device.

본 개시내용의 다양한 3차원 메모리 디바이스들은 모놀리식 3차원 NAND 스트링 메모리 디바이스를 포함하며, 본 명세서에 기술된 다양한 실시예들을 사용하여 제조될 수 있다. 모놀리식 3차원 NAND 스트링은 기판 위에 위치된 NAND 스트링들의 모놀리식 3차원 어레이 내에 위치된다. NAND 스트링들의 3차원 어레이의 제1 디바이스 레벨의 적어도 하나의 메모리 셀은 NAND 스트링들의 3차원 어레이의 제2 디바이스 레벨의 다른 메모리 셀 위에 위치된다.The various three-dimensional memory devices of the present disclosure include a monolithic three-dimensional NAND string memory device, and can be fabricated using the various embodiments described herein. A monolithic three-dimensional NAND string is positioned within a monolithic three-dimensional array of NAND strings positioned over a substrate. At least one memory cell of a first device level of the three-dimensional array of NAND strings is located above another memory cell of a second device level of the three-dimensional array of NAND strings.

일반적으로, 반도체 패키지(또는 "패키지")는 핀들 또는 솔더 볼들의 세트를 통해 회로 보드에 부착될 수 있는 단위 반도체 디바이스를 지칭한다. 반도체 패키지는 반도체 칩(또는 "칩") 또는 예를 들어 플립-칩 접합(flip-chip bonding) 또는 다른 칩 대 칩 접합(chip-to-chip bonding)에 의해 전반적으로 접합된 복수의 반도체 칩들을 포함할 수 있다. 패키지 또는 칩은 단일 반도체 다이(또는 "다이") 또는 복수의 반도체 다이들을 포함할 수 있다. 다이는 독립적으로 외부 커맨드들을 실행하거나 상태를 보고할 수 있는 가장 작은 유닛이다. 전형적으로, 다수의 다이를 갖는 패키지 또는 칩은 그 내부의 평면들의 총 수만큼 많은 외부 커맨드들을 동시에 실행할 수 있다. 각각의 다이는 하나 이상의 평면들을 포함한다. 동일한 동시 동작들이 동일한 다이 내의 각각의 평면에서 실행될 수 있지만, 일부 제한들이 있을 수 있다. 다이가 메모리 다이인 경우에, 즉 메모리 요소들, 동시 판독 동작들, 동시 기록 동작들, 또는 동시 소거 동작들을 포함하는 다이가 동일한 메모리 다이 내의 각각의 평면에서 수행될 수 있다. 메모리 다이에서, 각각의 평면은 다수의 메모리 블록들(또는 "블록들")을 포함하는데, 이는 단일 소거 동작에서 소거될 수 있는 가장 작은 유닛이다. 각각의 메모리 블록은 다수의 페이지들을 포함하는데, 이는 프로그래밍을 위해 선택될 수 있는 가장 작은 유닛들이다. 페이지는 또한 판독 동작에 선택될 수 있는 가장 작은 유닛이다.In general, a semiconductor package (or “package”) refers to a unit semiconductor device that can be attached to a circuit board through a set of pins or solder balls. A semiconductor package consists of a semiconductor chip (or "chip") or a plurality of semiconductor chips generally bonded by, for example, flip-chip bonding or other chip-to-chip bonding. may include A package or chip may include a single semiconductor die (or “die”) or a plurality of semiconductor dies. A die is the smallest unit that can independently execute external commands or report status. Typically, a package or chip with multiple dies can simultaneously execute as many external commands as the total number of planes therein. Each die includes one or more planes. The same simultaneous operations may be performed in each plane within the same die, but with some limitations. In the case where the die is a memory die, that is, a die comprising memory elements, simultaneous read operations, simultaneous write operations, or simultaneous erase operations may be performed in each plane within the same memory die. In a memory die, each plane contains a number of memory blocks (or “blocks”), which are the smallest units that can be erased in a single erase operation. Each memory block contains a number of pages, which are the smallest units that can be selected for programming. A page is also the smallest unit that can be selected for a read operation.

도 1a 및 도 1b를 참조하면, 반도체 다이를 형성하기 위한 제1 예시적인 구조물이 예시되어 있다. 도 1b는 제1 예시적인 구조물의 단위 다이 영역 내의 다양한 영역들의 레이아웃을 예시하고, 도 1a는 제1 예시적인 구조의 수직 단면도이다. 일 실시예에서, 제1 예시적인 구조물은 기판(908)을 포함할 수 있으며, 이는 반도체 웨이퍼(이는, 예를 들어, 단결정 실리콘 웨이퍼, 예를 들어 300 mm 실리콘 웨이퍼 또는 200 mm 실리콘 웨이퍼일 수 있음)의 상부 부분에 다양한 도핑된 반도체 영역들(예를 들어, 도핑된 웰들)을 형성함으로써 제공될 수 있다. 예를 들어, 기판(908)은 기판 층(909), 반도체 재료 층(910), 반도체 재료 층(910) 내에 매립된 제1 도핑 웰(6), 및 제2 도핑 웰(6) 내에 매립된 제2 도핑 웰(10)을 포함할 수 있다. 예시적인 예에서, 반도체 재료 층(910) 및 제2 도핑 웰(10)은 p-형 도핑을 가질 수 있고, 제1 도핑 웰(6)은 n-형 도핑을 가질 수 있다. 기판 층(909)은 반도체 기판(예컨대, 실리콘 웨이퍼), 반도체 재료 층(예컨대, 실리콘 웨이퍼 상의 에피택셜 실리콘 층), 또는 절연 층(반도체-온-절연체 기판의 경우에서와 같음)일 수 있다. 추가의 도핑된 웰들은 그 위에 다양한 반도체 디바이스들을 제공하기 위해 필요에 따라 형성될 수 있다. 도핑된 웰들 각각은 p-도핑되거나 n-도핑될 수 있고, 1.0 × 1014/㎤ 내지 1.0 × 1018/㎤ 범위의 원자 농도의 전기 도펀트들을 가질 수 있지만, 더 작은 그리고 더 큰 원자 농도들이 또한 사용될 수 있다.1A and 1B , a first exemplary structure for forming a semiconductor die is illustrated. 1B illustrates the layout of various regions within a unit die area of a first exemplary structure, and FIG. 1A is a vertical cross-sectional view of the first exemplary structure. In one embodiment, the first exemplary structure may include a substrate 908 , which may be a semiconductor wafer (which may be, for example, a single crystal silicon wafer, such as a 300 mm silicon wafer or a 200 mm silicon wafer). ) may be provided by forming various doped semiconductor regions (eg, doped wells) in the upper portion of the . For example, the substrate 908 may include a substrate layer 909 , a semiconductor material layer 910 , a first doped well 6 buried within the semiconductor material layer 910 , and a second doped well 6 buried within the semiconductor material layer 910 . A second doped well 10 may be included. In the illustrative example, the semiconductor material layer 910 and the second doped well 10 may have a p-type doping, and the first doped well 6 may have an n-type doping. The substrate layer 909 may be a semiconductor substrate (eg, a silicon wafer), a layer of semiconductor material (eg, an epitaxial silicon layer on a silicon wafer), or an insulating layer (as in the case of a semiconductor-on-insulator substrate). Additional doped wells may be formed as needed to provide various semiconductor devices thereon. Each of the doped wells may be p-doped or n-doped and may have electrical dopants at an atomic concentration ranging from 1.0 × 10 14 /cm 3 to 1.0 × 10 18 /cm 3 , although smaller and larger atomic concentrations may also be used. can be used

다양한 반도체 디바이스들(710)이 기판 상에 형성될 수 있다. 다양한 반도체 디바이스들(710)은 상보적 금속 산화물 반도체(CMOS) 디바이스들을 포함할 수 있고, 셀 어레이 영역들 내에서 기판(908) 상에 후속적으로 형성될 메모리 요소들의 3차원 어레이를 동작시키기 위해 사용될 수 있는 다양한 주변 회로들(즉, 드라이버 회로들)을 포함할 수 있다. 본 명세서에 사용되는 바와 같이, "셀 어레이 영역"은 메모리 요소들의 3차원 어레이가 형성되는 영역, 예컨대 메모리 평면을 지칭한다. 셀 어레이 영역(예컨대, 메모리 평면)은 또한 메모리 어레이 영역(100)으로 지칭된다. 반도체 디바이스들(710)은 기판(908)의 상단 표면 상에 형성되는 전계 효과 트랜지스터들을 포함할 수 있다.Various semiconductor devices 710 may be formed on a substrate. The various semiconductor devices 710 may include complementary metal oxide semiconductor (CMOS) devices for operating a three-dimensional array of memory elements to be subsequently formed on the substrate 908 in cell array regions. It may include various peripheral circuits (ie, driver circuits) that may be used. As used herein, “cell array region” refers to a region in which a three-dimensional array of memory elements is formed, such as a memory plane. The cell array region (eg, memory plane) is also referred to as memory array region 100 . The semiconductor devices 710 may include field effect transistors formed on a top surface of the substrate 908 .

일반적으로, 반도체 디바이스들(710)은 후속적으로 형성될 메모리 요소들의 적어도 하나의 3차원 어레이의 동작을 제어하는 데 사용될 수 있는 임의의 회로를 포함할 수 있다. 예를 들어, 반도체 디바이스들(710)은 후속적으로 형성될 메모리 요소들의 3차원 어레이의 동작을 제어하는 데 사용되는 주변 디바이스들을 포함할 수 있다. 주변 디바이스들이 형성되는 영역들은 집합적으로 주변 디바이스 영역(300)으로 지칭된다. 주변 디바이스 영역(300)은 특정 유형들의 주변 디바이스들을 제공하도록 구성된 다양한 영역들을 포함할 수 있다. 예시적인 예에서, 감지 증폭기 회로들은 도 1b에서 "S/A"로 표시된 감지 증폭기 영역들 내에 형성될 수 있다. 비트 라인 드라이버 회로들은 도 1b에서 "BD"로 표시되는 비트 라인 드라이버 영역들 내에 형성될 수 있다. 워드 라인 스위치들 및 선택 게이트 전극 스위치는 워드 라인 및 선택 게이트 전극 스위치 영역들에 형성될 수 있으며, 이는 도 1b에서 "WL/SG SW"로 표시된다. 추가의 기타 주변 디바이스들이 도 1b에서 "PERI"로 표시된 기타 주변 디바이스 영역에 형성될 수 있다. 메모리 요소들의 각각의 3차원 어레이는 절연 층들 및 전기 전도성 층들(예컨대, 워드 라인들)의 교번하는 스택들을 이용하여 후속적으로 형성될 수 있다. 이러한 경우에, 교번하는 스택들 내의 층들은 계단형 표면들을 제공하도록 패턴화될 수 있고, 전기 전도성 층들의 각자의 하나와 접촉하는 접촉 비아 구조물들이 그러한 계단형 표면들 내에 형성될 수 있다. 그러한 영역들은 워드 라인 후크업 계단 영역들로 지칭되고, 도 1b에서 "WLHU 계단"으로 표시된다. 워드 라인 후크업 계단 영역들은 또한 계단 영역들(200)로 지칭된다. 전기 전도성 층들에 전기 접점들을 제공하는 데 사용되지 않는 더미 계단형 표면들이 각각의 셀 어레이 영역(즉, 메모리 어레이 영역(100)) 주위에 형성될 수 있다. 그러한 더미 계단형 표면들을 포함하는 영역들은 본 명세서에서 더미 계단 영역들로 지칭되고, 도 1b에서 "더미 계단"으로 표시된다. 추가적인 더미 계단 영역들이 다이 영역의 주변부 내부에 형성될 수 있다. 추가의 더미 계단 영역들은 본 명세서에서 "더미 계단 트랙들"로 지칭된다. 이어서, 시일 링 구조물들 및 가드 링 구조물이 더미 계단 트랙들의 외측 에지에서 형성되고, 이는 반도체 칩의 외측 경계를 한정한다.In general, semiconductor devices 710 may include any circuitry that may be used to control the operation of at least one three-dimensional array of memory elements to be subsequently formed. For example, semiconductor devices 710 may include peripheral devices used to control the operation of a three-dimensional array of memory elements to be subsequently formed. The regions in which peripheral devices are formed are collectively referred to as peripheral device region 300 . Peripheral device area 300 may include various areas configured to provide specific types of peripheral devices. In an illustrative example, sense amplifier circuits may be formed in sense amplifier regions denoted “S/A” in FIG. 1B . The bit line driver circuits may be formed in bit line driver regions denoted by “BD” in FIG. 1B . Word line switches and select gate electrode switch may be formed in the word line and select gate electrode switch regions, denoted as “WL/SG SW” in FIG. 1B . Additional other peripheral devices may be formed in the Other Peripheral Device area labeled “PERI” in FIG. 1B . Each three-dimensional array of memory elements may be subsequently formed using alternating stacks of insulating layers and electrically conductive layers (eg, word lines). In this case, the layers in the alternating stacks may be patterned to provide stepped surfaces, and contact via structures in contact with a respective one of the electrically conductive layers may be formed in those stepped surfaces. Such regions are referred to as word line hookup stair regions and are denoted “WLHU stair” in FIG. 1B . The word line hookup step areas are also referred to as step areas 200 . Dummy stepped surfaces that are not used to provide electrical contacts to the electrically conductive layers may be formed around each cell array region (ie, memory array region 100 ). Regions comprising such dummy stepped surfaces are referred to herein as dummy stepped regions and are denoted as “dummy stair” in FIG. 1B . Additional dummy step areas may be formed within the perimeter of the die area. The additional dummy stair areas are referred to herein as “dummy stair tracks”. Then, seal ring structures and guard ring structures are formed at the outer edges of the dummy step tracks, which define the outer boundary of the semiconductor chip.

시일 링 구조물들 및 가드 링 구조물이 후속적으로 형성되는 영역은 본 명세서에서 시일 링 및 가드 링 영역(400)으로 지칭된다. 커프(kerf) 영역들(500)은 시일 링 구조물들의 영역들 외부에 제공된다. 시일 링 및 가드 링 영역의 외측 주변부 내의 영역은 후속하여 형성될 반도체 다이의 영역을 한정한다. 반도체 다이의 영역은 일반적으로 직사각형 형상을 가질 수 있다. 반도체 다이의 제1 쌍의 측벽들의 수평 방향은 본 명세서에서 제1 수평 방향(hd1)(예를 들어, 워드 라인 방향)으로 지칭되며, 반도체 다이의 제2 쌍의 측벽들의 수평 방향은 본 명세서에서 제1 수평 방향(hd1)에 수직인 제2 수평 방향(hd2)(예컨대, 비트 라인 방향)으로 지칭된다. 커프 영역들은 기판(908)과 그 위의 반도체 디바이스들을 복수의 반도체 다이들로 낱개화하는 동안 파괴될 수 있거나 파괴되지 않을 수 있는 다양한 테스트 구조물들 및 정렬 구조물들을 포함할 수 있다. 단위 다이 영역은 각각의 커프 영역의 폭의 절반을 포함한다.The region in which the seal ring structures and the guard ring structure are subsequently formed is referred to herein as the seal ring and guard ring region 400 . Kerf regions 500 are provided outside the regions of the seal ring structures. Regions within the outer periphery of the seal ring and guard ring regions define regions of the semiconductor die to be subsequently formed. The region of the semiconductor die may have a generally rectangular shape. The horizontal direction of the sidewalls of the first pair of semiconductor dies is referred to herein as the first horizontal direction hd1 (eg, word line direction), and the horizontal direction of the sidewalls of the second pair of semiconductor dies is herein A second horizontal direction hd2 (eg, a bit line direction) perpendicular to the first horizontal direction hd1 is referred to. The kerf regions may include various test structures and alignment structures that may or may not be destroyed during singulation of the substrate 908 and semiconductor devices thereon into a plurality of semiconductor dies. The unit die area includes half the width of each kerf area.

반도체 디바이스들(710) 위에 평탄화 유전체 층(760)이 형성될 수 있다. 예를 들어, 평탄화 유전체 층(760)은 전계 효과 트랜지스터들의 게이트 구조물들 및 활성 영역들(예컨대 소스 영역들 및 드레인 영역들) 위에 형성될 수 있다. 평탄화 유전체 층(760)은 실리케이트 유리와 같은 평탄화가능한 유전체 재료를 포함할 수 있다. 평탄화 유전체 층(760)의 상단 표면은 예를 들어 화학적 기계적 평탄화에 의해 평탄화될 수 있다.A planarization dielectric layer 760 may be formed over the semiconductor devices 710 . For example, a planarization dielectric layer 760 may be formed over the gate structures and active regions (eg, source regions and drain regions) of the field effect transistors. The planarization dielectric layer 760 may include a planarable dielectric material such as silicate glass. The top surface of planarization dielectric layer 760 may be planarized, for example, by chemical mechanical planarization.

에칭 정지 유전체 층(790)은 평탄화 유전체 층(760) 위에 형성될 수 있다. 에칭 정지 유전체 층(790)은 후속하여 형성될 위에 놓인 유전체 재료 부분의 에칭 동안에 에칭 정지 재료로서 채용될 수 있는 유전체 재료를 포함할 수 있다. 일 실시예에서, 에칭 정지 유전체 층(70)은 절연 층들 및 희생 재료 층들의 수직으로 교번하는 시퀀스의 희생 재료 층들의 재료와는 상이한 재료를 포함하는 적어도 하나의 유전체 재료 서브층을 포함할 수 있다. 예를 들어, 위에 놓인 유전체 재료 부분이 실리콘 산화물을 포함하는 경우, 에칭 정지 유전체 층(790)은 유전체 금속 산화물 층 및 실리콘 질화물 층의 층 스택을 포함할 수 있다. 일 실시예에서, 에칭 정지 유전체 층(790)은 실리콘 질화물 층 및 알루미늄 산화물 층의 층 스택을 포함할 수 있다.An etch stop dielectric layer 790 may be formed over the planarization dielectric layer 760 . Etch stop dielectric layer 790 may comprise a dielectric material that may be employed as an etch stop material during etching of an overlying dielectric material portion to be subsequently formed. In one embodiment, the etch stop dielectric layer 70 may include at least one dielectric material sublayer comprising a material different from the material of the sacrificial material layers in a vertically alternating sequence of insulating layers and sacrificial material layers. . For example, where the overlying portion of dielectric material comprises silicon oxide, etch stop dielectric layer 790 may comprise a layer stack of a dielectric metal oxide layer and a silicon nitride layer. In one embodiment, the etch stop dielectric layer 790 may include a layer stack of a silicon nitride layer and an aluminum oxide layer.

희생 비아 구조물들(477)은 에칭 정지 유전체 층(790) 및 평탄화 유전체 층(760)을 통해 반도체 디바이스들(710)의 각자의 요소의 상단 표면 상에 형성될 수 있다. 예를 들어, 포토레지스트 층(도시되지 않음)이 에칭 정지 유전체 층(790) 위에 적용될 수 있고, 리소그래피 방식으로 패턴화되어 반도체 디바이스들(710)의 컴포넌트들 위에 개구들을 형성할 수 있다. 에칭 정지 유전체 층(790) 및 평탄화 유전체 층(760)을 통해 포토레지스트 층 내의 개구들 아래에 비아 공동들을 형성하기 위해 이방성 에칭 공정이 수행될 수 있다. 비아 공동들은 반도체 디바이스들(710)의 각자의 하부 컴포넌트의 상단 표면으로 연장될 수 있다. 포토레지스트 층은 예를 들어 애싱(ashing)에 의해 제거될 수 있고, 희생 충전 재료(예컨대, 비정질 실리콘, 실리콘-게르마늄 합금, 중합체 재료, 붕규산염 유리, 또는 유기실리케이트 유리)가 비아 공동들 내에 침착되어 희생 비아 구조물들(477)을 형성할 수 있다. 희생 충전 재료의 잉여 부분들은 에칭 정지 유전체 층(790)의 상단 표면을 포함하는 수평 평면 위로부터 제거될 수 있다. 희생 비아 구조물들(477) 각각은 반도체 디바이스들(710)의 각자의 하나의 컴포넌트와 접촉할 수 있다. 예를 들어, 희생 비아 구조물들(477)의 서브세트는 각자의 게이트 전극과 접촉할 수 있고, 희생 비아 구조물들의 다른 서브세트는 각자의 활성 영역(예컨대, 소스 영역 또는 드레인 영역)과 접촉할 수 있다. 일반적으로, 반도체 디바이스들(710)의 전기적 활성 노드들은 각자의 희생 비아 구조물(477)에 의해 접촉될 수 있다. 희생 비아 구조물들(477)의 상단 표면들은 에칭 정지 유전체 층(790)의 상단 표면들과 동일 평면 상에 있을 수 있다.Sacrificial via structures 477 may be formed on the top surface of a respective element of semiconductor devices 710 through etch stop dielectric layer 790 and planarization dielectric layer 760 . For example, a photoresist layer (not shown) may be applied over the etch stop dielectric layer 790 and lithographically patterned to form openings over the components of the semiconductor devices 710 . An anisotropic etch process may be performed to form via cavities under openings in the photoresist layer through the etch stop dielectric layer 790 and the planarization dielectric layer 760 . Via cavities may extend to a top surface of a respective underlying component of semiconductor devices 710 . The photoresist layer may be removed, for example, by ashing, and a sacrificial fill material (eg, amorphous silicon, silicon-germanium alloy, polymeric material, borosilicate glass, or organosilicate glass) is deposited within the via cavities. to form sacrificial via structures 477 . Excess portions of the sacrificial fill material may be removed from above a horizontal plane including the top surface of the etch stop dielectric layer 790 . Each of the sacrificial via structures 477 may contact a respective one component of the semiconductor devices 710 . For example, a subset of sacrificial via structures 477 may contact a respective gate electrode, and another subset of sacrificial via structures may contact a respective active region (eg, source region or drain region). have. In general, the electrically active nodes of the semiconductor devices 710 may be contacted by a respective sacrificial via structure 477 . The top surfaces of the sacrificial via structures 477 may be coplanar with the top surfaces of the etch stop dielectric layer 790 .

도 2를 참조하면, 에칭 정지 유전체 층(790) 및 평탄화 유전체 층(760)은 각각의 메모리 어레이 영역(100)으로부터 그리고 각각의 계단 영역(200)으로부터 제거될 수 있다. 예를 들어, 포토레지스트 층(도시되지 않음)이 반도체 디바이스들(710)을 포함하는 각각의 영역을 덮을 수 있고, 포토레지스트 층에 의해 덮이지 않은 평탄화 유전체 층(760) 및 에칭 정지 유전체 층(790)의 부분들은 적어도 하나의 에칭 공정에 의해 제거될 수 있고, 이는 등방성 에칭 공정(예컨대 습식 에칭 공정) 및/또는 이방성 에칭 공정(예컨대, 반응성 이온 에칭 공정)을 포함할 수 있다. 기판(908)의 상단 표면(예컨대, 제2 도핑 웰(10)의 상단 표면)은 메모리 어레이 영역(100) 및 인접한 계단 영역들(200) 내에서 물리적으로 노출될 수 있다.Referring to FIG. 2 , etch stop dielectric layer 790 and planarization dielectric layer 760 may be removed from each memory array region 100 and from each step region 200 . For example, a photoresist layer (not shown) may cover each region including the semiconductor devices 710 , a planarization dielectric layer 760 not covered by the photoresist layer and an etch stop dielectric layer ( Portions of 790 may be removed by at least one etching process, which may include an isotropic etching process (eg, a wet etching process) and/or an anisotropic etching process (eg, a reactive ion etching process). The top surface of the substrate 908 (eg, the top surface of the second doped well 10 ) may be physically exposed within the memory array region 100 and adjacent step regions 200 .

제1 재료 층들 및 제2 재료 층들의 교번하는 스택이 후속적으로 형성된다. 각각의 제1 재료 층은 제1 재료를 포함할 수 있고, 각각의 제2 재료 층은 제1 재료와는 상이한 제2 재료를 포함할 수 있다. 재료 층들의 적어도 다른 교번하는 스택이 후속하여 제1 재료 층들 및 제2 재료 층들의 교번하는 스택 위에 형성되는 경우에, 교번하는 스택은 본 명세서에서 제1-티어의 교번하는 스택으로 지칭된다. 제1-티어의 교번하는 스택의 레벨은 본 명세서에서 제1-티어 레벨로 지칭되고, 후속적으로 제1-티어 레벨 바로 위에 형성될 교번하는 스택의 레벨은 본 명세서에서 제2-티어 레벨로 지칭되는 식이다.An alternating stack of first and second material layers is subsequently formed. Each layer of first material may include a first material, and each layer of second material may include a second material that is different from the first material. When at least another alternating stack of material layers is subsequently formed over the alternating stack of first and second material layers, the alternating stack is referred to herein as a first-tier alternating stack. The level of the alternating stack of the first-tier is referred to herein as the first-tier level, and the level of the alternating stack to be subsequently formed immediately above the first-tier level is referred to herein as the second-tier level. is the way it is referred to.

제1-티어의 교번하는 스택은 제1 재료 층들로서의 제1 절연 층(132), 및 제2 재료 층들로서의 제1 스페이서 재료 층들을 포함할 수 있다. 일 실시예에서, 제1 스페이서 재료 층들은 후속하여 전기 전도성 층들로 대체되는 희생 재료 층들일 수 있다. 다른 실시예에서, 제1 스페이서 재료 층들은 후속하여 다른 층들로 대체되지 않는 전기 전도성 층들일 수 있다. 본 개시내용은 희생 재료 층들이 전기 전도성 층들로 대체되는 실시예들을 사용하여 기재되지만, 스페이서 재료 층들이 전기 전도성 층들로서 형성되는 실시예들(이에 의해 대체 공정들을 수행할 필요성을 배제함)이 본 명세서에서 명확히 고려된다.The first-tier alternating stack may include a first insulating layer 132 as first material layers, and first spacer material layers as second material layers. In one embodiment, the first spacer material layers may be sacrificial material layers that are subsequently replaced with electrically conductive layers. In another embodiment, the first spacer material layers may be electrically conductive layers that are not subsequently replaced with other layers. While the present disclosure is described using embodiments in which the sacrificial material layers are replaced with electrically conductive layers, embodiments in which the spacer material layers are formed as electrically conductive layers, thereby excluding the need to perform replacement processes, are It is explicitly contemplated in the specification.

일 실시예에서, 제1 재료 층들 및 제2 재료 층들은 각각 제1 절연 층들(132) 및 제1 희생 재료 층들(142)일 수 있다. 일 실시예에서, 각각의 제1 절연 층(132)은 제1 절연 재료를 포함할 수 있고, 각각의 제1 희생 재료 층(142)은 제1 희생 재료를 포함할 수 있다. 교번하는 복수의 제1 절연 층들(132) 및 제1 희생 재료 층들(142)이 기판(908) 위에 형성된다. 본 명세서에서 사용되는 바와 같이, "희생 재료"는 후속 처리 단계 동안 제거되는 재료를 지칭한다.In one embodiment, the first material layers and the second material layers may be first insulating layers 132 and first sacrificial material layers 142 , respectively. In one embodiment, each first insulating layer 132 may include a first insulating material, and each first sacrificial material layer 142 may include a first sacrificial material. An alternating plurality of first insulating layers 132 and first sacrificial material layers 142 are formed over the substrate 908 . As used herein, “sacrificial material” refers to material that is removed during subsequent processing steps.

본 명세서에 사용되는 바와 같이, 제1 요소들 및 제2 요소들의 교번하는 스택은 제1 요소들의 인스턴스들 및 제2 요소들의 인스턴스들이 교번하는 구조물을 지칭한다. 교번하는 복수 중 단부 요소가 아닌 제1 요소들의 각각의 인스턴스는 양 면들 상에서 제2 요소들의 2개의 인스턴스들에 의해 인접하고, 교번하는 복수 중 단부 요소가 아닌 제2 요소들의 각각의 인스턴스는 양 단부들 상에서 제1 요소들의 2개의 인스턴스들에 의해 인접한다. 제1 요소들은 전반적으로 동일한 두께를 가질 수 있거나, 또는 상이한 두께들을 가질 수 있다. 제2 요소들은 전반적으로 동일한 두께를 가질 수 있거나, 또는 상이한 두께들을 가질 수 있다. 교번하는 복수의 제1 재료 층들 및 제2 재료 층들은 제1 재료 층들의 인스턴스로 또는 제2 재료 층들의 인스턴스로 시작할 수 있고, 제1 재료 층들의 인스턴스로 또는 제2 재료 층들의 인스턴스로 끝날 수 있다. 일 실시예에서, 제1 요소들의 인스턴스 및 제2 요소들의 인스턴스는 교번하는 복수 내에서 주기성을 가지고 반복되는 유닛을 형성할 수 있다.As used herein, an alternating stack of first elements and second elements refers to a structure in which instances of first elements and instances of second elements alternate. each instance of the first elements that are not the alternating plurality of end elements is adjacent on both sides by two instances of the second elements, each instance of the second elements that are not the alternating plurality of end elements is disposed at both ends adjoined by two instances of the first elements on the poles. The first elements may have the same overall thickness, or they may have different thicknesses. The second elements may have the same overall thickness, or they may have different thicknesses. An alternating plurality of first material layers and second material layers may begin with an instance of first material layers or with an instance of second material layers, and may end with an instance of first material layers or second material layers. have. In one embodiment, the instances of the first elements and the instances of the second elements may form units that repeat with periodicity within an alternating plurality.

제1-티어의 교번하는 스택(132, 142)은 제1 재료로 구성된 제1 절연 층들(132), 및 제1 재료와는 상이한 제2 재료로 구성된 제1 희생 재료 층들(142)을 포함할 수 있다. 제1 절연 층들(132)의 제1 재료는 적어도 하나의 절연 재료일 수 있다. 제1 절연 층들(132)에 사용될 수 있는 절연 재료들은 실리콘 산화물(도핑된 또는 도핑되지 않은 실리케이트 유리 포함함), 실리콘 질화물, 실리콘 산질화물, 유기실리케이트 유리(OSG), 스핀-온 유전체 재료, 고 유전상수(고-k) 유전체 산화물(예를 들어, 알루미늄 산화물, 하프늄 산화물 등)로 통상적으로 알려진 유전체 금속 산화물 및 그 실리케이트, 유전체 금속 산질화물 및 그 실리케이트, 및 유기 절연 재료를 포함하지만, 이들로 제한되지 않는다. 일 실시예에서, 제1 절연 층들(132)의 제1 재료는 실리콘 산화물일 수 있다.The first-tier alternating stack 132 , 142 may include first insulating layers 132 composed of a first material, and first sacrificial material layers 142 composed of a second material different from the first material. can The first material of the first insulating layers 132 may be at least one insulating material. Insulating materials that may be used for the first insulating layers 132 include silicon oxide (including doped or undoped silicate glass), silicon nitride, silicon oxynitride, organosilicate glass (OSG), spin-on dielectric material, high dielectric metal oxides and silicates thereof, dielectric metal oxynitrides and silicates thereof, and organic insulating materials commonly known as dielectric constant (high-k) dielectric oxides (eg, aluminum oxide, hafnium oxide, etc.) not limited In one embodiment, the first material of the first insulating layers 132 may be silicon oxide.

제1 희생 재료 층들(142)의 제2 재료는 제1 절연 층들(132)의 제1 재료에 대해 선택적으로 제거될 수 있는 희생 재료일 수 있다. 본 명세서에 사용되는 바와 같이, 제거 공정이 제2 재료의 제거율의 적어도 2배인 비율로 제1 재료를 제거하는 경우, 제1 재료의 제거는 제2 재료에 대해 "선택적"이다. 제2 재료의 제거율에 대한 제1 재료의 제거율의 비는 본 명세서에서 제2 재료에 대한 제1 재료의 제거 공정의 "선택도"로 지칭된다.The second material of the first sacrificial material layers 142 may be a sacrificial material that may be selectively removed with respect to the first material of the first insulating layers 132 . As used herein, removal of the first material is “selective” with respect to the second material if the removal process removes the first material at a rate that is at least twice the removal rate of the second material. The ratio of the removal rate of the first material to the removal rate of the second material is referred to herein as the “selectivity” of the removal process of the first material over the second material.

제1 희생 재료 층들(142)은 절연 재료, 반도체 재료, 또는 전도성 재료를 포함할 수 있다. 제1 희생 재료 층들(142)의 제2 재료는 후속으로, 예를 들어, 수직 NAND 디바이스의 제어 게이트 전극들로서 기능할 수 있는 전기 전도성 전극들로 대체될 수 있다. 일 실시예에서, 제1 희생 재료 층들(142)은 실리콘 질화물을 포함하는 재료 층들일 수 있다.The first sacrificial material layers 142 may include an insulating material, a semiconductor material, or a conductive material. The second material of the first sacrificial material layers 142 may subsequently be replaced with electrically conductive electrodes that may serve, for example, as control gate electrodes of a vertical NAND device. In one embodiment, the first sacrificial material layers 142 may be material layers comprising silicon nitride.

일 실시예에서, 제1 절연 층들(132)은 실리콘 산화물을 포함할 수 있고, 희생 재료 층들은 실리콘 질화물 희생 재료 층들을 포함할 수 있다. 제1 절연 층들(132)의 제1 재료는, 예를 들어 화학 기상 침착(CVD)에 의해 침착될 수 있다. 예를 들어, 실리콘 산화물이 제1 절연 층들(132)에 사용되는 경우, 테트라에틸오르토실리케이트(TEOS)가 CVD 공정을 위한 전구체 재료로서 사용될 수 있다. 제1 희생 재료 층들(142)의 제2 재료는, 예를 들어, CVD 또는 원자 층 침착(ALD)으로 형성될 수 있다.In one embodiment, the first insulating layers 132 may include silicon oxide and the sacrificial material layers may include silicon nitride sacrificial material layers. The first material of the first insulating layers 132 may be deposited by chemical vapor deposition (CVD), for example. For example, when silicon oxide is used for the first insulating layers 132 , tetraethylorthosilicate (TEOS) may be used as a precursor material for the CVD process. The second material of the first sacrificial material layers 142 may be formed, for example, by CVD or atomic layer deposition (ALD).

제1 절연 층들(132) 및 제1 희생 재료 층들(142)의 두께들은 20 nm 내지 50 nm 범위에 있을 수 있지만, 각각의 제1 절연 층(132) 및 각각의 제1 희생 재료 층(142)에 대해 더 작은 두께 및 더 큰 두께가 사용될 수 있다. 제1 절연 층(132) 및 제1 희생 재료 층(142)의 쌍들의 반복 수는 2 내지 1,024, 및 전형적으로 8 내지 256의 범위일 수 있지만, 더 많은 반복 수가 또한 사용될 수 있다. 일 실시예에서, 제1-티어의 교번하는 스택(132, 142) 내의 각각의 제1 희생 재료 층(142)은 각자의 제1 희생 재료 층(142) 각각 내에서 실질적으로 불변인 균일한 두께를 가질 수 있다.The thicknesses of the first insulating layers 132 and the first sacrificial material layers 142 may be in the range of 20 nm to 50 nm, but each first insulating layer 132 and each first sacrificial material layer 142 . Smaller and larger thicknesses may be used for . The number of repetitions of the pairs of first insulating layer 132 and first sacrificial material layer 142 may range from 2 to 1,024, and typically from 8 to 256, although higher repetition numbers may also be used. In one embodiment, each first sacrificial material layer 142 in the first-tier alternating stack 132 , 142 has a substantially constant uniform thickness within each respective first sacrificial material layer 142 . can have

제1 절연 캡 층(170)이 후속적으로 제1 교번하는 스택(132, 142) 위에 형성된다. 제1 절연 캡 층(170)은 제1 절연 층(132)에 사용될 수 있는 임의의 유전체 재료일 수 있는 유전체 재료를 포함한다. 일 실시예에서, 제1 절연 캡 층(170)은 제1 절연 층(132)과 동일한 유전체 재료를 포함한다. 제1 절연 캡 층(170)의 두께는 20 nm 내지 300 nm의 범위일 수 있지만, 더 작은 그리고 더 큰 두께들이 또한 사용될 수 있다.A first insulating cap layer 170 is subsequently formed over the first alternating stacks 132 , 142 . The first insulating cap layer 170 includes a dielectric material, which can be any dielectric material that can be used for the first insulating layer 132 . In one embodiment, the first insulating cap layer 170 includes the same dielectric material as the first insulating layer 132 . The thickness of the first insulating cap layer 170 may range from 20 nm to 300 nm, although smaller and larger thicknesses may also be used.

제1 절연 캡 층(170) 및 제1-티어의 교번하는 스택(132, 142)은 계단 영역(200) 내에 제1 계단형 표면들을 형성하도록 패턴화될 수 있다. 제1-티어의 교번하는 스택(132, 142)의 각각의 층은 에칭 정지 유전체 층(790) 위로부터 제거될 수 있다. 계단 영역(200)은 제1 계단형 표면들이 형성되는 각자의 제1 계단형 영역, 및 추가의 계단형 표면들이 후속하여 (후속으로 제1-티어 구조물 위에 형성될) 제2-티어 구조물 및/또는 추가의 티어 구조물들로 형성되는 제2 계단형 영역을 포함할 수 있다. 제1 계단형 표면들은, 예를 들어 내부에 개구를 갖는 마스크 층을 형성하고, 제1 절연 캡 층(170)의 레벨들 내에 공동을 에칭하고, 반복적으로, 에칭된 영역을 확장시키고 에칭된 영역 내의 에칭된 공동의 저부 표면 바로 아래에 위치된 제1 희생 재료 층(142) 및 제1 절연 층(132)의 각각의 쌍을 에칭함으로써 공동을 수직으로 리세스시킴으로써 형성될 수 있다. 일 실시예에서, 제1 희생 재료 층들(142)의 상단 표면들은 제1 계단형 표면들에서 물리적으로 노출될 수 있다. 제1 계단형 표면들 위에 놓인 공동은 본 명세서에서 제1 계단형 공동으로 지칭된다.The first insulating cap layer 170 and the first-tier alternating stack 132 , 142 may be patterned to form first stepped surfaces within the stepped region 200 . Each layer of the first-tier alternating stack 132 , 142 may be removed from above the etch stop dielectric layer 790 . The stepped region 200 is a respective first stepped region in which first stepped surfaces are formed, and a second-tier structure (to be subsequently formed over the first-tier structure) and/or a respective first stepped region in which additional stepped surfaces are formed. or a second stepped region formed of additional tier structures. The first stepped surfaces, for example, form a mask layer having an opening therein, etch a cavity within the levels of the first insulating cap layer 170 , iteratively expand the etched area and etch the etched area may be formed by vertically recessing the cavity by etching each pair of the first sacrificial material layer 142 and the first insulating layer 132 located directly below the bottom surface of the etched cavity within. In one embodiment, the top surfaces of the first sacrificial material layers 142 may be physically exposed at the first stepped surfaces. The cavity overlying the first stepped surfaces is referred to herein as the first stepped cavity.

제1 절연 층들(132) 및 제1 희생 재료 층들(142)은 메모리 어레이 영역(100)의 전체 영역 위에 연속적으로 연장되고, 따라서 각각 제1 연속적인 절연 층들 및 제1 연속적인 희생 재료 층들로 또한 지칭된다. 제1 연속적인 절연 층들 및 제1 연속적인 희생 재료 층들의 수직으로 교번하는 시퀀스가 기판(908) 위에 형성될 수 있다. 제1 계단형 표면들은 수직으로 교번하는 시퀀스의 주변 부분들에 형성된다. 수직으로 교번하는 시퀀스의 각각의 층은 메모리 어레이 영역(100) 내에 존재한다. 제1 연속적인 희생 재료 층들의 측방향 범위는 각각의 계단 영역(200) 내의 기판(908)으로부터의 수직 거리에 따라 감소한다. 일 실시예에서, 수직으로 교번하는 시퀀스의 모든 층들이 에칭 정지 유전체 층(790) 위로부터 제거되고, 수직으로 교번하는 시퀀스의 나머지 부분들의 계단형 표면들은 에칭 정지 유전체 층(790)이 존재하는 영역들까지 연장되지 않는다.The first insulating layers 132 and the first sacrificial material layers 142 extend continuously over the entire area of the memory array region 100 , and thus also as first continuous insulating layers and first continuous sacrificial material layers, respectively. is referred to A vertically alternating sequence of first successive insulating layers and first successive layers of sacrificial material may be formed over the substrate 908 . First stepped surfaces are formed in peripheral portions of a vertically alternating sequence. Each layer in a vertically alternating sequence resides within the memory array region 100 . The lateral extent of the first successive layers of sacrificial material decreases with vertical distance from the substrate 908 in each step region 200 . In one embodiment, all layers of the vertically alternating sequence are removed from above the etch stop dielectric layer 790 , and the stepped surfaces of the remaining portions of the vertically alternating sequence are the regions where the etch stop dielectric layer 790 is present. does not extend to

도 3을 참조하면, 유전체 충전 재료(예를 들어, 도핑되지 않은 실리케이트 유리 또는 도핑된 실리케이트 유리)가 제1 계단형 공동을 충전하도록 침착될 수 있다. 유전체 충전 재료의 잉여 부분들은 제1 절연 캡 층(170)의 상단 표면을 포함하는 수평 평면 위로부터 제거될 수 있다. 제1 계단형 표면들 위에 놓인 영역을 충전하는 유전체 충전 재료의 나머지 부분은 제1 계단형 유전체 재료 부분(165)을 구성한다. 본 명세서에 사용되는 바와 같이, "계단형" 요소는, 계단형 표면들, 및 요소가 존재하는 기판의 상부 표면으로부터의 수직 거리의 함수로서 단조적으로 증가하는 수평 단면적을 갖는 요소를 지칭한다. 제1 역-계단형 유전체 재료 부분은 에칭 정지 유전체 층(790) 위에 놓이고 그와 접촉한다. 제1-티어의 교번하는 스택(132, 142) 및 제1 계단형 유전체 재료 부분(165)은 후속하여 수정되는 공정-중 구조인 제1-티어 구조를 집합적으로 구성한다.Referring to FIG. 3 , a dielectric filling material (eg, undoped silicate glass or doped silicate glass) may be deposited to fill the first stepped cavity. Excess portions of dielectric filling material may be removed from above a horizontal plane comprising a top surface of first insulating cap layer 170 . The remainder of the dielectric fill material filling the region overlying the first stepped surfaces constitutes the first stepped dielectric material portion 165 . As used herein, a “stepped” element refers to an element having stepped surfaces and a horizontal cross-sectional area that monotonically increases as a function of the vertical distance from the upper surface of the substrate on which the element is present. A first counter-stepped portion of dielectric material overlies and contacts the etch stop dielectric layer 790 . The first-tier alternating stacks 132 , 142 and the first stepped dielectric material portion 165 collectively constitute a first-tier structure, which is a subsequently modified in-process structure.

인터-티어 유전체 층(180)이 선택적으로 제1-티어 구조물(132, 142, 170, 165) 위에 침착될 수 있다. 인터-티어 유전체 층(180)은 실리콘 산화물과 같은 유전체 재료를 포함한다. 일 실시예에서, 인터-티어 유전체 층(180)은 (도핑되지 않은 실리케이트 유리를 포함할 수 있는) 제1 절연 층들(132)의 재료보다 더 큰 에칭 속도를 갖는 도핑된 실리케이트 유리를 포함할 수 있다. 예를 들어, 인터-티어 유전체 층(180)은 포스포실리케이트 유리를 포함할 수 있다. 인터-티어 유전체 층(180)의 두께는 30 nm 내지 300 nm의 범위일 수 있지만, 더 작은 그리고 더 큰 두께들이 또한 사용될 수 있다.An inter-tier dielectric layer 180 may optionally be deposited over the first-tier structures 132 , 142 , 170 , 165 . Inter-tier dielectric layer 180 includes a dielectric material such as silicon oxide. In one embodiment, the inter-tier dielectric layer 180 may include doped silicate glass having a greater etch rate than the material of the first insulating layers 132 (which may include undoped silicate glass). have. For example, the inter-tier dielectric layer 180 may include phosphosilicate glass. The thickness of the inter-tier dielectric layer 180 may range from 30 nm to 300 nm, although smaller and larger thicknesses may also be used.

도 4a 및 도 4b를 참조하면, 다양한 제1-티어 개구들(149, 129)이 인터-티어 유전체 층(180) 및 제1-티어 구조물(132, 142, 170, 165)을 통해 기판(908) 내로 형성될 수 있다. 포토레지스트 층(도시되지 않음)이 인터-티어 유전체 층(180) 위에 적용될 수 있고, 리소그래피 방식으로 패턴화되어 이를 통해 다양한 개구들을 형성할 수 있다. 포토레지스트 층 내의 개구들의 패턴은 인터-티어 유전체 층(180) 및 제1-티어 구조물(132, 142, 170, 165)을 통해 제1 이방성 에칭 공정에 의해 기판(908)으로 전사되어, 다양한 제1-티어 개구들(149, 129)을 동시에, 즉 제1 등방성 에칭 공정 동안 형성한다. 다양한 제1-티어 개구들(149, 129)은 제1-티어 메모리 개구들(149) 및 제1-티어 지지 개구들(129)을 포함할 수 있다. 제1 교번하는 스택(132, 142) 내의 단계들(S)의 위치들이 도 4b에 점선들로 예시되어 있다.4A and 4B , various first-tier openings 149 , 129 pass through inter-tier dielectric layer 180 and first-tier structures 132 , 142 , 170 , 165 through substrate 908 . ) can be formed into A layer of photoresist (not shown) may be applied over the inter-tier dielectric layer 180 and lithographically patterned to form various openings therethrough. The pattern of openings in the photoresist layer is transferred to the substrate 908 by a first anisotropic etch process through the inter-tier dielectric layer 180 and the first-tier structures 132 , 142 , 170 , and 165 , to form a variety of second-order substrates. One-tier openings 149 and 129 are formed simultaneously, ie during the first isotropic etching process. The various first-tier openings 149 , 129 may include first-tier memory openings 149 and first-tier support openings 129 . The positions of steps S in the first alternating stack 132 , 142 are illustrated by dashed lines in FIG. 4B .

제1-티어 메모리 개구들(149)은 제1 교번하는 스택(132, 142) 내의 각각의 층을 통해 메모리 어레이 영역(100) 내에 형성되고 후속하여 메모리 스택 구조물들을 형성하는 데 사용되는 개구들이다. 제1-티어 메모리 개구들(149)은 제2 수평 방향(hd2)을 따라 측방향으로 이격되는 제1-티어 메모리 개구들(149)의 클러스터들로 형성될 수 있다. 제1-티어 메모리 개구들(149)의 각각의 클러스터는 제1-티어 메모리 개구들(149)의 2차원 어레이로서 형성될 수 있다.The first-tier memory openings 149 are openings formed in the memory array region 100 through respective layers in the first alternating stack 132 , 142 and subsequently used to form memory stack structures. The first-tier memory openings 149 may be formed as clusters of the first-tier memory openings 149 that are laterally spaced apart along the second horizontal direction hd2 . Each cluster of first-tier memory openings 149 may be formed as a two-dimensional array of first-tier memory openings 149 .

제1-티어 지지 개구들(129)은 계단형 영역(200) 내에 형성되는 개구들이다. 제1 계단형 유전체 재료 부분(165)을 통해 형성되는 제1-티어 지지 개구들(129)의 서브세트가 제1 계단형 표면들의 각자의 수평 표면을 통해 형성될 수 있다.The first-tier support openings 129 are openings formed in the stepped region 200 . A subset of the first-tier support openings 129 formed through the first stepped dielectric material portion 165 may be formed through respective horizontal surfaces of the first stepped surfaces.

일 실시예에서, 제1 이방성 에칭 공정은 제1-티어의 교번하는 스택(132, 142)의 재료들이 제1 계단형 유전체 재료 부분(165)의 재료와 동시에 에칭되는 초기 단계를 포함할 수 있다. 초기 에칭 단계의 화학적 특성은 제1 계단형 유전체 재료 부분(165)의 재료에 비견되는 평균 에칭 속도를 제공하면서 제1-티어의 교번하는 스택(132, 142)의 제1 및 제2 재료들의 에칭을 최적화시키도록 교번할 수 있다. 제1 이방성 에칭 공정은, 예를 들어 일련의 반응성 이온 에칭 공정들 또는 단일 반응 에칭 공정(예를 들어, CF4/O2/Ar 에칭)을 사용할 수 있다. 다양한 제1-티어 개구들(149, 129)의 측벽들은 실질적으로 수직일 수 있거나, 테이퍼질 수 있다. 일 실시예에서, 이방성 에칭 공정의 단자 부분은 제2 도핑된 웰(10)의 상부 부분 내로 에칭되는 오버에칭 단계를 포함할 수 있다. 포토레지스트 층은 예를 들어, 애싱에 의해 후속적으로 제거될 수 있다.In one embodiment, the first anisotropic etching process may include an initial step in which the materials of the first-tier alternating stack 132 , 142 are etched concurrently with the material of the first stepped dielectric material portion 165 . . The chemistry of the initial etch step etches the first and second materials of the first-tier alternating stack 132 , 142 while providing an average etch rate comparable to that of the first stepped dielectric material portion 165 . can be alternated to optimize The first anisotropic etch process may use, for example, a series of reactive ion etch processes or a single reactive etch process (eg, CF 4 /O 2 /Ar etch). The sidewalls of the various first-tier openings 149 , 129 may be substantially vertical or may be tapered. In one embodiment, the terminal portion of the anisotropic etching process may include an overetching step that is etched into the upper portion of the second doped well 10 . The photoresist layer may be subsequently removed, for example, by ashing.

선택적으로, 인터-티어 유전체 층(180)의 레벨에서의 제1-티어 메모리 개구들(149) 및 제1-티어 지지 개구들(129)의 부분들은 등방성 에칭에 의해 측방향으로 확장될 수 있다. 이러한 경우에, 인터-티어 유전체 층(180)은 희석된 플루오르화수소산 내의 (도핑되지 않은 실리케이트 유리를 포함할 수 있는) 제1 절연 층(132)보다 더 큰 에칭 속도를 갖는 유전체 재료(예컨대, 붕규산염 유리)를 포함할 수 있다. 등방성 에칭(예컨대, HF를 사용하는 습식 에칭)이 인터-티어 유전체 층(180)의 레벨에서 제1-티어 메모리 개구들(149)의 측방향 치수들을 확장시키는 데 사용될 수 있다. 인터-티어 유전체 층(180)의 레벨에 위치된 제1-티어 메모리 개구들(149)의 부분들은 선택적으로, 후속적으로 제2-티어의 교번하는 스택을 통해 형성될(후속적으로 제2-티어 메모리 개구들의 형성 이전에 형성될) 제2-티어 메모리 개구들에 대해 더 큰 랜딩 패드를 제공하도록 확대될 수 있다.Optionally, portions of first-tier memory openings 149 and first-tier support openings 129 at the level of inter-tier dielectric layer 180 may be laterally expanded by isotropic etching. . In this case, the inter-tier dielectric layer 180 is a dielectric material having a greater etch rate than the first insulating layer 132 (which may include undoped silicate glass) in diluted hydrofluoric acid (eg, borosilicate glass). An isotropic etch (eg, wet etch using HF) may be used to expand the lateral dimensions of the first-tier memory openings 149 at the level of the inter-tier dielectric layer 180 . Portions of first-tier memory openings 149 located at the level of inter-tier dielectric layer 180 are optionally subsequently to be formed through an alternating stack of second-tier (subsequently second second) tiers. can be enlarged to provide a larger landing pad for the second-tier memory openings - to be formed prior to formation of the tier memory openings

도 5를 참조하면, 희생 제1-티어 개구 충전 부분들(148, 128)이 다양한 제1-티어 개구들(149, 129)에 형성될 수 있다. 예를 들어, 희생 제1-티어 충전 재료가 제1-티어 개구들(149, 129) 각각에 동시에 침착되어 침착된다. 희생 제1-티어 충전 재료는 후속하여 제1 절연 층들(132) 및 제1 희생 재료 층들(142)의 재료들에 대해 선택적으로 제거될 수 있는 재료를 포함한다.Referring to FIG. 5 , sacrificial first-tier opening filling portions 148 , 128 may be formed in various first-tier openings 149 , 129 . For example, a sacrificial first-tier fill material is deposited simultaneously onto each of the first-tier openings 149 and 129 . The sacrificial first-tier filling material includes a material that can be subsequently removed selectively with respect to the materials of the first insulating layers 132 and the first sacrificial material layers 142 .

일 실시예에서, 희생 제1-티어 충전 재료는 실리콘(예컨대, a-Si 또는 폴리실리콘), 실리콘-게르마늄 합금, 게르마늄, III-V 족 화합물 반도체 재료, 또는 이들의 조합과 같은 반도체 재료를 포함할 수 있다. 선택적으로, 희생 제1-티어 충전 재료를 침착하기 전에 얇은 에칭 정지 라이너(예를 들어, 1 nm 내지 3 nm 범위의 두께를 갖는 실리콘 산화물 층 또는 실리콘 질화물 층)가 사용될 수 있다. 희생 제1-티어 충전 재료는 비-등각 침착 또는 등각 침착 방법에 의해 형성될 수 있다.In one embodiment, the sacrificial first-tier fill material comprises a semiconductor material such as silicon (eg, a-Si or polysilicon), a silicon-germanium alloy, germanium, a group III-V compound semiconductor material, or a combination thereof. can do. Optionally, a thin etch stop liner (eg, a silicon oxide layer or a silicon nitride layer having a thickness in the range of 1 nm to 3 nm) may be used prior to depositing the sacrificial first-tier fill material. The sacrificial first-tier fill material may be formed by non-conformal deposition or conformal deposition methods.

다른 실시예에서, 희생 제1-티어 충전 재료는 제1 절연 층들(132), 제1 절연 캡 층(170), 및 인터-티어 유전체 층(180)의 재료들보다 높은 에칭 속도를 갖는 실리콘 산화물 재료를 포함할 수 있다. 예를 들어, 희생 제1-티어 충전 재료는 100:1 희석된 플루오르화수소산에서 치밀화된 TEOS 산화물(즉, 화학 기상 침착 공정에서 테트라에틸오르토실리케이트 유리의 분해에 의해 형성되고 후속적으로 어닐링 공정에서 치밀화된 실리콘 산화물 재료)의 에칭 속도보다 적어도 100배 높은 에칭 레이트를 갖는 붕규산염 유리, 또는 다공성 또는 비-다공성 유기실리케이트 유리를 포함할 수 있다. 이러한 경우, 희생 제1-티어 충전 재료를 침착하기 전에 얇은 에칭 정지 라이너(예를 들어, 1 nm 내지 3 nm 범위의 두께를 갖는 실리콘 질화물 층)가 사용될 수 있다. 희생 제1-티어 충전 재료는 비-등각 침착 또는 등각 침착 방법에 의해 형성될 수 있다.In another embodiment, the sacrificial first-tier fill material is silicon oxide having a higher etch rate than the materials of the first insulating layers 132 , the first insulating cap layer 170 , and the inter-tier dielectric layer 180 . material may be included. For example, the sacrificial first-tier fill material is formed by decomposition of tetraethylorthosilicate glass in a 100:1 diluted hydrofluoric acid densified TEOS oxide (i.e., tetraethylorthosilicate glass in a chemical vapor deposition process and subsequently in an annealing process). borosilicate glass, or porous or non-porous organosilicate glass having an etch rate at least 100 times higher than the etch rate of the densified silicon oxide material. In this case, a thin etch stop liner (eg, a silicon nitride layer having a thickness in the range of 1 nm to 3 nm) may be used prior to depositing the sacrificial first-tier fill material. The sacrificial first-tier fill material may be formed by non-conformal deposition or conformal deposition methods.

또 다른 실시예에서, 희생 제1-티어 충전 재료는 애싱에 의해 후속적으로 제거될 수 있는 비정질 탄소-함유 재료(예를 들어, 비정질 탄소 또는 다이아몬드-형 탄소) 또는 제1 교번 스택(132, 142)의 재료들에 대해 후속적으로 선택적으로 제거될 수 있는 실리콘계 중합체를 포함할 수 있다.In another embodiment, the sacrificial first-tier fill material is an amorphous carbon-containing material (eg, amorphous carbon or diamond-like carbon) or a first alternating stack 132 that may be subsequently removed by ashing. 142) may include a silicone-based polymer that can be selectively removed subsequently.

침착된 희생 재료의 부분들은, 제1-티어의 교번하는 스택(132, 142)의 최상부 층 위로부터 예컨대 인터-티어 유전체 층(180) 위로부터 제거될 수 있다. 예를 들어, 희생 제1-티어 충전 재료는 평탄화 공정을 사용하여 인터-티어 유전체 층(180)의 상단 표면으로 리세스될 수 있다. 평탄화 공정은 리세스 에칭(recess etch), 화학적 기계적 평탄화(CMP), 또는 이들의 조합을 포함할 수 있다. 인터-티어 유전체 층(180)의 상단 표면은 에칭 정지 층 또는 평탄화 정지 층으로서 사용될 수 있다.Portions of the deposited sacrificial material may be removed from over the top layer of the first-tier alternating stack 132 , 142 , such as from over the inter-tier dielectric layer 180 . For example, the sacrificial first-tier fill material may be recessed into the top surface of the inter-tier dielectric layer 180 using a planarization process. The planarization process may include a recess etch, chemical mechanical planarization (CMP), or a combination thereof. The top surface of the inter-tier dielectric layer 180 may be used as an etch stop layer or a planarization stop layer.

희생 제1-티어 충전 재료의 나머지 부분들은 희생 제1-티어 개구 충전 부분들(148, 128)을 포함한다. 구체적으로, 제1-티어 메모리 개구(149) 내의 희생 재료의 각각의 나머지 부분은 희생 제1-티어 메모리 개구 충전 부분(148)을 구성한다. 제1-티어 지지 개구(129) 내의 희생 재료의 각각의 나머지 부분은 희생 제1-티어 지지 개구 충전 부분(128)을 구성한다. 다양한 희생 제1-티어 개구 충전 부분들(148, 128)은 동시에, 즉, 희생 제1-티어 충전 재료를 침착하는 침착 공정 및 제1 교번하는 스택(132, 142) 위로부터(예컨대, 인터-티어 유전체 층(180)의 상단 표면 위로부터) 제1-티어 침착 공정을 제거하는 평탄화 공정을 포함하는 동일한 세트의 공정들 동안 형성된다. 희생 제1-티어 개구 충전 부분들(148, 128)의 상단 표면들은 인터-티어 유전체 층(180)의 상단 표면과 동일 평면 상에 있을 수 있다. 희생 제1-티어 개구 충전 부분들(148, 128) 각각은 내부에 공동들을 포함할 수 있거나 포함하지 않을 수 있다.The remaining portions of the sacrificial first-tier fill material include sacrificial first-tier opening fill portions 148 , 128 . Specifically, each remaining portion of the sacrificial material in the first-tier memory opening 149 constitutes the sacrificial first-tier memory opening filling portion 148 . Each remaining portion of the sacrificial material in the first-tier support opening 129 constitutes a sacrificial first-tier support opening filling portion 128 . The various sacrificial first-tier opening fill portions 148 , 128 simultaneously, ie, a deposition process that deposits the sacrificial first-tier fill material and from above the first alternating stack 132 , 142 (eg, inter- formed during the same set of processes including a planarization process that removes the first-tier deposition process (from above the top surface of the tier dielectric layer 180 ). The top surfaces of the sacrificial first-tier opening filling portions 148 , 128 may be coplanar with the top surface of the inter-tier dielectric layer 180 . Each of the sacrificial first-tier opening filling portions 148 , 128 may or may not include cavities therein.

도 6을 참조하면, 제2-티어 구조물이 제1-티어 구조물(132, 142, 170, 148) 위에 형성될 수 있다. 제2-티어 구조물은 희생 재료 층들일 수 있는 절연 층들 및 스페이서 재료 층들의 추가의 교번하는 스택을 포함할 수 있다. 예를 들어, 재료 층들의 제2 교번하는 스택(232, 242)이 후속하여 제1 교번하는 스택(132, 142)의 상단 표면 상에 형성될 수 있다. 제2 교번하는 스택(232, 242)은 교번하는 복수의 제3 재료 층들 및 제 4 재료 층들을 포함할 수 있다. 각각의 제3 재료 층은 제3 재료를 포함할 수 있고, 각각의 제4 재료 층은 제3 재료와는 상이한 제4 재료를 포함할 수 있다. 일 실시예에서, 제3 재료는 제1 절연 층(132)의 제1 재료와 동일할 수 있고, 제4 재료는 제1 희생 재료 층들(142)의 제2 재료와 동일할 수 있다.Referring to FIG. 6 , a second-tier structure may be formed on the first-tier structures 132 , 142 , 170 , and 148 . The second-tier structure may include an additional alternating stack of insulating layers and spacer material layers, which may be sacrificial material layers. For example, a second alternating stack 232 , 242 of material layers may subsequently be formed on the top surface of the first alternating stack 132 , 142 . The second alternating stack 232 , 242 may include an alternating plurality of third and fourth material layers. Each layer of third material may include a third material, and each layer of fourth material may include a fourth material that is different from the third material. In one embodiment, the third material may be the same as the first material of the first insulating layer 132 , and the fourth material may be the same as the second material of the first sacrificial material layers 142 .

일 실시예에서, 제3 재료 층들은 제2 절연 층들(232)일 수 있고, 제4 재료 층들은 제2 절연 층들(232)의 각각의 수직으로 이웃하는 쌍 사이의 수직 간격을 제공하는 제2 스페이서 재료 층들일 수 있다. 일 실시예에서, 제3 재료 층들 및 제4 재료 층들은 각각 제2 절연 층들(232) 및 제2 희생 재료 층들(242)일 수 있다. 제2 절연 층들(232)의 제3 재료는 적어도 하나의 절연 재료일 수 있다. 제2 희생 재료 층들(242)의 제4 재료는 제2 절연 층들(232)의 제3 재료에 대해 선택적으로 제거될 수 있는 희생 재료일 수 있다. 제2 희생 재료 층들(242)은 절연 재료, 반도체 재료, 또는 전도성 재료를 포함할 수 있다. 제2 희생 재료 층들(242)의 제4 재료는 후속으로, 예를 들어, 수직 NAND 디바이스의 제어 게이트 전극들로서 기능할 수 있는 전기 전도성 전극들로 대체될 수 있다.In one embodiment, the third material layers may be second insulating layers 232 , wherein the fourth material layers provide a second vertical spacing between each vertically neighboring pair of second insulating layers 232 . spacer material layers. In one embodiment, the third material layers and the fourth material layers may be second insulating layers 232 and second sacrificial material layers 242 , respectively. The third material of the second insulating layers 232 may be at least one insulating material. The fourth material of the second sacrificial material layers 242 may be a sacrificial material that may be selectively removed with respect to the third material of the second insulating layers 232 . The second sacrificial material layers 242 may include an insulating material, a semiconductor material, or a conductive material. The fourth material of the second sacrificial material layers 242 may subsequently be replaced with electrically conductive electrodes that may serve, for example, as control gate electrodes of a vertical NAND device.

일 실시예에서, 각각의 제2 절연 층(232)은 제2 절연 재료를 포함할 수 있고, 각각의 제2 희생 재료 층(242)은 제2 희생 재료를 포함할 수 있다. 이러한 경우에, 제2 교번하는 스택(232, 242)은 교번하는 복수의 제2 절연 층들(232) 및 제2 희생 재료 층들(242)을 포함할 수 있다. 제2 절연 층들(232)의 제3 재료는, 예를 들어 화학 기상 침착(CVD)에 의해 침착될 수 있다. 제2 희생 재료 층들(242)의 제4 재료는, 예를 들어, CVD 또는 원자 층 침착(ALD)으로 형성될 수 있다.In one embodiment, each second insulating layer 232 can include a second insulating material, and each second sacrificial material layer 242 can include a second sacrificial material. In this case, the second alternating stack 232 , 242 may include an alternating plurality of second insulating layers 232 and second sacrificial material layers 242 . The third material of the second insulating layers 232 may be deposited by chemical vapor deposition (CVD), for example. The fourth material of the second sacrificial material layers 242 may be formed, for example, by CVD or atomic layer deposition (ALD).

제2 절연 층들(232)의 제3 재료는 적어도 하나의 절연 재료일 수 있다. 제2 절연 층들(232)에 사용될 수 있는 절연 재료들은 제1 절연 층들(132)에 사용될 수 있는 임의의 재료일 수 있다. 제2 희생 재료 층들(242)의 제4 재료는 제2 절연 층들(232)의 제3 재료에 대해 선택적으로 제거될 수 있는 희생 재료이다. 제2 희생 재료 층들(242)에 사용될 수 있는 희생 재료들은 제1 희생 재료 층들(142)에 사용될 수 있는 임의의 재료일 수 있다. 일 실시예에서, 제2 절연 재료는 제1 절연 재료와 동일할 수 있고, 제2 희생 재료는 제1 희생 재료와 동일할 수 있다.The third material of the second insulating layers 232 may be at least one insulating material. The insulating materials that can be used for the second insulating layers 232 can be any material that can be used for the first insulating layers 132 . The fourth material of the second sacrificial material layers 242 is a sacrificial material that can be selectively removed with respect to the third material of the second insulating layers 232 . The sacrificial materials that may be used for the second sacrificial material layers 242 may be any material that may be used for the first sacrificial material layers 142 . In one embodiment, the second insulating material may be the same as the first insulating material, and the second sacrificial material may be the same as the first sacrificial material.

제2 절연 층들(232) 및 제2 희생 재료 층들(242)의 두께들은 20 nm 내지 50 nm 범위에 있을 수 있지만, 각각의 제2 절연 층(232) 및 각각의 제2 희생 재료 층(242)에 대해 더 작은 두께 및 더 큰 두께가 사용될 수 있다. 제2 절연 층(232) 및 제2 희생 재료 층(242)의 쌍들의 반복 수는 2 내지 1,024, 및 전형적으로 8 내지 256의 범위일 수 있지만, 더 많은 반복 수가 또한 사용될 수 있다. 일 실시예에서, 제2 교번하는 스택(232, 242) 내의 각각의 제2 희생 재료 층(242)은 각자의 제2 희생 재료 층(242) 각각 내에서 실질적으로 불변인 균일한 두께를 가질 수 있다.The thicknesses of the second insulating layers 232 and the second sacrificial material layers 242 may range from 20 nm to 50 nm, but each second insulating layer 232 and each second sacrificial material layer 242 Smaller and larger thicknesses may be used for . The number of repetitions of the pairs of the second insulating layer 232 and the second sacrificial material layer 242 may range from 2 to 1,024, and typically from 8 to 256, although higher repetition numbers may also be used. In one embodiment, each second sacrificial material layer 242 in the second alternating stacks 232 , 242 may have a substantially invariant uniform thickness within each respective second sacrificial material layer 242 . have.

제2 계단형 영역 내의 제2 계단형 표면들은 적어도 하나의 마스킹 층의 패턴에 적합한 조정으로 제1 계단형 영역 내의 제1 계단형 표면들을 형성하는 데 사용되는 처리 단계들과 동일한 세트의 처리 단계들을 사용하여 계단 영역(200) 내에 형성될 수 있다. 제2 계단형 유전체 재료 부분(265)이 계단형 영역(200) 내의 제2 계단형 표면들 위에 형성될 수 있다.The second stepped surfaces in the second stepped region may undergo the same set of processing steps as those used to form the first stepped surfaces in the first stepped region, with adjustments suitable for the pattern of the at least one masking layer. It can be formed in the step area 200 using A second stepped dielectric material portion 265 may be formed over the second stepped surfaces in the stepped region 200 .

제2 절연 캡 층(270)이 후속적으로 제2 교번하는 스택(232, 242) 위에 형성될 수 있다. 제2 절연 캡 층(270)은 제2 희생 재료 층들(242)의 재료와 상이한 유전체 재료를 포함한다. 일 실시예에서, 제2 절연 캡 층(270)은 실리콘 산화물을 포함할 수 있다. 일 실시예에서, 제1 및 제2 희생 재료 층들(142, 242)은 실리콘 질화물을 포함할 수 있다.A second insulating cap layer 270 may subsequently be formed over the second alternating stacks 232 , 242 . The second insulating cap layer 270 includes a dielectric material that is different from the material of the second sacrificial material layers 242 . In one embodiment, the second insulating cap layer 270 may include silicon oxide. In one embodiment, the first and second sacrificial material layers 142 , 242 may include silicon nitride.

제2 절연 층들(232) 및 제2 희생 재료 층들(242)은 메모리 어레이 영역(100)의 전체 영역 위에 연속적으로 연장되고, 따라서 각각 제2 연속적인 절연 층들 및 제2 연속적인 희생 재료 층들로 또한 지칭된다. 제2 연속적인 절연 층들 및 제2 연속적인 희생 재료 층들의 수직으로 교번하는 시퀀스가 기판(908) 위에 형성될 수 있다. 제2 계단형 표면들은 수직으로 교번하는 시퀀스의 주변 부분들에 형성된다. 수직으로 교번하는 시퀀스의 각각의 층은 메모리 어레이 영역(100) 내에 존재한다. 제2 연속적인 희생 재료 층들(242)의 측방향 범위는 각각의 계단 영역(200) 내의 기판(908)으로부터의 수직 거리에 따라 감소한다. 일 실시예에서, 수직으로 교번하는 시퀀스의 모든 층들이 에칭 정지 유전체 층(790) 위로부터 제거되고, 수직으로 교번하는 시퀀스의 나머지 부분들의 계단형 표면들은 에칭 정지 유전체 층(790)이 존재하는 영역들까지 연장되지 않는다.The second insulating layers 232 and the second sacrificial material layers 242 extend continuously over the entire area of the memory array region 100 , and thus also as second continuous insulating layers and second consecutive sacrificial material layers, respectively. is referred to A vertically alternating sequence of second successive insulating layers and second successive layers of sacrificial material may be formed over the substrate 908 . Second stepped surfaces are formed in peripheral portions of a vertically alternating sequence. Each layer in a vertically alternating sequence resides within the memory array region 100 . The lateral extent of the second successive layers of sacrificial material 242 decreases with vertical distance from the substrate 908 in each step region 200 . In one embodiment, all layers of the vertically alternating sequence are removed from above the etch stop dielectric layer 790 , and the stepped surfaces of the remaining portions of the vertically alternating sequence are the regions where the etch stop dielectric layer 790 is present. does not extend to

일반적으로 말하면, 연속적인 절연 층들(132, 232) 및 연속적인 스페이서 재료 층들(예컨대, 연속적인 희생 재료 층들(142, 242))의 적어도 하나의 수직으로 교번하는 시퀀스가 기판(908) 위에 형성될 수 있고, 적어도 하나의 계단형 유전체 재료 부분(165, 265)이 적어도 하나의 수직으로 교번하는 시퀀스(132, 142, 232, 242) 상의 계단 영역들 위에 형성될 수 있다.Generally speaking, at least one vertically alternating sequence of successive insulating layers 132 , 232 and successive spacer material layers (eg, successive sacrificial material layers 142 , 242 ) is to be formed over the substrate 908 . and at least one stepped dielectric material portion 165 , 265 may be formed over the stepped regions on the at least one vertically alternating sequence 132 , 142 , 232 , 242 .

선택적으로, 드레인 선택 레벨 격리 구조물들(72)은 제2-티어 교번하는 스택(232, 242)의 상부 부분 내의 층들의 서브세트를 통해 형성될 수 있다. 드레인 선택 레벨 격리 구조물들(72)에 의해 절단된 제2 희생 재료 층들(242)은 드레인 선택 레벨 전기 전도성 층들이 후속적으로 형성되는 레벨들에 대응한다. 드레인 선택 레벨 격리 구조물들(72)은 실리콘 산화물과 같은 유전체 재료를 포함한다. 드레인 선택 레벨 격리 구조물들(72)은 제1 수평 방향(hd1)을 따라 측방향으로 연장될 수 있고, 제1 수평 방향(hd1)에 수직인 제2 수평 방향(hd2)을 따라 측방향으로 이격될 수 있다. 제2 교번하는 스택(232, 242), 제2 계단형 유전체 재료 부분(265), 제2 절연 캡 층(270), 및 선택적인 드레인 선택 레벨 격리 구조물들(72)은 집합적으로 제2-티어 구조물(232, 242, 265, 270, 72)을 구성한다.Optionally, drain select level isolation structures 72 may be formed through a subset of the layers in the upper portion of the second two-tier alternating stack 232 , 242 . The second sacrificial material layers 242 cut by the drain select level isolation structures 72 correspond to the levels at which the drain select level electrically conductive layers are subsequently formed. Drain select level isolation structures 72 include a dielectric material such as silicon oxide. The drain select level isolation structures 72 may extend laterally in a first horizontal direction hd1 and are laterally spaced apart in a second horizontal direction hd2 perpendicular to the first horizontal direction hd1 . can be The second alternating stack 232 , 242 , the second stepped dielectric material portion 265 , the second insulating cap layer 270 , and the optional drain select level isolation structures 72 are collectively a second- tier structures 232 , 242 , 265 , 270 and 72

도 7a 및 도 7b를 참조하면, 다양한 제2-티어 개구들(249, 229)이 제2-티어 구조물(232, 242, 265, 270, 72)을 통해 형성될 수 있다. 포토레지스트 층(도시되지 않음)이 제2 절연 캡 층(270) 위에 적용될 수 있고, 리소그래피 방식으로 패턴화되어 이를 통해 다양한 개구들을 형성할 수 있다. 메모리 어레이 영역(100) 내의 제2-티어 메모리 개구들(249)의 패턴은 제1-티어 메모리 개구 충전 부분(148)의 패턴과 동일한 제1-티어 메모리 개구들(149)의 패턴과 동일할 수 있다. 계단 영역(200) 내의 제2-티어 지지 개구들(229)의 패턴의 측방향 범위는 제2-티어의 교번하는 스택(232, 242)의 계단형 표면들의 영역들 내로 제한될 수 있다. 다시 말해, 제2-티어 지지 개구들(229)은 제2 역-계단형 유전체 재료 부분(265)이 스택간 유전체 층(180)의 상단 표면과 접촉하는 영역 내에 없을 수 있다. 따라서, 제1-티어 개구들(149, 129)을 패턴화하는 데 사용되는 리소그래픽 마스크가 포토레지스트 층을 패턴화하는 데 사용될 수 있다.7A and 7B , various second-tier openings 249 and 229 may be formed through the second-tier structures 232 , 242 , 265 , 270 , and 72 . A photoresist layer (not shown) may be applied over the second insulating cap layer 270 and lithographically patterned to form various openings therethrough. The pattern of the second-tier memory openings 249 in the memory array region 100 may be the same as the pattern of the first-tier memory openings 149 that is the same as the pattern of the first-tier memory opening filling portion 148 . can The lateral extent of the pattern of second-tier support openings 229 in stepped region 200 may be limited into regions of stepped surfaces of second-tier alternating stack 232 , 242 . In other words, the second-tier support openings 229 may not be in the region where the second counter-stepped dielectric material portion 265 contacts the top surface of the inter-stack dielectric layer 180 . Accordingly, the lithographic mask used to pattern the first-tier openings 149 , 129 may be used to pattern the photoresist layer.

포토레지스트 층 내의 개구들의 패턴은 제2 이방성 에칭 공정에 의해 제2-티어 구조물(232, 242, 265, 270, 72)을 통해 전사되어, 동시에, 즉 제2 이방성 에칭 공정 동안 다양한 제2-티어 개구들(249, 229)을 형성할 수 있다. 다양한 제2-티어 개구들(249, 229)은 제2-티어 메모리 개구들(249) 및 제2-티어 지지 개구들(229)을 포함할 수 있다.The pattern of openings in the photoresist layer is transferred through the second-tier structures 232 , 242 , 265 , 270 , 72 by a second anisotropic etch process, simultaneously, i.e., during the second anisotropic etch process, various second tiers. Openings 249 and 229 may be formed. The various second-tier openings 249 , 229 may include second-tier memory openings 249 and second-tier support openings 229 .

제2-티어 메모리 개구들(249)은 희생 제1-티어 메모리 개구 충전 부분들(148)의 각자의 하나의 상단 표면 상에 직접 형성된다. 제2-티어 지지 개구들(229)은 희생 제1-티어 지지 개구 충전 부분들(128)의 각자의 하나의 상단 표면 상에 직접 형성된다. 또한, 각각의 제2-티어 지지 개구들(229)은 제2 계단형 표면들 내의 수평 표면을 통해 형성될 수 있으며, 이는 제2 교번하는 스택(232, 242)과 제2 계단형 유전체 재료 부분(265) 사이의 계면 표면들을 포함한다. 제1-티어 교번하는 스택(132, 142) 및 제2-티어 교번하는 스택(232, 242) 내의 단계들(S)의 위치들이 도 7b에 점선들로 예시되어 있다.The second-tier memory openings 249 are formed directly on the top surface of each one of the sacrificial first-tier memory opening filling portions 148 . The second-tier support openings 229 are formed directly on the top surface of each one of the sacrificial first-tier support opening filling portions 128 . Also, each of the second-tier support openings 229 may be formed through a horizontal surface within the second stepped surfaces, which includes a second alternating stack 232 , 242 and a second stepped dielectric material portion. interfacial surfaces between 265 . The positions of steps S in the first-tier alternating stack 132 , 142 and the second tier alternating stack 232 , 242 are illustrated by dashed lines in FIG. 7B .

제2 이방성 에칭 공정은 제2-티어의 교번하는 스택(232, 242)의 재료들이 제2 계단형 유전체 재료 부분(265)의 재료와 동시에 에칭되는 에칭 단계를 포함할 수 있다. 에칭 단계의 화학적 특성은 제2 계단형 유전체 재료 부분(265)의 재료에 비견되는 평균 에칭 속도를 제공하면서 제2-티어의 교번하는 스택(232, 242)의 재료들의 에칭을 최적화시키도록 교번할 수 있다. 제2 이방성 에칭 공정은, 예를 들어 일련의 반응성 이온 에칭 공정들 또는 단일 반응 에칭 공정(예를 들어, CF4/O2/Ar 에칭)을 사용할 수 있다. 다양한 제2-티어 개구들(249, 229)의 측벽들은 실질적으로 수직일 수 있거나, 테이퍼질 수 있다. 각각의 제2-티어 개구(249, 229)의 저부 주변부는 측방향으로 오프셋될 수 있고/있거나, 아래에 놓인 희생 제1-티어 개구 충전 부분(148, 128)의 상단 표면의 주변부 내에 전체적으로 위치될 수 있다. 포토레지스트 층은 예를 들어, 애싱에 의해 후속적으로 제거될 수 있다.The second anisotropic etching process may include an etching step in which the materials of the second tier of alternating stacks 232 , 242 are etched simultaneously with the material of the second stepped dielectric material portion 265 . The chemistry of the etching step may be alternated to optimize the etching of the materials of the second-tier alternating stack 232 , 242 while providing an average etch rate comparable to that of the second stepped dielectric material portion 265 . can The second anisotropic etch process may use, for example, a series of reactive ion etch processes or a single reactive etch process (eg, CF 4 /O 2 /Ar etch). The sidewalls of the various second-tier openings 249 , 229 may be substantially vertical or may be tapered. The bottom perimeter of each second-tier opening 249 , 229 may be laterally offset and/or located entirely within the perimeter of the top surface of the underlying sacrificial first-tier opening filling portion 148 , 128 . can be The photoresist layer may be subsequently removed, for example, by ashing.

도 8을 참조하면, 희생 제1-티어 개구 충전 부분들(148, 128)의 희생 제1-티어 충전 재료는, 제1 및 제2 절연 층들(132, 232), 제1 및 제2 희생 재료 층들(142, 242), 제1 및 제2 절연 캡 층들(170, 270), 및 인터-티어 유전체 층(180)의 재료들에 대해 선택적인 희생 제1-티어 충전 재료를 에칭하는 에칭 공정을 사용하여 제거될 수 있다. 인터-티어 메모리 개구(49)로 또한 지칭되는 메모리 개구(49)는 희생 제1-티어 메모리 개구 충전 부분(148)이 그로부터 제거되는 체적 및 제2-티어 메모리 개구들(249)의 각각의 조합으로 형성된다. 인터-티어 지지 개구(19)로 또한 지칭되는 지지 개구(19)는 희생 제1-티어 지지 개구 충전 부분(128)이 그로부터 제거되는 체적 및 제2-티어 지지 개구들(229)의 각각의 조합으로 형성된다.Referring to FIG. 8 , the sacrificial first-tier filling material of the sacrificial first-tier opening filling portions 148 , 128 includes the first and second insulating layers 132 , 232 , the first and second sacrificial material an etching process that etches the sacrificial first-tier fill material selective to the materials of the layers 142 , 242 , the first and second insulating cap layers 170 , 270 , and the inter-tier dielectric layer 180 . It can be removed using Memory opening 49 , also referred to as inter-tier memory opening 49 , is each combination of second-tier memory openings 249 and the volume from which sacrificial first-tier memory opening filling portion 148 is removed. is formed with Support opening 19 , also referred to as inter-tier support opening 19 , is each combination of second-tier support openings 229 and the volume from which sacrificial first-tier support opening fill portion 128 is removed therefrom. is formed with

도 9a 내지 도 9d는 메모리 개구 충전 구조물의 형성 동안의 메모리 개구(49)의 순차적인 단면도들을 제공한다. 동일한 구조적 변화가 메모리 개구들(49) 및 지지 개구들(19) 각각에서 발생한다.9A-9D provide sequential cross-sectional views of the memory opening 49 during formation of the memory opening filling structure. The same structural change occurs in each of the memory openings 49 and the support openings 19 .

도 9a를 참조하면, 받침대 채널 부분(11)은 각각의 메모리 개구(49)의 저부에서 그리고 각각의 지지 개구(19)의 저부에서 선택적 반도체 재료 침착 공정에 의해 형성될 수 있다. 제1 전도성 유형의 도핑을 갖는 도핑된 반도체 재료는 제2 도핑 웰(10)의 물리적으로 노출된 표면들로부터 선택적으로 성장될 수 있는 반면, 유전체 표면들로부터의 도핑된 반도체 재료의 성장은 선택적 반도체 재료 침착 공정 동안 억제된다. 반도체 전구체 가스, 제1 전도성 유형의 도펀트 원자들을 포함하는 도펀트 가스, 및 에천트는 제1 예시적인 구조물을 동시에 또는 교번하여 포함하는 공정 챔버 내로 유동될 수 있다. 상단 표면의 주변부의 각각의 받침대 채널 부분(11)은 최하부 제1 희생 재료 층(142) 위에 놓이고 그와 접촉하는 제1 절연 층(132)의 측벽과 접촉할 수 있다. 받침대 채널 부분들(11) 내의 제1 전도성 유형 도펀트들의 원자 농도는 1.0 × 1014/㎤ 내지 1.0 × 1018/㎤의 범위일 수 있지만, 더 작은 그리고 더 큰 도펀트 원자 농도들이 또한 사용될 수 있다. p-n 접합이 제2 도핑된 웰(10)과 받침대 채널 부분(11) 사이의 각각의 계면에 형성될 수 있다.Referring to FIG. 9A , the pedestal channel portion 11 may be formed by a selective semiconductor material deposition process at the bottom of each memory opening 49 and at the bottom of each support opening 19 . A doped semiconductor material having a doping of a first conductivity type may be selectively grown from physically exposed surfaces of the second doped well 10, whereas growth of the doped semiconductor material from dielectric surfaces is a selective semiconductor. suppressed during the material deposition process. A semiconductor precursor gas, a dopant gas comprising dopant atoms of a first conductivity type, and an etchant may be flowed into a process chamber comprising the first exemplary structure, either simultaneously or alternately. Each pedestal channel portion 11 of the periphery of the top surface may be in contact with a sidewall of the first insulating layer 132 overlying and in contact with the lowermost first sacrificial material layer 142 . The atomic concentration of the first conductivity type dopants in the pedestal channel portions 11 may range from 1.0×10 14 /cm 3 to 1.0×10 18 /cm 3 , although smaller and larger dopant atomic concentrations may also be used. A pn junction may be formed at each interface between the second doped well 10 and the pedestal channel portion 11 .

도 9b를 참조하면, 차단 유전체 층(52), 전하 저장 층(54), 터널링 유전체 층(56), 및 반도체 채널 재료 층(60L)을 포함하는 층들의 스택이 메모리 개구들(49) 내에 순차적으로 침착될 수 있다. 차단 유전체 층(52)은 단일 유전체 재료 층 또는 복수의 유전체 재료 층들의 스택을 포함할 수 있다. 일 실시예에서, 차단 유전체 층은 유전체 금속 산화물로 본질적으로 이루어진 유전체 금속 산화물 층을 포함할 수 있다. 본 명세서에 사용되는 바와 같이, 유전체 금속 산화물은 적어도 하나의 금속성 원소 및 적어도 산소를 포함하는 유전체 재료를 지칭한다. 유전체 금속 산화물은 적어도 하나의 금속성 원소 및 산소로 본질적으로 이루어질 수 있거나, 적어도 하나의 금속성 원소, 산소, 및 질소와 같은 적어도 하나의 비-금속성 원소로 본질적으로 이루어질 수 있다. 일 실시예에서, 차단 유전체 층(52)은 7.9 초과의 유전 상수를 갖는, 즉 실리콘 질화물의 유전 상수보다 큰 유전 상수를 갖는 유전체 금속 산화물을 포함할 수 있다. 유전체 금속 산화물 층의 두께는 1 nm 내지 20 nm의 범위일 수 있지만, 더 작은 그리고 더 큰 두께가 또한 사용될 수 있다. 유전체 금속 산화물 층은 후속적으로, 저장된 전기 전하들이 제어 게이트 전극들로 누설되는 것을 차단하는 유전체 재료 부분으로서 기능할 수 있다. 일 실시예에서, 차단 유전체 층(52)은 알루미늄 산화물을 포함한다. 대안으로 또는 추가로, 차단 유전체 층(52)은 실리콘 산화물, 실리콘 산질화물, 실리콘 질화물, 또는 이들의 조합과 같은 유전체 반도체 화합물을 포함할 수 있다.Referring to FIG. 9B , a stack of layers including a blocking dielectric layer 52 , a charge storage layer 54 , a tunneling dielectric layer 56 , and a semiconductor channel material layer 60L is sequentially within the memory openings 49 . can be settled with The blocking dielectric layer 52 may include a single layer of dielectric material or a stack of multiple layers of dielectric material. In one embodiment, the blocking dielectric layer may include a dielectric metal oxide layer consisting essentially of a dielectric metal oxide. As used herein, dielectric metal oxide refers to a dielectric material comprising at least one metallic element and at least oxygen. The dielectric metal oxide may consist essentially of at least one metallic element and oxygen, or may consist essentially of at least one metallic element, oxygen, and at least one non-metallic element such as nitrogen. In one embodiment, the blocking dielectric layer 52 may include a dielectric metal oxide having a dielectric constant greater than 7.9, ie, a dielectric constant greater than that of silicon nitride. The thickness of the dielectric metal oxide layer may range from 1 nm to 20 nm, although smaller and larger thicknesses may also be used. The dielectric metal oxide layer may subsequently function as a portion of the dielectric material that blocks stored electrical charges from leaking to the control gate electrodes. In one embodiment, the blocking dielectric layer 52 includes aluminum oxide. Alternatively or additionally, the blocking dielectric layer 52 may include a dielectric semiconductor compound such as silicon oxide, silicon oxynitride, silicon nitride, or combinations thereof.

후속으로, 전하 저장 층(54)이 형성될 수 있다. 일 실시예에서, 전하 저장 층(54)은, 예를 들어 실리콘 질화물일 수 있는 유전체 전하 트래핑 재료를 포함하는 전하 트래핑 재료의 연속적인 층 또는 패턴화된 개별 부분들일 수 있다. 대안으로, 전하 저장 층(54)은, 예를 들어 측방향 리세스들 내에서 희생 재료 층들(142, 242) 내로 형성됨으로써, 다수의 전기적으로 격리된 부분들(예컨대, 플로팅 게이트들)로 패턴화되는 금속성 재료 또는 도핑된 폴리실리콘과 같은 전도성 재료의 패턴화된 개별 부분들 또는 연속적인 층을 포함할 수 있다. 일 실시예에서, 전하 저장 층(54)은 실리콘 질화물 층을 포함한다. 일 실시예에서, 희생 재료 층들(142, 242) 및 절연 층들(132, 232)은 수직으로 일치하는 측벽들을 가질 수 있고, 전하 저장 층(54)은 단일 연속 층으로서 형성될 수 있다. 대안적으로, 희생 재료 층들(142, 242)은 절연 층들(132, 232)의 측벽들에 대해 측방향으로 리세스될 수 있고, 침착 공정과 이방성 에칭 공정의 조합이, 수직으로 이격된 복수의 메모리 재료 부분들로서 전하 저장 층(54)을 형성하기 위해 사용될 수 있다. 전하 저장 층(54)의 두께는 2 nm 내지 20 nm 범위에 있을 수 있지만, 더 작은 두께 및 더 큰 두께도 사용될 수 있다.Subsequently, a charge storage layer 54 may be formed. In one embodiment, charge storage layer 54 may be a continuous layer or patterned discrete portions of charge trapping material including a dielectric charge trapping material, which may be, for example, silicon nitride. Alternatively, the charge storage layer 54 is patterned into multiple electrically isolated portions (eg, floating gates) by being formed into the sacrificial material layers 142 , 242 , for example in lateral recesses. patterned discrete portions or continuous layers of a conductive material such as doped polysilicon or metallic material to be crystallized. In one embodiment, the charge storage layer 54 comprises a silicon nitride layer. In one embodiment, the sacrificial material layers 142 , 242 and the insulating layers 132 , 232 may have vertically matching sidewalls, and the charge storage layer 54 may be formed as a single continuous layer. Alternatively, the sacrificial material layers 142 , 242 may be laterally recessed with respect to the sidewalls of the insulating layers 132 , 232 , wherein a combination of the deposition process and the anisotropic etching process comprises a plurality of vertically spaced It can be used to form the charge storage layer 54 as portions of memory material. The thickness of the charge storage layer 54 may range from 2 nm to 20 nm, although smaller and larger thicknesses may also be used.

터널링 유전체 층(56)은, 적합한 전기 바이어스 조건들 하에서 전하 터널링이 수행될 수 있는 유전체 재료를 포함한다. 전하 터널링은 형성될 모놀리식 3차원 NAND 스트링 메모리 디바이스의 동작 모드에 따라, 고온-캐리어 주입을 통해 또는 파울러-노르드하임 터널링 유도 전하 전달에 의해 수행될 수 있다. 터널링 유전체 층(56)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 유전체 금속 산화물(예컨대, 알루미늄 산화물 및 하프늄 산화물), 유전체 금속 산질화물, 유전체 금속 실리케이트, 이들의 합금, 및/또는 이들의 조합을 포함할 수 있다. 일 실시예에서, 터널링 유전체 층(56)은 제1 실리콘 산화물 층, 실리콘 산질화물 층, 및 제2 실리콘 산화물 층의 스택을 포함할 수 있으며, 이는 흔히 ONO 스택으로서 알려져 있다. 일 실시예에서, 터널링 유전체 층(56)은 실질적으로 탄소가 없는 실리콘 산화물 층 또는 실질적으로 탄소가 없는 실리콘 산질화물 층을 포함할 수 있다. 터널링 유전체 층(56)의 두께는 2 nm 내지 20 nm 범위에 있을 수 있지만, 더 작은 두께 및 더 큰 두께도 사용될 수 있다. 차단 유전체 층(52), 전하 저장 층(54), 및 터널링 유전체 층(56)의 스택은 메모리 비트들을 저장하는 메모리 필름(50)을 구성한다.Tunneling dielectric layer 56 comprises a dielectric material capable of charge tunneling under suitable electrical bias conditions. Charge tunneling can be performed via hot-carrier injection or by Fowler-Nordheim tunneling induced charge transfer, depending on the mode of operation of the monolithic three-dimensional NAND string memory device to be formed. Tunneling dielectric layer 56 is formed of silicon oxide, silicon nitride, silicon oxynitride, dielectric metal oxides (e.g., aluminum oxide and hafnium oxide), dielectric metal oxynitride, dielectric metal silicate, alloys thereof, and/or combinations thereof. may include In one embodiment, tunneling dielectric layer 56 may include a stack of a first silicon oxide layer, a silicon oxynitride layer, and a second silicon oxide layer, commonly known as an ONO stack. In one embodiment, tunneling dielectric layer 56 may include a substantially carbon-free silicon oxide layer or a substantially carbon-free silicon oxynitride layer. The thickness of the tunneling dielectric layer 56 may range from 2 nm to 20 nm, although smaller and larger thicknesses may be used. The stack of blocking dielectric layer 52 , charge storage layer 54 , and tunneling dielectric layer 56 constitutes a memory film 50 that stores memory bits.

반도체 채널 재료 층(60L)은 p-도핑된 반도체 재료, 예컨대 적어도 하나의 원소 반도체 재료, 적어도 하나의 III-V 화합물 반도체 재료, 적어도 하나의 II-VI 화합물 반도체 재료, 적어도 하나의 유기 반도체 재료, 또는 당업계에 알려진 다른 반도체 재료들을 포함한다. 일 실시예에서, 반도체 채널 재료 층(60L)은 균일한 도핑을 가질 수 있다. 일 실시예에서, 반도체 채널 재료 층(60L)은 p-형 도핑을 가지며, 여기서 p-형 도펀트들(예컨대, 붕소 원자들)은 1.0 × 1012/㎤ 내지 1.0 × 1018/㎤, 예를 들어 1.0 × 1014/㎤ 내지 1.0 × 1017/㎤ 범위의 원자 농도로 존재한다. 일 실시예에서, 반도체 채널 재료 층(60L)은 붕소-도핑된 비정질 실리콘 또는 붕소-도핑된 폴리실리콘을 포함하고/포함하거나, 이들로 본질적으로 이루어진다. 다른 실시예에서, 반도체 채널 재료 층(60L)은 n-형 도핑을 가지며, 여기서 n-형 도펀트들(예컨대, 인 원자들 또는 비소 원자들)은 1.0 × 1015/㎤ 내지 1.0 × 1019/㎤, 예를 들어 1.0 × 1016/㎤ 내지 1.0 × 1018/㎤ 범위의 원자 농도로 존재한다. 반도체 채널 재료 층(60L)은 저압 화학 기상 침착(LPCVD)과 같은 등각 침착 방법에 의해 형성될 수 있다. 반도체 채널 재료 층(60L)의 두께는 2 nm 내지 10 nm 범위에 있을 수 있지만, 더 작은 두께 및 더 큰 두께도 사용될 수 있다. 침착된 재료 층들(52, 54, 56, 60L)로 충전되지 않은 각각의 메모리 개구(49)의 체적에서 공동(49')이 형성된다.The semiconductor channel material layer 60L is a p-doped semiconductor material, such as at least one elemental semiconductor material, at least one III-V compound semiconductor material, at least one II-VI compound semiconductor material, at least one organic semiconductor material, or other semiconductor materials known in the art. In one embodiment, the semiconductor channel material layer 60L may have uniform doping. In one embodiment, the semiconductor channel material layer 60L has a p-type doping, wherein the p-type dopants (eg, boron atoms) are from 1.0 × 10 12 /cm 3 to 1.0 × 10 18 /cm 3 , e.g. For example, it is present in atomic concentrations ranging from 1.0 × 10 14 /cm 3 to 1.0 × 10 17 /cm 3 . In one embodiment, the semiconductor channel material layer 60L comprises and/or consists essentially of boron-doped amorphous silicon or boron-doped polysilicon. In another embodiment, the semiconductor channel material layer 60L has an n-type doping, wherein the n-type dopants (eg, phosphorus atoms or arsenic atoms) are 1.0 × 10 15 /cm 3 to 1.0 × 10 19 / It is present in atomic concentrations in cm 3 , for example in the range from 1.0 × 10 16 /cm 3 to 1.0 × 10 18 /cm 3 . The semiconductor channel material layer 60L may be formed by a conformal deposition method such as low pressure chemical vapor deposition (LPCVD). The thickness of the semiconductor channel material layer 60L may range from 2 nm to 10 nm, although smaller and larger thicknesses may be used. A cavity 49' is formed in the volume of each memory opening 49 not filled with the deposited material layers 52, 54, 56, 60L.

도 9c를 참조하면, 각각의 메모리 개구 내의 공동(49')이 반도체 채널 재료 층(60L)에 의해 완전히 충전되지 않는 경우, 각각의 메모리 개구 내의 공동(49')의 임의의 나머지 부분을 충전하기 위해 유전체 코어 층이 공동(49') 내에 침착될 수 있다. 유전체 코어 층은 실리콘 산화물 또는 유기실리케이트 유리와 같은 유전체 재료를 포함한다. 유전체 코어 층은 저압 화학 기상 침착(LPCVD)과 같은 등각 침착 방법에 의해, 또는 스핀 코팅과 같은 자기-평탄화 침착 공정에 의해 침착될 수 있다. 제2 절연 캡 층(270) 위에 놓인 유전체 코어 층의 수평 부분은, 예를 들어 리세스 에칭에 의해 제거될 수 있다. 리세스 에칭은, 유전체 코어 층의 나머지 부분들의 상단 표면들이 제2 절연 캡 층(270)의 상단 표면과 제2 절연 캡 층(270)의 저부 표면 사이의 높이로 리세스될 때까지 계속된다. 유전체 코어 층의 각각의 나머지 부분은 유전체 코어(62)를 구성한다.Referring to FIG. 9C , if the cavities 49' in each memory opening are not completely filled by the semiconductor channel material layer 60L, filling any remaining portions of the cavities 49' in each memory opening is performed. A dielectric core layer may be deposited within the cavity 49'. The dielectric core layer includes a dielectric material such as silicon oxide or organosilicate glass. The dielectric core layer may be deposited by a conformal deposition method such as low pressure chemical vapor deposition (LPCVD), or by a self-planarizing deposition process such as spin coating. A horizontal portion of the dielectric core layer overlying the second insulating cap layer 270 may be removed by, for example, a recess etch. The recess etch continues until the top surfaces of the remaining portions of the dielectric core layer are recessed to a height between the top surface of the second insulating cap layer 270 and the bottom surface of the second insulating cap layer 270 . Each remaining portion of the dielectric core layer constitutes the dielectric core 62 .

도 9d를 참조하면, 도핑된 반도체 재료가 유전체 코어(62) 위에 놓인 공동들 내에 침착될 수 있다. 도핑된 반도체 재료는 반도체 채널 재료 층(60L)의 도핑의 반대 전도성 유형의 도핑을 갖는다. 따라서, 도핑된 반도체 재료는 n-형 도핑을 갖는다. 제2 절연 캡 층(270)의 상단 표면을 포함하는 수평면 위에 놓인 침착된 도핑된 반도체 재료, 반도체 채널 재료 층(60L), 터널링 유전체 층(56), 전하 저장 층(54) 및 차단 유전체 층(52)의 부분들은 화학 기계적 평탄화(CMP) 공정과 같은 평탄화 공정에 의해 제거될 수 있다.Referring to FIG. 9D , doped semiconductor material may be deposited in the cavities overlying the dielectric core 62 . The doped semiconductor material has a doping of a conductivity type opposite to that of the semiconductor channel material layer 60L. Thus, the doped semiconductor material has an n-type doping. Deposited doped semiconductor material, semiconductor channel material layer 60L, tunneling dielectric layer 56, charge storage layer 54 and blocking dielectric layer overlying a horizontal plane comprising the top surface of the second insulating cap layer 270 ( Portions of 52 may be removed by a planarization process, such as a chemical mechanical planarization (CMP) process.

n-도핑된 반도체 재료의 각각의 나머지 부분은 드레인 영역(63)을 구성한다. 드레인 영역들(63) 내의 도펀트 농도는 5.0 × 1019/㎤ 내지 2.0 × 1021/㎤의 범위일 수 있지만, 더 낮거나 더 높은 도펀트 농도도 또한 사용될 수 있다. 도핑된 반도체 재료는, 예를 들어 도핑된 폴리실리콘일 수 있다.Each remaining portion of the n-doped semiconductor material constitutes the drain region 63 . The dopant concentration in drain regions 63 may range from 5.0 x 10 19 /cm 3 to 2.0 x 10 21 /cm 3 , although lower or higher dopant concentrations may also be used. The doped semiconductor material may be, for example, doped polysilicon.

반도체 채널 재료 층(60L)의 각각의 나머지 부분은 수직 반도체 채널(60)을 구성하는데, 이를 통해, 수직 반도체 채널(60)을 포함하는 수직 NAND 디바이스가 턴 온될 때 전류가 흐를 수 있다. 터널링 유전체 층(56)은 전하 저장 층(54)에 의해 둘러싸이고, 수직 반도체 채널(60)을 측방향으로 둘러싼다. 차단 유전체 층(52), 전하 저장 층(54), 및 터널링 유전체 층(56)의 각각의 인접한 세트는 메모리 필름(50)을 집합적으로 구성하며, 이는 거시적 유지 시간으로 전기 전하를 저장할 수 있다. 일부 실시예들에서, 차단 유전체 층(52)이 이 단계에서 메모리 필름(50) 내에 존재하지 않을 수 있고, 차단 유전체 층이 배면 리세스들의 형성 이후에 후속으로 형성될 수 있다. 본 명세서에서 사용되는 바와 같이, 거시적 유지 시간은 24시간을 초과하는 유지 시간과 같은, 영구 메모리 디바이스로서의 메모리 디바이스의 동작에 적합한 유지 시간을 지칭한다.Each remaining portion of the semiconductor channel material layer 60L constitutes a vertical semiconductor channel 60 through which current may flow when a vertical NAND device comprising the vertical semiconductor channel 60 is turned on. Tunneling dielectric layer 56 is surrounded by charge storage layer 54 and laterally surrounds vertical semiconductor channel 60 . Each adjacent set of blocking dielectric layer 52 , charge storage layer 54 , and tunneling dielectric layer 56 collectively constitutes memory film 50 , which is capable of storing electrical charges with a macroscopic hold time. . In some embodiments, blocking dielectric layer 52 may not be present in memory film 50 at this stage, and blocking dielectric layer may be formed subsequently after formation of the backside recesses. As used herein, macroscopic hold time refers to a hold time suitable for operation of a memory device as a permanent memory device, such as a hold time in excess of 24 hours.

메모리 개구(49) 내의 메모리 필름(50)과 수직 반도체 채널(60)(수직 반도체 채널임)의 각각의 조합은 메모리 스택 구조물(55)을 구성한다. 메모리 스택 구조물(55)은 수직 반도체 채널(60), 터널링 유전체 층(56), 전하 저장 층(54)의 부분들을 포함하는 복수의 메모리 요소들, 및 선택적인 차단 유전체 층(52)의 조합이다. 메모리 개구(49) 내의 메모리 스택 구조물(55), 유전체 코어(62), 및 드레인 영역(63)의 각각의 조합은 메모리 개구 충전 구조물(58)을 구성한다. 반도체 재료 층(910) 및 그에 매립된 도핑된 웰들, 제1-티어 구조물(132, 142, 170, 165), 제2-티어 구조물(232, 242, 270, 265, 72), 인터-티어 유전체 층(180), 및 메모리 개구 충전 구조물들(58)은 집합적으로 메모리 레벨 조립체를 구성한다.Each combination of memory film 50 and vertical semiconductor channel 60 (which is a vertical semiconductor channel) in memory opening 49 constitutes memory stack structure 55 . The memory stack structure 55 is a combination of a vertical semiconductor channel 60 , a tunneling dielectric layer 56 , a plurality of memory elements comprising portions of a charge storage layer 54 , and an optional blocking dielectric layer 52 . . Each combination of memory stack structure 55 , dielectric core 62 , and drain region 63 within memory opening 49 constitutes memory opening filling structure 58 . semiconductor material layer 910 and doped wells buried therein, first-tier structures 132, 142, 170, 165, second-tier structures 232, 242, 270, 265, 72, inter-tier dielectric Layer 180, and memory aperture filling structures 58 collectively constitute a memory level assembly.

도 10을 참조하면, 제1 예시적인 구조는 메모리 개구 충전 구조물(58)의 형성 후에 예시된다. 지지 기둥 구조물들(20)은 메모리 개구 충전 구조물들(58)의 형성과 동시에 지지 개구들(19) 내에 형성된다. 각각의 지지 기둥 구조물(20)은 메모리 개구 충전 구조물(58)과 동일한 세트의 컴포넌트들을 가질 수 있다. 일반적으로, 메모리 스택 구조물들(55)의 복수의 세트들이 제1 연속적인 절연 층들(132) 및 제1 연속적인 희생 재료 층들(142)의 수직으로 교번하는 시퀀스를 통해 그리고 제2 연속적인 절연 층들(232) 및 제2 연속적인 희생 재료 층들(242)의 수직으로 교번하는 시퀀스를 통해 형성될 수 있다. 제1 연속적인 절연 층들(132) 및 제2 연속적인 절연 층들(232)은 연속적인 절연 층들(132, 232)의 세트 및 연속적인 희생 재료 층들(142, 242)의 세트로서 간주될 수 있다. 따라서, 메모리 스택 구조물들(55)의 각각의 세트는 연속적인 절연 층들(132, 232) 및 연속적인 희생 재료 층들(142, 242)의 수직으로 교번하는 시퀀스를 통해 수직으로 연장될 수 있다. 메모리 스택 구조물들(55)의 각각의 세트는 제2 수평 방향(hd2)을 따라 측방향으로 이격되는 수직으로 교번하는 시퀀스의 각각의 영역을 통해 수직으로 연장된다. 메모리 스택 구조물들(55) 각각은 각자의 수직 반도체 채널(60) 및 각자의 메모리 필름(60)을 포함한다.Referring to FIG. 10 , a first exemplary structure is illustrated after formation of the memory aperture filling structure 58 . The support pillar structures 20 are formed in the support openings 19 concurrently with the formation of the memory opening filling structures 58 . Each support pillar structure 20 may have the same set of components as the memory aperture filling structure 58 . Generally, the plurality of sets of memory stack structures 55 are formed through a vertically alternating sequence of first successive insulating layers 132 and first successive sacrificial material layers 142 and second successive insulating layers. 232 and second successive layers of sacrificial material 242 may be formed through a vertically alternating sequence. The first successive insulating layers 132 and the second successive insulating layers 232 may be considered a set of successive insulating layers 132 , 232 and a set of successive sacrificial material layers 142 , 242 . Thus, each set of memory stack structures 55 may extend vertically through a vertically alternating sequence of successive insulating layers 132 , 232 and successive sacrificial material layers 142 , 242 . Each set of memory stack structures 55 extends vertically through respective regions in a vertically alternating sequence spaced laterally along a second horizontal direction hd2 . Each of the memory stack structures 55 includes a respective vertical semiconductor channel 60 and a respective memory film 60 .

도 11a 내지 도 11f를 참조하면, 접촉 레벨 유전체 층(280), 분할기 트렌치들(79), 및 슬릿 트렌치들(179)의 형성 후에 제1 예시적인 구조물의 다양한 도면들이 예시되어 있다. 도 11a 내지 도 11d는 제1 예시적인 구조물의 구성을 예시한다. 도 11e는 도 11a 내지 도 11d의 제1 예시적인 구조물의 제1 대안적인 실시예를 예시한다. 도 11f는 도 11a 내지 도 11d의 제1 예시적인 구조물의 제2 대안적인 실시예를 예시한다. 제1 대안적인 실시예 및 제2 대안적인 실시예는 추가의 슬릿 트렌치들(179)의 존재에 의해 도 11a 내지 도 11d에 예시된 구조물과는 상이하다.11A-11F , various views of a first exemplary structure are illustrated after formation of contact level dielectric layer 280 , divider trenches 79 , and slit trenches 179 . 11A-11D illustrate the configuration of a first exemplary structure. 11E illustrates a first alternative embodiment of the first exemplary structure of FIGS. 11A-11D . 11F illustrates a second alternative embodiment of the first exemplary structure of FIGS. 11A-11D . The first and second alternative embodiments differ from the structure illustrated in FIGS. 11A-11D by the presence of additional slit trenches 179 .

구체적으로, 접촉 레벨 유전체 층(280)이 제2-티어 구조물(232, 242, 270, 265, 72) 위에 형성될 수 있다. 접촉 레벨 유전체 층(280)은 실리콘 산화물과 같은 유전체 재료를 포함하고, 등각 또는 비-등각 침착 공정에 의해 형성될 수 있다. 예를 들어, 접촉 레벨 유전체 층(280)은 비도핑 실리케이트 유리를 포함할 수 있고, 100 nm 내지 600 nm 범위의 두께를 가질 수 있지만, 더 작은 그리고 더 큰 두께가 또한 사용될 수 있다.Specifically, a contact level dielectric layer 280 may be formed over the second-tier structures 232 , 242 , 270 , 265 , 72 . Contact level dielectric layer 280 includes a dielectric material such as silicon oxide and may be formed by a conformal or non-conformal deposition process. For example, contact level dielectric layer 280 may include undoped silicate glass and may have a thickness in the range of 100 nm to 600 nm, although smaller and larger thicknesses may also be used.

포토레지스트 층(도시되지 않음)이 접촉 레벨 유전체 층(280) 위에 적용될 수 있고, 메모리 개구 충전 구조물들(58)의 클러스터들 사이에서 제1 수평 방향(hd1)을 따라 연장되는 세장형 개구들을 형성하도록 리소그래피 방식으로 패턴화될 수 있다. 도 11c 및 도 11d에 도시된 바와 같이, 분할기 트렌치들(79) 및 슬릿 트렌치들(179)은 포토레지스트 층 내의 패턴을 접촉 레벨 유전체 층(280), 제2-티어 구조물(232, 242, 270, 265, 72), 및 제1-티어 구조물(132, 142, 170, 165)을 통해 기판(908)의 상단 표면으로 전사함으로써 형성될 수 있다. 본 명세서에 사용되는 바와 같이, "분할기 트렌치"는 연속적인 절연 층들(132, 232)의 수직으로 교번하는 시퀀스 및 연속적인 희생 재료 층들(142, 242)을 복수의 메모리 블록들로 측방향으로 분할하는 트렌치를 지칭한다. 본 명세서에 사용되는 바와 같이, "슬릿 트렌치"는 슬릿의 형상을 갖는 트렌치를 지칭한다. 일 실시예에서, 슬릿 트렌치들(179)은 연속적인 절연 층들(132, 232) 및 연속적인 희생 재료 층들(142, 242)의 수직으로 교번하는 시퀀스의 영역들 외부에(즉, 메모리 어레이 영역(100) 외부에) 형성될 수 있다. 포토레지스트 층 내의 개구들 아래에 놓인 접촉 레벨 유전체 층(280), 제2-티어 구조물(232, 242, 270, 265, 72), 제1-티어 구조물(132, 142, 170, 165) 및 기판(908)의 부분들이 제거되어 분할기 트렌치들(79)을 형성할 수 있다. 포토레지스트 층 내의 개구들 아래에 놓인 접촉 레벨 유전체 층(280), 제2 절연 캡 층(270), 제2 역-계단형 유전체 재료 부분(265), 인터-티어 유전체 층(180) 및 제1 역-계단형 유전체 재료 부분(165)이 제거되어 슬릿 트렌치들(179)을 형성할 수 있다.A photoresist layer (not shown) may be applied over the contact level dielectric layer 280 , forming elongate openings extending along the first horizontal direction hd1 between the clusters of memory aperture filling structures 58 . It can be patterned in a lithographic manner so as to 11C and 11D , divider trenches 79 and slit trenches 179 form a pattern in the photoresist layer with the contact level dielectric layer 280 , the second tier structures 232 , 242 , 270 . , 265 , 72 , and first-tier structures 132 , 142 , 170 , 165 through transfer to the top surface of the substrate 908 . As used herein, a “divider trench” is a vertically alternating sequence of successive insulating layers 132 , 232 and laterally dividing successive sacrificial material layers 142 , 242 into a plurality of memory blocks. refers to a trench that As used herein, “slit trench” refers to a trench having the shape of a slit. In one embodiment, slit trenches 179 are outside (ie, memory array region) regions of a vertically alternating sequence of successive insulating layers 132 , 232 and successive sacrificial material layers 142 , 242 . 100) may be formed externally. Contact level dielectric layer 280 underlying openings in the photoresist layer, second tier structures 232 , 242 , 270 , 265 , 72 , first tier structures 132 , 142 , 170 , 165 and substrate Portions of 908 may be removed to form divider trenches 79 . A contact level dielectric layer 280 underlying the openings in the photoresist layer, a second insulating cap layer 270 , a second counter-stepped dielectric material portion 265 , an inter-tier dielectric layer 180 , and a first Inverse-stepped dielectric material portion 165 may be removed to form slit trenches 179 .

분할기 트렌치들(79) 및 슬릿 트렌치들(179)을 형성하는 이방성 에칭 공정은 에칭 정지 유전체 층(790) 상에서 정지할 수 있다. 따라서, 평탄화 유전체 층(760) 및 하부 반도체 디바이스들(710)은 에칭 정지 유전체 층(790)의 나머지 부분들에 의해 이방성 에칭 공정으로부터 보호될 수 있다. 각각의 분할기 트렌치(79)는 접촉 레벨 유전체 층(280)의 상단 표면으로부터 기판(908)의 상단 표면(예컨대, 제2 도핑 웰(10)의 상단 표면)까지 수직으로 연장될 수 있다. 적어도 하나의 슬릿 트렌치(179)가 접촉 레벨 유전체 층(280)의 상단 표면으로부터 에칭 정지 유전체 층(790)의 표면까지 수직으로 연장될 수 있으며, 이는 에칭 정지 유전체 층(790)의 리세스된 수평 표면일 수 있다. 일 실시예에서, 하나 이상의 슬릿 트렌치들(179)이 주변 영역(300)에, 예컨대 도 11c 및 도 11d에 도시된 바와 같이 위에 놓인 비트 라인 드라이버들 및 감지 증폭기들 사이의 공간 내에 형성될 수 있다. 다른 실시예에서, 하나 이상의 슬릿 트렌치들(179)이 에칭 정지 유전체 층(790)이 존재하지 않는 영역에 형성될 수 있다. 예를 들어, 슬릿 트렌치들(179)은 도 11f에 예시된 바와 같이 커프 영역들(500) 내에 (반도체 다이의 영역 외부에) 형성될 수 있다.The anisotropic etch process forming the divider trenches 79 and the slit trenches 179 may stop on the etch stop dielectric layer 790 . Accordingly, the planarization dielectric layer 760 and underlying semiconductor devices 710 may be protected from the anisotropic etch process by the remaining portions of the etch stop dielectric layer 790 . Each divider trench 79 may extend vertically from a top surface of the contact level dielectric layer 280 to a top surface of the substrate 908 (eg, a top surface of the second doped well 10 ). At least one slit trench 179 may extend vertically from the top surface of the contact level dielectric layer 280 to the surface of the etch stop dielectric layer 790 , which is a recessed horizontal of the etch stop dielectric layer 790 . It may be a surface. In one embodiment, one or more slit trenches 179 may be formed in the perimeter region 300 , such as in the space between overlying bit line drivers and sense amplifiers as shown in FIGS. 11C and 11D . . In another embodiment, one or more slit trenches 179 may be formed in a region where the etch stop dielectric layer 790 is not present. For example, slit trenches 179 may be formed in the cuff regions 500 (outside the region of the semiconductor die) as illustrated in FIG. 11F .

일 실시예에서, 분할기 트렌치들(79)은 클러스터들(예컨대, 메모리 스택 구조물들(55)의 메모리 블록들) 사이에 형성될 수 있다. 본 개시내용의 일 실시예에 따르면, 분할기 트렌치들(79)은 제1 수평(예컨대, 워드 라인) 방향(hd1)을 따라 측방향으로 연장될 수 있고, 수직으로 교번하는 시퀀스를 절연 층들(132, 232) 및 희생 재료 층들(142, 242)의 복수의 교번하는 스택들로 분할할 수 있다. 절연 층들(132, 232) 및 희생 재료 층들(142, 242)의 각각의 교번하는 스택은 제1 절연 층들(132) 및 제1 희생 재료 층들(142)의 제1-티어의 교번하는 스택, 및 제2 절연 층들(232) 및 제2 희생 재료 층들(242)의 제2-티어의 교번하는 스택을 포함할 수 있다. 메모리 스택 구조물들(55)의 클러스터들은 분할기 트렌치들(79)에 의해 제2 수평(예컨대, 비트 라인) 방향(hd2)을 따라 측방향으로 이격될 수 있다.In one embodiment, divider trenches 79 may be formed between clusters (eg, memory blocks of memory stack structures 55 ). According to one embodiment of the present disclosure, the divider trenches 79 may extend laterally along a first horizontal (eg, word line) direction hd1 and form a vertically alternating sequence of insulating layers 132 . , 232 ) and a plurality of alternating stacks of sacrificial material layers 142 , 242 . Each alternating stack of insulating layers 132 , 232 and sacrificial material layers 142 , 242 is an alternating stack of first insulating layers 132 and a first-tier of first sacrificial material layers 142 , and a second two-tier alternating stack of second insulating layers 232 and second sacrificial material layers 242 . Clusters of memory stack structures 55 may be laterally spaced along a second horizontal (eg, bit line) direction hd2 by divider trenches 79 .

본 개시내용의 일 태양에 따르면, 모든 슬릿 트렌치들(179) 또는 슬릿 트렌치들(179)의 서브세트는, 분할기 트렌치들(79)이 측방향으로 연장되는 제1 수평 방향(hd1)에 수직인 제2 수평 방향(hd2)을 따라 측방향으로 연장된다. 분할기 트렌치들(79)의 길이 방향에 수직인 방향에 대한 슬릿 트렌치들(179)의 서브세트의 길이 방향의 정렬은, 분할기 트렌치들(79)에 의해 생성되고 희생 재료 층들(142, 242)을 대체할 전기 전도성 층들(예를 들어, 워드 라인들)에 의해 후속적으로 생성될 일방향 응력을 보상한다. 구체적으로, 희생 재료 층들(142, 242)을 후속적으로 대체하는 전기 전도성 층들은 제1 수평 방향인 길이 방향을 따라 측방향으로 연장되고, 분할기 트렌치들(79)을 충전하는 분할기 트렌치 충전 구조물들에 의해 측방향으로 이격된다. 분할기 트렌치 충전 구조물들의 재료(들)는 전기 전도성 층들에 의해 제2 수평 방향(hd2)을 따라 인가되는 기계적 응력의 성분을 흡수할 수 있다. 그러나, 분할기 트렌치 충전 구조물들의 재료(들)는 전기 전도성 층들에 의해 제1 수평 방향(hd1)을 따라 인가되는 기계적 응력의 성분을 흡수하지 않는다. 제2 수평 방향(hd2)을 따른 슬릿 트렌치들(179)의 길이 방향은 슬릿 트렌치 충전 구조물들이 전기 전도성 층들에 의해 제1 수평 방향(hd1)을 따라 인가되는 기계적 응력의 성분을 흡수하고 기판(908) 및 그 위에 형성된 디바이스 구조물들의 휨을 감소시키거나 제거하도록 허용한다.According to one aspect of the present disclosure, all slit trenches 179 or a subset of slit trenches 179 are perpendicular to a first horizontal direction hd1 in which divider trenches 79 laterally extend. It extends laterally along the second horizontal direction hd2 . The longitudinal alignment of the subset of slit trenches 179 with respect to the direction perpendicular to the longitudinal direction of the divider trenches 79 is created by the divider trenches 79 and separates the sacrificial material layers 142 , 242 . Compensate for unidirectional stress to be subsequently created by the electrically conductive layers to be replaced (eg word lines). Specifically, the electrically conductive layers subsequently replacing the sacrificial material layers 142 , 242 extend laterally along a longitudinal direction, which is a first horizontal direction, and divider trench filling structures filling the divider trenches 79 . spaced laterally by The material(s) of the divider trench fill structures may absorb a component of the mechanical stress applied along the second horizontal direction hd2 by the electrically conductive layers. However, the material(s) of the divider trench fill structures do not absorb a component of the mechanical stress applied along the first horizontal direction hd1 by the electrically conductive layers. The longitudinal direction of the slit trenches 179 along the second horizontal direction hd2 is such that the slit trench filling structures absorb a component of the mechanical stress applied along the first horizontal direction hd1 by the electrically conductive layers and the substrate 908 ) and the device structures formed thereon to reduce or eliminate warpage.

일 실시예에서, 슬릿 트렌치들(179)은 절연 층들(132, 232) 및 희생 재료 층들(142, 242)의 복수의 교번하는 스택들과 접촉하지 않는다. 일 실시예에서, 슬릿 트렌치들(179) 중 적어도 하나는 제1 역-계단형 유전체 재료 부분(165) 및 제2 역-계단형 유전체 재료 부분(265)을 통해 형성될 수 있고, 접촉 레벨 유전체 층(280)의 상단 표면으로부터 에칭 정지 유전체 층(290)의 표면까지 수직으로 연장될 수 있다.In one embodiment, the slit trenches 179 do not contact the plurality of alternating stacks of insulating layers 132 , 232 and sacrificial material layers 142 , 242 . In one embodiment, at least one of the slit trenches 179 may be formed through the first inverse-stepped dielectric material portion 165 and the second inverse-stepped dielectric material portion 265 , the contact level dielectric It may extend vertically from the top surface of layer 280 to the surface of etch stop dielectric layer 290 .

일 실시예에서, 슬릿 트렌치들(179)의 추가의 서브세트가 도 11e 및 도 11f에 예시된 바와 같이 제1 수평 방향(hd1)을 따라 측방향으로 연장될 수 있다. 슬릿 트렌치들(179)의 추가의 서브세트는 메모리 어레이 영역(100) 외부의 교번하는 스택들{(132, 142), (232, 2342)}의 영역들 외부에 위치될 수 있고, 제2 수평 방향(hd2)을 따라 측방향으로 연장되는 슬릿 트렌치(179)에 연결될 수 있거나 연결되지 않을 수 있다.In one embodiment, a further subset of slit trenches 179 may extend laterally along the first horizontal direction hd1 as illustrated in FIGS. 11E and 11F . A further subset of slit trenches 179 may be located outside areas of alternating stacks {(132, 142), (232, 2342)} outside memory array area 100, the second horizontal It may or may not be connected to the slit trench 179 extending laterally along the direction hd2 .

일 실시예에서, 슬릿 트렌치들(179) 중 적어도 하나는 도 11f에 예시된 바와 같이 커프 영역(500) 내에 형성될 수 있다. 커프 영역은 후속적으로 형성될 영역(400) 내의 시일 링 구조물의 외측 주변부 외부에 위치될 수 있다.In one embodiment, at least one of the slit trenches 179 may be formed in the cuff region 500 as illustrated in FIG. 11F . The cuff region may be located outside the outer perimeter of the seal ring structure in the region 400 to be subsequently formed.

일 실시예에서, 복수의 교번하는 스택들{(132, 142), (232, 2342)}의 각각의 교번하는 스택{(132, 142), (232, 2342)}은 스페이서 재료 층들(142, 242)이 기판(908)으로부터 수직 거리의 증가와 함께 감소하는 측방향 범위들을 갖는 각자의 계단 영역(200)을 포함한다. 일 실시예에서, 복수의 슬릿 트렌치들(179) 각각은 복수의 분할기 트렌치들(79)의 영역 외부에 위치될 수 있고, 그에 인접하지 않는다.In one embodiment, each alternating stack {(132, 142), (232, 2342)} of the plurality of alternating stacks {(132, 142), (232, 2342)} comprises layers of spacer material 142, 242 includes a respective step region 200 having lateral extents that decrease with increasing vertical distance from substrate 908 . In one embodiment, each of the plurality of slit trenches 179 may be located outside and not adjacent to the area of the plurality of divider trenches 79 .

일 실시예에서, 복수의 분할기 트렌치들(79) 각각은 적어도 하나의 교번하는 스택{(132, 142), (232, 2342)}의 측벽들에 의해 측방향으로 경계지어질 수 있다. 분할기 트렌치들(79)의 제1 서브세트가 한 쌍의 교번하는 스택들{(132, 142), (232, 2342)} 사이에서 제1 수평 방향(hd1)을 따라 측방향으로 연장될 수 있다. 분할기 트렌치들(79)의 제2 서브세트가 교번하는 스택들{(132, 142), (232, 2342)} 중 최외측 스택에 인접하게 제1 수평 방향(hd1)을 따라 측방향으로 연장될 수 있다. 일 실시예에서, 복수의 슬릿 트렌치들(179)은 절연 층들(132, 232) 및 스페이서 재료 층들(142, 242)의 복수의 교번하는 스택들{(132, 142), (232, 2342)} 중 임의의 것과 직접 접촉하지 않는다.In one embodiment, each of the plurality of divider trenches 79 may be laterally bounded by sidewalls of at least one alternating stack {(132, 142), (232, 2342)}. A first subset of divider trenches 79 may extend laterally along a first horizontal direction hd1 between a pair of alternating stacks {(132, 142), (232, 2342)}. . The second subset of divider trenches 79 are to extend laterally along the first horizontal direction hd1 adjacent the outermost one of the alternating stacks {(132, 142, (232, 2342)}). can In one embodiment, the plurality of slit trenches 179 is provided in a plurality of alternating stacks of insulating layers 132 , 232 and spacer material layers 142 , 242 {(132, 142), (232, 2342)} do not come in direct contact with any of

일 실시예에서, 절연 층들(132, 232) 및 스페이서 재료 층들(142, 242)의 제1 복수의 교번하는 스택들이 제1 메모리 어레이 영역(100)(예컨대, 제1 메모리 평면)에 제공될 수 있고, 절연 층들(132, 232) 및 스페이서 재료 층들(142, 242)의 제2 복수의 교번하는 스택들이 제1 메모리 어레이 영역(100)으로부터 측방향으로 이격된 제2 메모리 어레이 영역(100)(예컨대, 제1 메모리 평면)에 제공될 수 있다. 일 실시예에서, 복수의 슬릿 트렌치들(179) 중 하나는 메모리 어레이 영역들(100) 사이에 위치된 주변 영역(300)에서 제1 복수의 교번하는 스택들과 제2 복수의 교번하는 스택들 사이에 위치될 수 있다. 일 실시예에서, 슬릿 트렌치들(179) 각각은 길이 대 폭 비가 30 초과인 직사각형 수평 단면적을 갖는다.In one embodiment, a first plurality of alternating stacks of insulating layers 132 , 232 and spacer material layers 142 , 242 may be provided in first memory array region 100 (eg, first memory plane). and a second plurality of alternating stacks of insulating layers 132 , 232 and spacer material layers 142 , 242 are laterally spaced apart from the first memory array region 100 ( For example, in the first memory plane). In one embodiment, one of the plurality of slit trenches 179 includes a first plurality of alternating stacks and a second plurality of alternating stacks in a peripheral region 300 positioned between the memory array regions 100 . can be located between In one embodiment, each of the slit trenches 179 has a rectangular horizontal cross-sectional area with a length to width ratio greater than 30.

도 12를 참조하면, 희생 재료 층들(142, 242)은 절연 층들(132, 232), 제1 및 제2 절연 캡 층들(170, 270), 접촉 레벨 유전체 층(280), 및 기판(908)에 대해 선택적으로 제거될 수 있다. 예를 들어, 절연 층들(132, 232)의 재료들, 제1 및 제2 절연 캡 층들(170, 270), 계단형 유전체 재료 부분들(165, 265), 및 메모리 필름들(50)의 최외측 층의 재료에 대해 희생 재료 층들(142, 242)의 재료들을 선택적으로 에칭하는 에천트가 예를 들어 등방성 에칭 공정을 사용하여, 분할기 트렌치들(79) 내로 도입될 수 있다. 예를 들어, 희생 재료 층들(142, 242)은 실리콘 질화물을 포함할 수 있고, 절연 층들(132, 232)의 재료들, 제1 및 제2 절연 캡 층들(170, 270), 계단형 유전체 재료 부분들(165, 265) 및 메모리 필름들(50)의 최외측 층은 실리콘 산화물 재료들을 포함할 수 있다.12 , sacrificial material layers 142 , 242 include insulating layers 132 , 232 , first and second insulating cap layers 170 , 270 , contact level dielectric layer 280 , and substrate 908 . can be selectively removed. For example, the materials of the insulating layers 132 , 232 , the first and second insulating cap layers 170 , 270 , the stepped dielectric material portions 165 , 265 , and the outermost of the memory films 50 . An etchant that selectively etches the materials of the sacrificial material layers 142 , 242 with respect to the material of the outer layer may be introduced into the divider trenches 79 using, for example, an isotropic etch process. For example, the sacrificial material layers 142 , 242 may include silicon nitride, the materials of the insulating layers 132 , 232 , the first and second insulating cap layers 170 , 270 , a stepped dielectric material. The portions 165 , 265 and the outermost layer of the memory films 50 may include silicon oxide materials.

등방성 에칭 공정은 습식 에치 용액을 사용하는 습식 에칭 공정일 수 있거나, 또는 에천트가 증기 상으로 분할기 트렌치(79) 내로 도입되는 기상(건식) 에칭 공정일 수 있다. 예를 들어, 희생 재료 층들(142, 242)이 실리콘 질화물을 포함하는 경우, 에칭 공정은, 제1 예시적인 구조물이 인산을 포함하는 습식 에칭 탱크 내에 침지되는 습식 에칭 공정일 수 있으며, 이는 실리콘 산화물, 실리콘, 및 본 기술 분야에서 사용된 다양한 다른 재료들에 대해 선택적으로 실리콘 질화물을 에칭한다. 슬릿 트렌치들(179)은 임의의 교번하는 스택((132, 142), (232, 242)}과 접촉하지 않으며, 따라서 슬릿 트렌치들(179)은 등방성 에칭 공정 동안 측방향으로 확장되지 않는다.The isotropic etch process may be a wet etch process using a wet etch solution, or it may be a vapor phase (dry) etch process in which the etchant is introduced into the divider trench 79 in the vapor phase. For example, where the sacrificial material layers 142 , 242 include silicon nitride, the etching process may be a wet etching process in which the first exemplary structure is immersed in a wet etching tank comprising phosphoric acid, which is silicon oxide. , silicon, and a variety of other materials used in the art to selectively etch silicon nitride. The slit trenches 179 do not contact any alternating stack 132 , 142 , 232 , 242 , so the slit trenches 179 do not expand laterally during the isotropic etch process.

희생 재료 층들(142, 242)이 제거된 체적들 내에 배면 리세스들(143, 243)이 형성된다. 배면 리세스들(143, 243)은, 제1 희생 재료 층들(142)이 제거된 체적들에 형성되는 제1 배면 리세스들(143) 및 제2 희생 재료 층들(242)이 제거된 체적들에 형성되는 제2 배면 리세스들(243)을 포함한다. 배면 리세스들(143, 243) 각각은 측방향으로 연장되는 공동일 수 있으며, 이는 공동의 수직 범위보다 큰 측방향 치수를 갖는다. 다시 말하면, 배면 리세스들(143, 243) 각각의 측방향 치수는 각자의 배면 리세스(143, 243)의 높이보다 더 클 수 있다. 희생 재료 층들(142, 242)의 재료가 제거된 체적들에서 복수의 배면 리세스들(143, 243)이 형성될 수 있다. 배면 리세스들(143, 243) 각각은 반도체 기판 층(909)의 상단 표면에 실질적으로 평행하게 연장될 수 있다. 배면 리세스(143, 243)는 아래에 놓인 절연 층(132, 232)의 상단 표면 및 위에 놓인 절연 층(132, 232)의 저부 표면에 의해 수직으로 경계지어질 수 있다. 일 실시예에서, 배면 리세스들(143, 243) 각각은 전체에 걸쳐서 균일한 높이를 가질 수 있다.Back recesses 143 , 243 are formed in the volumes from which the sacrificial material layers 142 , 242 have been removed. The back recesses 143 and 243 are the first back recesses 143 formed in the volumes from which the first sacrificial material layers 142 have been removed and the volumes from which the second sacrificial material layers 242 have been removed. and second rear recesses 243 formed in the . Each of the back recesses 143 , 243 may be a laterally extending cavity, which has a lateral dimension greater than the vertical extent of the cavity. In other words, the lateral dimension of each of the back recesses 143 , 243 may be greater than the height of the respective back recess 143 , 243 . A plurality of back recesses 143 , 243 may be formed in the volumes from which the material of the sacrificial material layers 142 , 242 has been removed. Each of the backside recesses 143 , 243 may extend substantially parallel to a top surface of the semiconductor substrate layer 909 . The back recesses 143 , 243 may be vertically bounded by the top surface of the underlying insulating layer 132 , 232 and the bottom surface of the overlying insulating layer 132 , 232 . In one embodiment, each of the back recesses 143 and 243 may have a uniform height throughout.

도 13a 및 도 13b를 참조하면, 산화 공정은 받침대 채널 부분(11)의 물리적으로 노출된 부분들을 산화시키기 위해 수행될 수 있다. 관형 절연 스페이서들(명확하게 도시되지 않음)은 각각의 받침대 채널 부분(11) 주위에 형성될 수 있다. 배면 차단 유전체 층(도시되지 않음)이 선택적으로 배면 리세스들(143, 243) 및 분할기 트렌치들(79) 내에 그리고 접촉 레벨 유전체 층(280) 위에 침착될 수 있다. 배면 차단 유전체 층은 유전체 재료, 예컨대 유전체 금속 산화물, 실리콘 산화물, 또는 이들의 조합을 포함한다. 예를 들어, 배면 차단 유전체 층은 알루미늄 산화물을 포함할 수 있다. 배면 차단 유전체 층은 화학 기상 침착 또는 원자층 침착과 같은 등각 침착 공정에 의해 형성될 수 있다. 배면 차단 유전체 층의 두께는 1 nm 내지 20 nm, 예컨대 2 nm 내지 10 nm의 범위일 수 있지만, 더 작은 그리고 더 큰 두께가 또한 사용될 수 있다.13A and 13B , an oxidation process may be performed to oxidize physically exposed portions of the pedestal channel portion 11 . Tubular insulating spacers (not explicitly shown) may be formed around each pedestal channel portion 11 . A back blocking dielectric layer (not shown) may optionally be deposited in the back recesses 143 , 243 and divider trenches 79 and over the contact level dielectric layer 280 . The back blocking dielectric layer includes a dielectric material, such as a dielectric metal oxide, silicon oxide, or a combination thereof. For example, the back blocking dielectric layer may include aluminum oxide. The back blocking dielectric layer may be formed by a conformal deposition process such as chemical vapor deposition or atomic layer deposition. The thickness of the back blocking dielectric layer may range from 1 nm to 20 nm, such as 2 nm to 10 nm, although smaller and larger thicknesses may also be used.

적어도 하나의 전도성 재료가 복수의 배면 리세스들(243, 243) 내에, 분할기 트렌치(79)의 측벽들 상에, 그리고 접촉 레벨 유전체 층(280) 위에 침착될 수 있다. 적어도 하나의 전도성 재료는, 예를 들어 화학 기상 침착(CVD), 원자층 침착(ALD), 무전해 도금, 전기도금, 또는 이들의 조합일 수 있는 등각 침착 방법에 의해 침착될 수 있다. 적어도 하나의 전도성 재료는 원소 금속, 적어도 2개의 원소 금속들의 금속간 합금, 적어도 하나의 원소 금속의 전도성 질화물, 전도성 금속 산화물, 전도성 도핑된 반도체 재료, 전도성 금속-반도체 합금, 예컨대 금속 실리사이드, 이들의 합금, 및 이들의 조합들 또는 이들의 스택들을 포함할 수 있다.At least one conductive material may be deposited within the plurality of backside recesses 243 , 243 , on the sidewalls of the divider trench 79 , and over the contact level dielectric layer 280 . The at least one conductive material may be deposited by a conformal deposition method, which may be, for example, chemical vapor deposition (CVD), atomic layer deposition (ALD), electroless plating, electroplating, or combinations thereof. The at least one conductive material is an elemental metal, an intermetallic alloy of at least two elemental metals, a conductive nitride of at least one elemental metal, a conductive metal oxide, a conductive doped semiconductor material, a conductive metal-semiconductor alloy such as a metal silicide, alloys, and combinations thereof or stacks thereof.

일 실시예에서, 적어도 하나의 전도성 재료는 적어도 하나의 금속 재료, 즉 적어도 하나의 금속성 요소를 포함하는 전기 전도성 재료를 포함할 수 있다. 배면 리세스들(143, 243) 내에 침착될 수 있는 비제한적인 예시적인 금속성 재료들은 텅스텐, 텅스텐 질화물, 티타늄, 티타늄 질화물, 탄탈륨, 탄탈륨 질화물, 코발트, 및 루테늄을 포함한다. 예를 들어, 적어도 하나의 전도성 재료는, TiN, TaN, WN, 또는 이들의 조합과 같은 전도성 금속성 질화물 재료, 및 W, Co, Ru, Mo, Cu, 또는 이들의 조합들과 같은 전도성 충전 재료를 포함하는 전도성 금속성 질화물 라이너를 포함할 수 있다. 일 실시예에서, 배면 리세스들(143, 243)을 충전하기 위한 적어도 하나의 전도성 재료는 티타늄 질화물 층과 텅스텐 충전 재료의 조합일 수 있다.In an embodiment, the at least one conductive material may comprise at least one metallic material, ie an electrically conductive material comprising at least one metallic element. Non-limiting exemplary metallic materials that may be deposited in the back recesses 143 , 243 include tungsten, tungsten nitride, titanium, titanium nitride, tantalum, tantalum nitride, cobalt, and ruthenium. For example, the at least one conductive material may include a conductive metallic nitride material such as TiN, TaN, WN, or combinations thereof, and a conductive filler material such as W, Co, Ru, Mo, Cu, or combinations thereof. and a conductive metallic nitride liner comprising In one embodiment, the at least one conductive material for filling the back recesses 143 , 243 may be a combination of a titanium nitride layer and a tungsten filling material.

전기 전도성 층들(146, 246)은 적어도 하나의 전도성 재료의 침착에 의해 배면 리세스들(143, 243) 내에 형성될 수 있다. 복수의 제1 전기 전도성 층들(146)이 복수의 제1 배면 리세스들(143) 내에 형성될 수 있고, 복수의 제2 전기 전도성 층들(246)이 복수의 제2 배면 리세스들(243) 내에 형성될 수 있고, 연속적인 금속성 재료 층(도시되지 않음)이 각각의 분할기 트렌치(79)의 측벽들 상에 그리고 접촉 레벨 유전체 층(280) 위에 형성될 수 있다. 제1 전기 전도성 층들(146) 및 제2 전기 전도성 층들(246) 각각은 각자의 전도성 금속성 질화물 라이너 및 각자의 전도성 충전 재료를 포함할 수 있다. 따라서, 제1 및 제2 희생 재료 층들(142, 242)은 각각 제1 및 제2 전기 전도성 층들(146, 246)로 대체될 수 있다. 구체적으로, 각각의 제1 희생 재료 층(142)은 배면 차단 유전체 층의 선택적인 부분 및 제1 전기 전도성 층(146)으로 대체될 수 있고, 각각의 제2 희생 재료 층(242)은 배면 차단 유전체 층의 선택적인 부분 및 제2 전기 전도성 층(246)으로 대체될 수 있다. 배면 공동이, 연속적인 금속성 재료 층으로 충전되지 않은 각각의 분할기 트렌치(79)의 부분 내에 존재한다.The electrically conductive layers 146 , 246 may be formed in the back recesses 143 , 243 by deposition of at least one conductive material. A plurality of first electrically conductive layers 146 may be formed in the plurality of first back recesses 143 , and a plurality of second electrically conductive layers 246 may be formed in the plurality of second back recesses 243 . A continuous layer of metallic material (not shown) may be formed on the sidewalls of each divider trench 79 and over the contact level dielectric layer 280 . Each of the first electrically conductive layers 146 and the second electrically conductive layers 246 may include a respective conductive metallic nitride liner and a respective conductive filler material. Accordingly, the first and second sacrificial material layers 142 and 242 may be replaced with first and second electrically conductive layers 146 and 246 , respectively. Specifically, each first sacrificial material layer 142 may be replaced with an optional portion of a backside blocking dielectric layer and a first electrically conductive layer 146 , each second sacrificial material layer 242 being a backside blocking An optional portion of the dielectric layer and a second electrically conductive layer 246 may be replaced. A back cavity exists within the portion of each divider trench 79 that is not filled with a continuous layer of metallic material.

잔류 전도성 재료는 분할기 트렌치들(79) 내측으로부터 제거될 수 있다. 구체적으로, 연속적인 금속 재료 층의 침착된 금속성 재료는 각각의 분할기 트렌치(79)의 측벽들로부터 그리고 접촉 레벨 유전체 층(280) 위로부터, 예를 들어 이방성 또는 등방성 에칭에 의해 에치 백될(etched back) 수 있다. 제1 배면 리세스들 내의 침착된 금속성 재료의 각각의 나머지 부분은 제1 전기 전도성 층(146)을 구성한다. 제2 배면 리세스들 내의 침착된 금속성 재료의 각각의 나머지 부분은 제2 전기 전도성 층(246)을 구성한다. 제1 전기 전도성 재료 층들(146) 및 제2 전기 전도성 층들의 측벽들은 각자의 분할기 트렌치(79)에 물리적으로 노출될 수 있다. 분할기 트렌치들은 제1 수평 방향(hd1)을 따른 비주기적인 폭 변동 및 수직 방향을 따른 비선형 폭 변동을 갖는 한 쌍의 만곡 측벽들을 가질 수 있다.Residual conductive material may be removed from inside the divider trenches 79 . Specifically, the deposited metallic material of the continuous metallic material layer is etched back from the sidewalls of each divider trench 79 and from above the contact level dielectric layer 280 , for example by anisotropic or isotropic etching. ) can be Each remaining portion of the deposited metallic material in the first back recesses constitutes a first electrically conductive layer 146 . Each remaining portion of the deposited metallic material in the second back recesses constitutes a second electrically conductive layer 246 . The sidewalls of the first electrically conductive material layers 146 and the second electrically conductive layers may be physically exposed in respective divider trenches 79 . The divider trenches may have a pair of curved sidewalls having a non-periodic width variation along the first horizontal direction hd1 and a non-linear width variation along the vertical direction.

각각의 전기 전도성 층(146, 246)은 개구들을 포함하는 전도성 시트일 수 있다. 각각의 전기 전도성 층(146, 246)을 통한 개구들의 제1 서브세트가 메모리 개구 충전 구조물들(58)로 충전될 수 있다. 각각의 전기 전도성 층(146, 246)을 통한 개구들의 제2 서브세트가 지지 기둥 구조물들(20)로 충전될 수 있다. 각각의 전기 전도성 층(146, 246)은 제1 및 제2 계단형 표면들 때문에 임의의 하부 전기 전도성 층(146, 246)보다 작은 면적을 가질 수 있다. 각각의 전기 전도성 층(146, 246)은 제1 및 제2 계단형 표면들 때문에 임의의 위에 놓인 전기 전도성 층(146, 246)보다 큰 면적을 가질 수 있다.Each electrically conductive layer 146 , 246 may be a conductive sheet including openings. A first subset of the openings through each electrically conductive layer 146 , 246 may be filled with memory opening filling structures 58 . A second subset of the openings through each electrically conductive layer 146 , 246 may be filled with support column structures 20 . Each electrically conductive layer 146 , 246 may have a smaller area than any underlying electrically conductive layer 146 , 246 because of the first and second stepped surfaces. Each electrically conductive layer 146 , 246 may have a larger area than any overlying electrically conductive layer 146 , 246 because of the first and second stepped surfaces.

일부 실시예에서, 드레인 선택 레벨 격리 구조물들(72)은 제2 전기 전도성 층들(246)의 최상부 레벨들에 제공될 수 있다. 드레인 선택 레벨 격리 구조물들(72)의 레벨들에 위치된 제2 전기 전도성 층들(246)의 서브세트는 드레인 선택 게이트 전극들을 구성한다. 드레인 선택 게이트 전극들 아래에 위치된 전기 전도성 층(146, 246)의 서브세트는 동일한 레벨에 위치된 워드 라인과 제어 게이트의 조합들로서 기능할 수 있다. 각각의 전기 전도성 층(146, 246) 내의 제어 게이트 전극들은 메모리 스택 구조물(55)을 포함하는 수직 메모리 디바이스를 위한 제어 게이트 전극들이다.In some embodiments, drain select level isolation structures 72 may be provided at the top levels of the second electrically conductive layers 246 . A subset of the second electrically conductive layers 246 located at the levels of the drain select level isolation structures 72 constitute the drain select gate electrodes. The subset of electrically conductive layers 146 and 246 located below the drain select gate electrodes may function as combinations of a control gate and a word line located at the same level. The control gate electrodes in each electrically conductive layer 146 , 246 are control gate electrodes for a vertical memory device that includes a memory stack structure 55 .

메모리 스택 구조물들(55) 각각은 전기 전도성 층들(146, 246)의 각각의 레벨에 위치된 메모리 요소들의 수직 스택을 포함한다. 전기 전도성 층들(146, 246)의 서브세트는 메모리 요소들을 위한 워드 라인들을 포함할 수 있다. 메모리 레벨 조립체는 반도체 기판 층(909) 위에 위치된다. 메모리 레벨 조립체는 적어도 하나의 교번하는 스택(132, 146, 232, 246) 및 적어도 하나의 교번하는 스택(132, 146, 232, 246)을 통해 수직으로 연장되는 메모리 스택 구조물들(55)을 포함한다.Each of the memory stack structures 55 includes a vertical stack of memory elements located at a respective level of electrically conductive layers 146 , 246 . The subset of electrically conductive layers 146 and 246 may include word lines for memory elements. The memory level assembly is positioned over the semiconductor substrate layer 909 . The memory level assembly includes at least one alternating stack 132 , 146 , 232 , 246 and memory stack structures 55 extending vertically through the at least one alternating stack 132 , 146 , 232 , 246 . do.

일반적으로, 복수의 교번하는 스택들{(132, 142), (232, 242)} 내의 희생 재료 층들(142, 242)은 희생 재료 층들(142, 242)을 에칭하는 에천트에 대한 그리고Generally, the sacrificial material layers 142 , 242 in the plurality of alternating stacks { ( 132 , 142 , ( 232 , 242 ) ) are for an etchant that etches the sacrificial material layers 142 , 242 and

전기 전도성 층들(146, 246)의 적어도 하나의 전도성 재료를 침착하는 반응물에 대한 도관으로서 분할기 트렌치들(79)을 채용하는 전기 전도성 층들(1'46, 246)로 대체될 수 있다. 절연 층들(132, 232) 및 전기 전도성 층들(146, 246)의 복수의 교번하는 스택들이 기판(908) 상에 위치될 수 있고, 제1 수평 방향(hd1)을 따라 측방향으로 연장되는 복수의 분할기 트렌치들(79)에 의해 측방향으로 이격될 수 있다.may be replaced with electrically conductive layers 1'46, 246 employing divider trenches 79 as conduits for a reactant depositing at least one conductive material of electrically conductive layers 146, 246. A plurality of alternating stacks of insulating layers 132 , 232 and electrically conductive layers 146 , 246 may be positioned on the substrate 908 , and a plurality of laterally extending along the first horizontal direction hd1 . They may be laterally spaced apart by divider trenches 79 .

도 14a 내지 도 14g를 참조하면, 분할기 트렌치들(79) 내의 분할기 트렌치 충전 구조물들의 형성 및 슬릿 트렌치들(179) 내의 슬릿 트렌치 충전 구조물들의 형성 후에 제1 예시적인 구조물의 다양한 도면들이 예시된다. 도 14a 내지 도 14e는 제1 예시적인 구조물의 구성을 예시한다. 도 14f는 도 14a 내지 도 14e의 제1 예시적인 구조물의 제1 대안적인 실시예를 예시한다. 도 14g는 도 14a 내지 도 14e의 제1 예시적인 구조물의 제2 대안적인 실시예를 예시한다. 제1 대안적인 실시예 및 제2 대안적인 실시예는 추가의 슬릿 트렌치 충전 구조물들의 존재에 의해 도 14a 내지 도 14e에 예시된 구조물과는 상이하다.14A-14G , various views of a first exemplary structure are illustrated after formation of divider trench fill structures in divider trenches 79 and formation of slit trench fill structures in slit trenches 179 are illustrated. 14A-14E illustrate the configuration of a first exemplary structure. 14F illustrates a first alternative embodiment of the first exemplary structure of FIGS. 14A-14E . 14G illustrates a second alternative embodiment of the first exemplary structure of FIGS. 14A-14E . The first and second alternative embodiments differ from the structures illustrated in FIGS. 14A-14E by the presence of additional slit trench fill structures.

일반적으로, 분할기 트렌치 충전 구조물들 및 슬릿 트렌치 충전 구조물들은 전기 전도성 층들(146, 246)에 의해 생성되는 기계적 응력을 흡수할 수 있는 적어도 하나의 재료를 포함할 수 있다. 분할기 트렌치 충전 구조물들 및 슬릿 트렌치 충전 구조물들은 적어도 하나의 유전체 재료로 구성될 수 있거나, 유전체 재료에 의해 측방향으로 둘러싸인 전도성 재료의 조합을 포함할 수 있다. 예를 들어, 등각 유전체 재료 층(예컨대, 실리콘 산화물 층)이 분할기 트렌치들(79) 및 슬릿 트렌치들(179)의 주변 부분들에 침착될 수 있고, 이방성 에칭 공정이 등각 유전체 재료 층의 수평 부분들을 제거하기 위해 수행될 수 있다. 분할기 트렌치들(79) 내의 등각 유전체 재료 층의 각각의 나머지 수직 부분은 분할기 트렌치 유전체 스페이서(74)를 구성하고, 슬릿 트렌치들(179) 내의 등각 유전체 재료 층의 각각의 나머지 부분은 슬릿 트렌치 유전체 스페이서(174)를 구성한다.In general, divider trench fill structures and slit trench fill structures may include at least one material capable of absorbing mechanical stress generated by electrically conductive layers 146 , 246 . The divider trench fill structures and the slit trench fill structures may be comprised of at least one dielectric material, or may comprise a combination of a conductive material laterally surrounded by a dielectric material. For example, a conformal dielectric material layer (eg, a silicon oxide layer) may be deposited in peripheral portions of divider trenches 79 and slit trenches 179 , wherein an anisotropic etch process is performed on a horizontal portion of the conformal dielectric material layer can be performed to remove them. Each remaining vertical portion of the conformal dielectric material layer in divider trenches 79 constitutes divider trench dielectric spacer 74 , and each remaining portion of the conformal dielectric material layer in slit trenches 179 constitutes a slit trench dielectric spacer. (174).

전기 도펀트들은 소스 영역들(61)을 형성하기 위해 제2 도핑 웰들(10)의 물리적으로 노출된 부분들 내로 주입될 수 있다. 일 실시예에서, 제2 도핑 웰들(10) 및 수직 반도체 채널들(60)은 제1 전도성 유형의 도핑을 가질 수 있고, 소스 영역들(61)은 제1 전도성 유형과 반대인 제2 전도성 유형의 도핑을 가질 수 있다. 예를 들어, 제1 전도성 유형은 p-형일 수 있고, 제2 전도성 유형은 n-형일 수 있거나, 그 반대로도 가능하다. 소스 영역들(61)이 형성되는 경우에, 소스 영역들(61)은 5.0 × 1019/㎤ 내지 2.0 × 1021/㎤ 범위의 제2 전도성 유형의 전기 도펀트들의 원자 농도를 가질 수 있다.Electrical dopants may be implanted into physically exposed portions of second doped wells 10 to form source regions 61 . In one embodiment, the second doped wells 10 and the vertical semiconductor channels 60 may have doping of a first conductivity type, and the source regions 61 are of a second conductivity type opposite to the first conductivity type. may have doping of For example, the first conductivity type may be p-type, the second conductivity type may be n-type, or vice versa. When the source regions 61 are formed, the source regions 61 may have an atomic concentration of electrical dopants of the second conductivity type in the range of 5.0×10 19 /cm 3 to 2.0×10 21 /cm 3 .

적어도 하나의 전도성 충전 재료가 분할기 트렌치들(79) 및 슬릿 트렌치들(179)의 나머지 체적들에 침착될 수 있다. 적어도 하나의 전도성 충전 재료는 전기 전도성 층들(146, 246)의 재료보다 낮은 영률(Young's modulus)을 갖는 재료를 포함할 수 있다. 예를 들어, 전기 전도성 층들(146, 246)은 텅스텐을 포함할 수 있고, 적어도 하나의 전도성 충전 재료는 도핑된 폴리실리콘 또는 도핑된 폴리실리콘 영역과 금속 또는 금속 합금 영역(예를 들어, TiN 및/또는 텅스텐 영역)의 조합을 포함할 수 있다. 적어도 하나의 전도성 충전 재료의 잉여 부분들은 접촉 레벨 유전체 층(280)의 상단 표면을 포함하는 수평 평면 위로부터 제거될 수 있다. 분할기 트렌치들(79) 내의 적어도 하나의 전도성 충전 재료의 각각의 나머지 부분은 소스 접촉 비아 구조물(76)을 구성할 수 있고, 슬릿 트렌치들(179) 내의 적어도 하나의 전도성 충전 재료의 각각의 나머지 부분은 슬릿 트렌치 전도성 충전 재료 부분(176)을 구성한다. 소스 접촉 비아 구조물들(76) 및 슬릿 트렌치 전도성 충전 재료 부분들(176) 각각은 전도성 충전 재료 부분이다. 일 실시예에서, 소스 접촉 비아 구조물들(76)은 소스 영역(61)을 주변 디바이스들(710)에 전기적으로 접속시키는 소스 전극들 또는 로컬 상호접속부들을 포함하고, 슬릿 트렌치 전도성 충전 재료 부분들(176)은 전기적으로 플로팅될 수 있다.At least one conductive fill material may be deposited in the remaining volumes of the divider trenches 79 and the slit trenches 179 . The at least one conductive filling material may include a material having a lower Young's modulus than the material of the electrically conductive layers 146 , 246 . For example, the electrically conductive layers 146 , 246 may include tungsten, and the at least one conductive filling material comprises a doped polysilicon or doped polysilicon region and a metal or metal alloy region (eg, TiN and / or tungsten regions). Excess portions of the at least one conductive fill material may be removed from above a horizontal plane comprising a top surface of the contact level dielectric layer 280 . Each remaining portion of the at least one conductive fill material in the divider trenches 79 may constitute a source contact via structure 76 , and a respective remaining portion of the at least one conductive fill material in the slit trenches 179 . makes up the slit trench conductive fill material portion 176 . Each of the source contact via structures 76 and the slit trench conductive fill material portions 176 is a conductive fill material portion. In one embodiment, source contact via structures 76 include source electrodes or local interconnects that electrically connect source region 61 to peripheral devices 710 and include slit trench conductive filling material portions ( 176) may be electrically floating.

분할기 트렌치(79)를 충전하는 모든 재료 부분들의 세트는 분할기 트렌치 충전 구조물(74, 76)을 구성하고, 슬릿 트렌치(179)를 충전하는 모든 재료 부분들의 세트는 슬릿 트렌치 충전 구조물(174, 176)을 구성한다. 일 실시예에서, 분할기 트렌치 충전 구조물(74, 76)은 분할기 트렌치 유전체 스페이서(74) 및 소스 접촉 비아 구조물(76)을 포함할 수 있고, 슬릿 트렌치 충전 구조물(174, 176)은 슬릿 트렌치 유전체 스페이서(174) 및 슬릿 트렌치 전도성 충전 재료 부분(176)을 포함할 수 있다. 본 개시내용은 분할기 트렌치 충전 구조물들 및 슬릿 트렌치 충전 구조물 각각이 각자의 유전체 스페이서 및 전도성 충전 재료 부분을 포함하는 실시예를 채용하고, 분할기 트렌치 충전 구조물들 및 슬릿 트렌치 충전 구조물들 각각이 유전체 재료, 예컨대 도핑되지 않은 실리케이트 유리, 도핑된 실리케이트 유리, 유기실리케이트 유리, 또는 중합체 재료(예를 들어, 폴리이미드)로 이루어진 실시예들이 본 명세서에서 명확히 고려된다.The set of all material portions that fill divider trench 79 constitute divider trench fill structures 74 , 76 , and the set of all material portions that fill slit trench 179 constitute slit trench fill structures 174 , 176 . make up In one embodiment, divider trench fill structures 74 , 76 may include divider trench dielectric spacers 74 and source contact via structures 76 , wherein slit trench fill structures 174 , 176 are slit trench dielectric spacers. 174 and a slit trench conductive fill material portion 176 . The present disclosure employs an embodiment wherein the divider trench fill structures and the slit trench fill structures each include a respective dielectric spacer and conductive fill material portion, wherein the divider trench fill structures and the slit trench fill structures each comprise a dielectric material; Embodiments made of, for example, undoped silicate glass, doped silicate glass, organosilicate glass, or polymeric material (eg polyimide) are expressly contemplated herein.

일반적으로, 적어도 하나의 재료의 세트가 분할기 트렌치들(79) 및 슬릿 트렌치들(179) 각각에 침착될 수 있다. 복수의 분할기 트렌치 충전 구조물들이 분할기 트렌치들(79) 내에 형성될 수 있고, 복수의 슬릿 트렌치 충전 구조물들이 슬릿 트렌치들(179) 내에 형성될 수 있다. 일 실시예에서, 복수의 분할기 트렌치 충전 구조물들(74, 76) 각각 및 복수의 슬릿 트렌치 충전 구조물들(174, 176) 각각 내의 적어도 하나의 재료의 세트는, 유전체 재료를 포함하고 복수의 분할기 트렌치 충전 구조물들(74, 76) 및 복수의 슬릿 트렌치 충전 구조물들(174, 176)의 각자의 하나의 전체 높이를 통해 수직으로 연장되는 유전체 스페이서(74 또는 174), 및 적어도 하나의 전도성 재료를 포함하고 유전체 스페이서(74, 174)에 의해 측방향으로 둘러싸이는 전도성 충전 재료 부분(76, 176)을 포함한다. 일 실시예에서, 복수의 분할기 트렌치 충전 구조물(74, 76) 각각은 반도체 재료 층(910), 제1 도핑 웰들(6), 제2 도핑 웰들(10), 및 소스 영역들(61)을 포함하는 복수의 도핑된 반도체 재료 부분들 중 각각의 하나 상에 형성될 수 있다. 예를 들어, 분할기 트렌치 충전 구조물들(74, 76)은 각각의 소스 영역(61) 상에 형성될 수 있다.In general, at least one set of material may be deposited in each of the divider trenches 79 and the slit trenches 179 . A plurality of divider trench fill structures may be formed in the divider trenches 79 , and a plurality of slit trench fill structures may be formed in the slit trenches 179 . In one embodiment, the set of at least one material in each of the plurality of divider trench fill structures 74 , 76 and each of the plurality of slit trench fill structures 174 , 176 comprises a dielectric material and includes a a dielectric spacer 74 or 174 extending vertically through the entire height of each of the fill structures 74 and 76 and the plurality of slit trench fill structures 174 and 176, and at least one conductive material and conductive filling material portions 76 and 176 laterally surrounded by dielectric spacers 74 and 174 . In one embodiment, each of the plurality of divider trench fill structures 74 , 76 includes a semiconductor material layer 910 , first doped wells 6 , second doped wells 10 , and source regions 61 . may be formed on each one of the plurality of doped semiconductor material portions. For example, divider trench fill structures 74 , 76 may be formed on each source region 61 .

분할기 트렌치 충전 구조물들(74, 76) 및 슬릿 트렌치 충전 구조물들(174, 176)은 동일한 세트의 처리 단계들을 채용하여 동시에 형성될 수 있다. 복수의 분할기 트렌치 충전 구조물들(74, 76) 각각 및 복수의 슬릿 트렌치 충전 구조물들(174, 176) 각각은 동일한 재료 조성을 갖는 적어도 하나의 재료 부분의 각자의 세트를 포함할 수 있다. 복수의 교번하는 스택들{(132, 146), (232, 246)} 및 복수의 분할기 트렌치 충전 구조물들(74, 76)이 제2 수평 방향(hd2)을 따라 교번하여 인터레이싱된다. 슬릿 트렌치 충전 구조물들(174, 176)은 교번하는 스택{(132, 146), (232, 246)}의 폭보다 더 큰 길이를 가지며, 다수의 교번하는 스택들{(132, 146), (232, 246)}의 폭보다 더 클 수 있다. 복수의 슬릿 트렌치 충전 구조물들(174, 176)은 복수의 교번하는 스택들{(132, 146), (232, 246)}의 적어도 2개의 이웃하는 교번하는 스택들{(132, 146), (232, 246)}의 세트의 제2 수평 방향(hd2)을 따른 측방향 범위보다 큰 측방향 거리만큼 제2 수평 방향(hd2)을 따라 측방향으로 연장될 수 있다. 본 명세서에 사용되는 바와 같이, 이웃하는 교번하는 스택들{(132, 146), (232, 246)}은 사이에 임의의 개재하는 교번하는 스택{(132, 146), (232, 246)} 없이 교번하는 스택들{(132, 146), (232, 246)}의 세트를 지칭한다.Divider trench fill structures 74 , 76 and slit trench fill structures 174 , 176 may be formed simultaneously employing the same set of processing steps. Each of the plurality of divider trench fill structures 74 , 76 and each of the plurality of slit trench fill structures 174 , 176 may include a respective set of at least one material portion having the same material composition. A plurality of alternating stacks {(132, 146), (232, 246)} and a plurality of divider trench fill structures 74, 76 are alternately interlaced along a second horizontal direction hd2. The slit trench fill structures 174 , 176 have a length greater than the width of the alternating stack {(132, 146), (232, 246)}, and include multiple alternating stacks {(132, 146), ( 232, 246)}. The plurality of slit trench fill structures 174 , 176 comprises at least two neighboring alternating stacks { ( 132 , 146 ), ( 232 , 246 )] and extend laterally along the second horizontal direction hd2 by a lateral distance greater than a lateral extent along the second horizontal direction hd2 of the set. As used herein, neighboring alternating stacks {(132, 146), (232, 246)} are any intervening alternating stack {(132, 146), (232, 246)} Refers to a set of alternating stacks {(132, 146), (232, 246)} without

일 실시예에서, 복수의 슬릿 트렌치 충전 구조물들(174, 176) 각각은 복수의 분할기 트렌치 충전 구조물들(74, 76)의 영역 외부에 위치되고, 그에 인접하지 않는다. 일 실시예에서, 복수의 분할기 트렌치 충전 구조물들(74, 76) 각각은 제1 수평 방향(hd1)을 따라 측방향으로 연장되는 복수의 교번하는 스택들{(132, 146), (232, 246)}의 적어도 하나의 교번하는 스택{(132, 146), (232, 246)}의 측벽들과 접촉한다. 일 실시예에서, 복수의 슬릿 트렌치 충전 구조물들(174, 176)은 절연 층들(132, 232) 및 전기 전도성 층들(146, 246)의 복수의 교번하는 스택들{(132, 146), (232, 246)} 중 임의의 것과 직접 접촉하지 않는다.In one embodiment, each of the plurality of slit trench fill structures 174 , 176 is located outside, and not adjacent to, an area of the plurality of divider trench fill structures 74 , 76 . In one embodiment, each of the plurality of divider trench fill structures 74 , 76 comprises a plurality of alternating stacks { (132, 146), (232, 246) extending laterally along a first horizontal direction (hd1). ))))). In one embodiment, the plurality of slit trench fill structures 174 , 176 are formed in a plurality of alternating stacks { ( 132 , 146 ), ( 232 ) of insulating layers 132 , 232 and electrically conductive layers 146 , 246 . , 246)} are not in direct contact with any of the

일 실시예에서, 슬릿 트렌치 충전 구조물들(174, 176) 각각은 길이 대 폭 비가 30 초과인 직사각형 수평 단면적을 갖는다. 일 실시예에서, 복수의 슬릿 트렌치 충전 구조물들(174, 176)의 전도성 충전 재료 부분들(즉, 슬릿 트렌치 전도성 충전 재료 부분(176)) 중 적어도 하나는 전기적으로 플로팅한다.In one embodiment, each of the slit trench fill structures 174 , 176 has a rectangular horizontal cross-sectional area with a length to width ratio greater than 30. In one embodiment, at least one of the conductive filling material portions of the plurality of slit trench filling structures 174 , 176 (ie, the slit trench conductive filling material portion 176 ) is electrically floating.

도 15a 및 도 15b를 참조하면, 포토레지스트 층(도시되지 않음)이 접촉 레벨 유전체 층(280) 위에 적용될 수 있고, 리소그래피 방식으로 패턴화되어 이를 통해 다양한 접촉 비아 개구들을 형성할 수 있다. 예를 들어, 드레인 접촉 비아 구조물들을 형성하기 위한 개구들은 메모리 어레이 영역들(100) 내의 드레인 영역들(63) 위에 형성될 수 있고, 계단 영역 접촉 비아 구조물들을 형성하기 위한 개구들이 계단 영역들(200)에 형성될 수 있다. 이방성 에칭 공정이 수행되어, 접촉 레벨 유전체 층(280) 및 하부 유전체 재료 부분들을 통해 포토레지스트 층 내의 패턴을 전사한다. 드레인 영역들(63) 및 전기 전도성 층들(146, 246)은 에칭 정지 구조물들로서 사용될 수 있다. 드레인 접촉 비아 공동들이 각각의 드레인 영역(63) 위에 형성될 수 있고, 계단 영역 접촉 비아 공동들이 제1 및 제2 역-계단형 유전체 재료 부분들(165, 265) 아래에 놓인 계단형 표면에서 각각의 전기 전도성 층(146, 246) 위에 형성될 수 있다. 포토레지스트 층은 예를 들어, 애싱에 의해 후속적으로 제거될 수 있다.15A and 15B, a photoresist layer (not shown) may be applied over the contact level dielectric layer 280 and lithographically patterned therethrough to form various contact via openings. For example, openings for forming drain contact via structures may be formed over drain regions 63 in memory array regions 100 , and openings for forming step region contact via structures may be formed in step regions 200 . ) can be formed. An anisotropic etch process is performed to transfer the pattern in the photoresist layer through the contact level dielectric layer 280 and the underlying dielectric material portions. Drain regions 63 and electrically conductive layers 146 , 246 may be used as etch stop structures. Drain contact via cavities may be formed over each drain region 63 , wherein the stepped region contact via cavities are respectively at the stepped surface underlying the first and second counter-stepped dielectric material portions 165 , 265 . may be formed over the electrically conductive layers 146 and 246 of The photoresist layer may be subsequently removed, for example by ashing.

드레인 접촉 비아 구조물들(88)은 드레인 접촉 비아 공동들 내에 그리고 드레인 영역들(63)의 각자의 하나의 상단 표면 상에 형성될 수 있다. 계단 영역 접촉 비아 구조물들(86)은 계단 영역 접촉 비아 공동들 내에 그리고 전기 전도성 층들(146, 246)의 각자의 하나의 상단 표면 상에 형성된다. 계단 영역 접촉 비아 구조물들(86)은 드레인 선택 레벨 게이트 전극들로서 기능하는 제2 전기 전도성 층들(246)의 서브세트와 접촉하는 드레인 선택 레벨 접촉 비아 구조물들을 포함할 수 있다. 또한, 계단 영역 접촉 비아 구조물들(86)은, 드레인 선택 레벨 게이트 전극들 아래에 있고 메모리 스택 구조물들(55)에 대한 워드 라인들로서 기능하는 전기 전도성 층들(146, 246)과 접촉하는 워드 라인 접촉 비아 구조들을 포함할 수 있다.Drain contact via structures 88 may be formed in the drain contact via cavities and on the top surface of each one of the drain regions 63 . Step area contact via structures 86 are formed within the step area contact via cavities and on the top surface of one respective one of electrically conductive layers 146 , 246 . The stepped region contact via structures 86 may include drain select level contact via structures that contact a subset of the second electrically conductive layers 246 that function as drain select level gate electrodes. In addition, step area contact via structures 86 are word line contact below the drain select level gate electrodes and in contact with electrically conductive layers 146 , 246 serving as word lines for memory stack structures 55 . Via structures may be included.

주변 디바이스 접촉 비아 공동들은 접촉 레벨 유전체 층(280) 및 제2 및 제1 역-계단형 유전체 재료 부분들(265, 165)을 통해 희생 비아 구조물들(477)의 각자의 하나의 상단 표면 상에 형성될 수 있다. 주변 디바이스 접촉 비아 공동들은 에칭 정지 유전체 층(790) 및 평탄화 유전체 층(760)에 대해 선택적인 희생 비아 구조물들(477)을 제거함으로써, 반도체 디바이스들(710)의 각자의 컴포넌트의 상단 표면으로 수직으로 연장될 수 있다. 적어도 하나의 전도성 재료가 주변 디바이스 접촉 비아 공동들 내에 침착될 수 있다. 적어도 하나의 전도성 재료의 잉여 부분들은 접촉 레벨 유전체 층(280)의 상단 표면을 포함하는 수평 평면 위로부터 제거될 수 있다. 주변 디바이스 접촉 비아 공동 내의 적어도 하나의 전도성 재료의 각각의 나머지 부분은 주변 디바이스 접촉 비아 구조물(488)을 구성한다. 주변 디바이스 접촉 비아 구조물들(488)이 형성되는 영역들은 본 명세서에서 집합적으로 주변 디바이스 영역(300)으로 지칭된다. 주변 디바이스 영역들(300)은 감지 증폭기 회로들, 워드 라인 및 선택 게이트 전극 스위치 영역들, 및 기타 주변 디바이스 영역들을 포함할 수 있다.Peripheral device contact via cavities are on a respective one top surface of sacrificial via structures 477 through contact level dielectric layer 280 and second and first counter-stepped dielectric material portions 265 , 165 . can be formed. Peripheral device contact via cavities are perpendicular to the top surface of a respective component of semiconductor devices 710 by removing sacrificial via structures 477 optional for etch stop dielectric layer 790 and planarization dielectric layer 760 . can be extended to At least one conductive material may be deposited within the peripheral device contact via cavities. Excess portions of the at least one conductive material may be removed from above a horizontal plane comprising a top surface of the contact level dielectric layer 280 . Each remaining portion of the at least one conductive material within the peripheral device contact via cavity constitutes a peripheral device contact via structure 488 . The regions in which peripheral device contact via structures 488 are formed are collectively referred to herein as peripheral device region 300 . Peripheral device regions 300 may include sense amplifier circuits, word line and select gate electrode switch regions, and other peripheral device regions.

비트 라인 레벨 유전체 층(290)이 접촉 레벨 유전체 층(280) 위에 형성될 수 있다. 비트 라인 레벨 금속 상호접속부 구조물들(98, 96)은 비트 라인 레벨 유전체 층(290) 내에 형성될 수 있다. 비트 라인 레벨 금속 상호접속부 구조물들(98, 96)은 드레인 접촉 비아 구조물들(88)의 각자의 하나와 접촉하는 비트 라인들(98), 및 계단 영역 접촉 비아 구조물들(86) 및/또는 주변 디바이스 접촉 비아 구조물들(488) 중 적어도 하나와 접촉하고/하거나 그에 전기적으로 연결되는 상호접속부 라인 구조물들(96)을 포함할 수 있다.A bit line level dielectric layer 290 may be formed over the contact level dielectric layer 280 . Bit line level metal interconnect structures 98 , 96 may be formed in bit line level dielectric layer 290 . Bit line level metal interconnect structures 98 , 96 include bit lines 98 in contact with a respective one of drain contact via structures 88 , and step area contact via structures 86 and/or perimeter. interconnect line structures 96 in contact with and/or electrically coupled to at least one of the device contact via structures 488 .

도 16a 내지 도 16d를 참조하면, (본 명세서에서 상부 레벨 유전체 재료 층들(960)로 지칭되는) 추가의 유전체 재료 층들이 비트 라인 레벨 유전체 층(290) 위에 형성될 수 있다. 상부 레벨 유전체 재료 층들(960) 각각은 실리콘 산화물과 같은 유전체 재료를 포함할 수 있다. 상부 레벨 유전체 재료 층들(960)의 최상부 층은 실리콘 질화물과 같은 확산 장벽 유전체 재료를 포함할 수 있다. 추가의 금속 상호접속부 구조물들(본 명세서에서 상부 레벨 금속 상호접속부 구조물들(980)로 지칭됨)이 상부 레벨 유전체 재료 층들(960) 내에 형성될 수 있다. 상부 레벨 금속 상호접속부 구조물들(980)은 메모리 스택 구조물들(55)을 포함하는 메모리 요소들의 3차원 어레이의 다양한 노드들과 반도체 디바이스들(710) 사이의 전기적 접속들을 제공하는 금속 라인 구조물들 및 금속 비아 구조물들을 포함할 수 있다.16A-16D , additional layers of dielectric material (referred to herein as upper level dielectric material layers 960 ) may be formed over the bit line level dielectric layer 290 . Each of the upper level dielectric material layers 960 may include a dielectric material such as silicon oxide. The top layer of the upper level dielectric material layers 960 may include a diffusion barrier dielectric material such as silicon nitride. Additional metal interconnect structures (referred to herein as top level metal interconnect structures 980 ) may be formed in the top level dielectric material layers 960 . Top level metal interconnect structures 980 include metal line structures that provide electrical connections between semiconductor devices 710 and various nodes of a three-dimensional array of memory elements including memory stack structures 55 and It may include metal via structures.

적어도 하나의 시일 링 구조물(588)이 커프 영역(500) 내부의 반도체 다이 영역의 주변부를 따라 영역(400) 내에 형성될 수 있다. 각각의 시일 링 구조물(588)은 티타늄 질화물, 텅스텐, 및/또는 실리콘 질화물과 같은 확산 장벽 재료를 포함할 수 있고, 상부 레벨 유전체 재료 층들(960), 비트 라인 레벨 유전체 층(290), 접촉 레벨 유전체 층(280), 및 역-계단형 유전체 재료 부분들(165, 265)을 통해 수직으로 연장될 수 있고, 기판(908)의 상단 표면과 접촉할 수 있다. 각각의 시일 링 구조물(588)은 절연 층들(132, 232) 및 전기 전도성 층들(146, 246)의 교번하는 스택들을 측방향으로 봉입한다. 일 실시예에서, 슬릿 트렌치 충전 구조물들(174, 176) 중 적어도 하나는 영역(400) 내에 위치되는 시일 링 구조물(들)(588) 외부의 영역(500) 내에 위치될 수 있다.At least one seal ring structure 588 may be formed in region 400 along a perimeter of a semiconductor die region within kerf region 500 . Each seal ring structure 588 may include a diffusion barrier material, such as titanium nitride, tungsten, and/or silicon nitride, including upper level dielectric material layers 960 , bit line level dielectric layer 290 , contact level It may extend vertically through dielectric layer 280 , and counter-stepped dielectric material portions 165 , 265 , and may contact a top surface of substrate 908 . Each seal ring structure 588 laterally encapsulates alternating stacks of insulating layers 132 , 232 and electrically conductive layers 146 , 246 . In one embodiment, at least one of the slit trench fill structures 174 , 176 may be located within the region 500 outside the seal ring structure(s) 588 located within the region 400 .

도 1a 내지 도 16d를 참조하고 본 개시내용의 다양한 실시예들에 따르면, 반도체 다이가 제공되며, 이 반도체 다이는, 기판(908) 위에 위치되고 제1 수평 방향(hd1)을 따라 측방향으로 연장되는 복수의 분할기 트렌치 충전 구조물들(74, 76)에 의해 측방향으로 이격된 절연 층들(132, 232) 및 전기 전도성 층들(146, 246)의 복수의 교번하는 스택들 - 복수의 교번하는 스택들{(132, 146), (232, 246)} 및 복수의 분할기 트렌치 충전 구조물들(74, 76)은 제1 수평 방향(hd1)에 수직인 제2 수평 방향(hd2)을 따라 교번하여 인터레이싱됨 -; 메모리 스택 구조물들(55)의 복수의 세트들 - 메모리 스택 구조물들(55)의 각각의 세트는 복수의 교번하는 스택들의 각자의 교번하는 스택을 통해 수직으로 연장되고, 메모리 스택 구조물들(55) 각각은 각자의 수직 반도체 채널(60) 및 각자의 메모리 필름(50)을 포함함 -; 및 복수의 교번하는 스택들{(132, 146), (232, 246)}의 적어도 2개의 이웃하는 교번하는 스택들{(132, 146), (232, 246)}의 세트의 제2 수평 방향(hd2)을 따른 측방향 범위보다 큰 측방향 거리만큼 제2 수평 방향(hd2)을 따라 측방향으로 연장되는 복수의 슬릿 트렌치 충전 구조물들(174, 176)을 포함하고, 복수의 분할기 트렌치 충전 구조물들(74, 76) 각각 및 복수의 슬릿 트렌치 충전 구조물들(174, 176) 각각은 동일한 재료 조성을 갖는 적어도 하나의 재료 부분의 각자의 세트를 포함한다. 일 실시예에서, 적어도 하나의 재료 부분의 세트가 유전체 스페이서(74 또는 174) 및 전도성 충전 재료 부분(76 또는 176)의 세트일 수 있다.1A-16D and in accordance with various embodiments of the present disclosure, a semiconductor die is provided, the semiconductor die positioned over a substrate 908 and extending laterally along a first horizontal direction hd1 a plurality of alternating stacks of insulating layers 132 , 232 and electrically conductive layers 146 , 246 laterally spaced apart by a plurality of divider trench fill structures 74 , 76 being {(132, 146), (232, 246)} and the plurality of divider trench fill structures 74, 76 are alternately interlaced along a second horizontal direction hd2 perpendicular to the first horizontal direction hd1. become -; a plurality of sets of memory stack structures 55 - each set of memory stack structures 55 extending vertically through a respective alternating stack of a plurality of alternating stacks, each set of memory stack structures 55 each comprising a respective vertical semiconductor channel 60 and a respective memory film 50; and a second horizontal direction of the set of at least two neighboring alternating stacks {(132, 146), (232, 246)} of the plurality of alternating stacks {(132, 146), (232, 246)} a plurality of slit trench fill structures (174, 176) extending laterally along the second horizontal direction (hd2) by a lateral distance greater than the lateral extent along (hd2); Each of s 74 , 76 and each of plurality of slit trench fill structures 174 , 176 includes a respective set of at least one material portion having the same material composition. In one embodiment, the set of at least one material portion may be a set of dielectric spacers 74 or 174 and conductive filling material portions 76 or 176 .

일 실시예에서, 복수의 슬릿 트렌치 충전 구조물들(174, 176) 각각은 복수의 분할기 트렌치 충전 구조물들(74, 76)의 영역 외부에 위치되고, 그에 인접하지 않는다. 일 실시예에서, 복수의 분할기 트렌치 충전 구조물들(74, 76) 각각은 전체적으로 메모리 어레이 영역들(100) 및 계단 영역들(200) 내에 위치될 수 있다.In one embodiment, each of the plurality of slit trench fill structures 174 , 176 is located outside, and not adjacent to, an area of the plurality of divider trench fill structures 74 , 76 . In one embodiment, each of the plurality of divider trench fill structures 74 , 76 may be located entirely within the memory array regions 100 and the step regions 200 .

일 실시예에서, 복수의 분할기 트렌치 충전 구조물들(74, 76) 각각은 제1 수평 방향(hd1)을 따라 측방향으로 연장되는 복수의 교번하는 스택들{(132, 146), (232, 246)}의 적어도 하나의 교번하는 스택{(132, 146), (232, 246)}의 측벽들과 접촉한다. 일 실시예에서, 복수의 슬릿 트렌치 충전 구조물들(174, 176)은 절연 층들(132, 232) 및 전기 전도성 층들(146, 246)의 복수의 교번하는 스택들 중 임의의 것과 직접 접촉하지 않는다.In one embodiment, each of the plurality of divider trench fill structures 74 , 76 comprises a plurality of alternating stacks { (132, 146), (232, 246) extending laterally along a first horizontal direction (hd1). ))))). In one embodiment, the plurality of slit trench fill structures 174 , 176 do not directly contact any of the plurality of alternating stacks of insulating layers 132 , 232 and electrically conductive layers 146 , 246 .

일 실시예에서, 반도체 다이는 제1 수평 방향(hd1)을 따라 절연 층들(132, 232) 및 전기 전도성 층들(146, 246)(제2 메모리 어레이 영역(200) 내에 위치되고 계단 영역들(200)에 인접할 수 있음)의 복수의 교번하는 스택들로부터 측방향으로 이격된 절연 층들(132, 232) 및 전기 전도성 층들(146, 246)(제1 메모리 어레이 영역(100) 내에 위치되고 계단 영역들(200)에 인접할 수 있음)의 추가의 복수의 교번하는 스택들을 포함할 수 있다. 복수의 슬릿 트렌치 충전 구조물들(174, 176) 중 하나는 복수의 교번하는 스택들과 추가의 복수의 교번하는 스택들 사이에 위치될 수 있다.In one embodiment, the semiconductor die is located in the insulating layers 132 , 232 and electrically conductive layers 146 , 246 (the second memory array region 200 ) along the first horizontal direction hd1 and the step regions 200 . ) laterally spaced apart from a plurality of alternating stacks of insulating layers 132 , 232 and electrically conductive layers 146 , 246 (located within the first memory array region 100 and located within the step region) may include a further plurality of alternating stacks of poles 200 (which may be adjacent to each other). One of the plurality of slit trench fill structures 174 , 176 may be positioned between the plurality of alternating stacks and a further plurality of alternating stacks.

일 실시예에서, 반도체 다이는, 반도체 다이의 주변부로부터 내측으로 측방향으로 오프셋되고 복수의 교번하는 스택들{(132, 146), (232, 246)} 및 복수의 분할기 트렌치 충전 구조물들(174, 176)을 측방향으로 봉입하는 시일 링 구조물(588)을 포함할 수 있다. 일 실시예에서, 슬릿 트렌치 충전 구조물들(174, 176) 중 적어도 하나는 시일 링 구조물 외부에 위치될 수 있다. 일 실시예에서, 슬릿 트렌치 충전 구조물들(174, 176) 각각은 길이 대 폭 비가 30 초과인 직사각형 수평 단면적을 갖는다.In one embodiment, the semiconductor die includes a plurality of alternating stacks {(132, 146), (232, 246)} and a plurality of divider trench fill structures 174 laterally offset inward from the periphery of the semiconductor die. , 176 may include a seal ring structure 588 that laterally encloses. In one embodiment, at least one of the slit trench fill structures 174 , 176 may be located outside the seal ring structure. In one embodiment, each of the slit trench fill structures 174 , 176 has a rectangular horizontal cross-sectional area with a length to width ratio greater than 30.

일 실시예에서, 복수의 분할기 트렌치 충전 구조물들(74, 76) 각각 및 복수의 슬릿 트렌치 충전 구조물들(174, 176) 각각 내의 적어도 하나의 재료 부분의 각각의 세트는, 유전체 재료를 포함하고 복수의 분할기 트렌치 충전 구조물들(74, 76) 및 복수의 슬릿 트렌치 충전 구조물들(174, 176)의 각자의 하나의 전체 높이를 통해 수직으로 연장되는 유전체 스페이서(74 또는 174); 및 적어도 하나의 전도성 재료를 포함하고 유전체 스페이서(74 또는 174)에 의해 측방향으로 둘러싸이는 전도성 충전 재료 부분(76 또는 176)을 포함한다. 일 실시예에서, 복수의 슬릿 트렌치 충전 구조물들(174, 176)의 전도성 충전 재료 부분들(176) 중 적어도 하나는 전기적으로 플로팅한다.In one embodiment, each of the plurality of divider trench fill structures 74 , 76 and each set of at least one material portion within each of the plurality of slit trench fill structures 174 , 176 comprises a dielectric material and comprises a plurality of a dielectric spacer 74 or 174 extending vertically through the entire height of each one of the divider trench fill structures 74, 76 and the plurality of slit trench fill structures 174, 176; and a conductive fill material portion 76 or 176 comprising at least one conductive material and laterally surrounded by a dielectric spacer 74 or 174 . In one embodiment, at least one of the conductive filling material portions 176 of the plurality of slit trench filling structures 174 , 176 is electrically floating.

일 실시예에서, 반도체 다이는 기판(908)의 상단 표면 상에 위치되고 복수의 교번하는 스택들{(132, 146), (232, 246)}과 직접 접촉하지 않는 (반도체 디바이스들(710)의 서브세트로서의) 전계 효과 트랜지스터들; 및 전계 효과 트랜지스터들 위에 놓이는 에칭 정지 유전체 층(790)을 포함하고, 복수의 슬릿 트렌치 충전 구조물들(174, 176) 중 적어도 하나는 에칭 정지 유전체 층(790) 위에 놓이고 에칭 정지 유전체 층(790)과 접촉한다.In one embodiment, the semiconductor die is located on the top surface of the substrate 908 and is not in direct contact with the plurality of alternating stacks {(132, 146), (232, 246)} (semiconductor devices 710). field effect transistors (as a subset of); and an etch stop dielectric layer (790) overlying the field effect transistors, wherein at least one of the plurality of slit trench fill structures (174, 176) overlies the etch stop dielectric layer (790) and an etch stop dielectric layer (790) ) is in contact with

일 실시예에서, 기판(908)은 복수의 도핑된 반도체 재료 부분들(6, 10, 61)을 포함하는 반도체 재료 층(910)을 포함하고; 복수의 분할기 트렌치 충전 구조물(74, 76) 각각은 복수의 도핑된 반도체 재료 부분들(6, 10, 61) 중 각각의 하나와 접촉한다.In one embodiment, the substrate 908 includes a semiconductor material layer 910 comprising a plurality of doped semiconductor material portions 6 , 10 , 61 ; Each of the plurality of divider trench fill structures 74 , 76 is in contact with a respective one of the plurality of doped semiconductor material portions 6 , 10 , 61 .

일 실시예에서, 메모리 필름들(50) 각각은, 절연 층들(132, 232) 및 전기 전도성 층들(146, 256)의 복수의 교번하는 스택들의 각자의 하나 내에서 다수의 전기 전도성 층(146, 246)을 통해 연장되는 전하 저장 층(54); 및 전하 저장 층(54) 및 수직 반도체 채널들(60)의 각자의 하나와 접촉하는 터널링 유전체 층(56)을 포함한다.In one embodiment, each of the memory films 50 includes a plurality of electrically conductive layers 146, within a respective one of a plurality of alternating stacks of insulating layers 132 , 232 and electrically conductive layers 146 , 256 . a charge storage layer 54 extending through 246; and a tunneling dielectric layer 56 in contact with a charge storage layer 54 and a respective one of the vertical semiconductor channels 60 .

일 실시예에서, 복수의 교번하는 스택들{(132, 246), (232, 246)}의 각각의 교번하는 스택{(132, 246), (232, 246)}은 전기 전도성 층들(146, 246)이 기판(908)으로부터 수직 거리의 증가와 함께 감소하는 측방향 범위들을 갖는 각자의 계단 영역(200)을 포함한다.In one embodiment, each alternating stack {(132, 246), (232, 246)} of the plurality of alternating stacks {(132, 246), (232, 246)} comprises electrically conductive layers 146, 246 includes a respective step region 200 with lateral extents that decrease with increasing vertical distance from substrate 908 .

본 개시내용의 다양한 구조물들은 분할기 트렌치 충전 구조물들(74, 76)의 길이 방향에 수직인 수평 방향을 따라 측방향으로 연장되는 슬릿 트렌치 충전 구조물들(174, 176)을 제공한다. 슬릿 트렌치 충전 구조물들(174, 176)은 전기 전도성 층들(146, 246)이 분할기 트렌치 충전 구조물들(74, 76)의 길이 방향을 따라 발생하는 기계적 응력을 완화 및 흡수한다. 분할기 트렌치 충전 구조물들(74, 76)의 길이 방향을 따른 기계적 응력과 분할기 트렌치 충전 구조물들(74, 76)의 폭 방향을 따른 기계적 응력 사이의 차이를 감소시킴으로써, 기판(908) 및 그 위의 구조물들의 휨이 감소될 수 있다. 예를 들어, 기판(908) 및 그 위의 반도체 다이들의 2차원 어레이를 포함하는 웨이퍼를 반도체 다이들(이는 로직 다이들 또는 메모리 다이들일 수 있음)의 2차원 어레이를 포함하는 다른 웨이퍼에 접합하는 것은 웨이퍼 휨의 감소를 통해 용이하게 될 수 있고, 이는 본 개시내용의 슬릿 트렌치 충전 구조물들(174, 176)의 활용에 의해 제공된다.The various structures of the present disclosure provide slit trench fill structures 174 , 176 that extend laterally along a horizontal direction perpendicular to the longitudinal direction of the divider trench fill structures 74 , 76 . The slit trench fill structures 174 , 176 relieve and absorb mechanical stress generated by the electrically conductive layers 146 , 246 along the longitudinal direction of the divider trench fill structures 74 , 76 . By reducing the difference between the mechanical stress along the longitudinal direction of the divider trench fill structures 74 , 76 and the mechanical stress along the width direction of the divider trench fill structures 74 , 76 , the substrate 908 and the Warpage of structures can be reduced. For example, bonding a wafer comprising a substrate 908 and a two-dimensional array of semiconductor dies thereon to another wafer comprising a two-dimensional array of semiconductor dies, which may be logic dies or memory dies. This can be facilitated through reduction of wafer warpage, which is provided by utilization of the slit trench fill structures 174 , 176 of the present disclosure.

도 17a 및 도 17b를 참조하면, 반도체 다이를 형성하기 위한 제2 예시적인 구조물이 예시되어 있다. 도 17b는 제2 예시적인 구조물의 단위 다이 영역 내의 다양한 영역들의 레이아웃을 예시하고, 도 17a는 제2 예시적인 구조의 수직 단면도이다. 일 실시예에서, 제2 예시적인 구조물은 기판(908)을 포함할 수 있으며, 이는 반도체 웨이퍼(이는, 예를 들어, 단결정 실리콘 웨이퍼, 예를 들어 300 mm 실리콘 웨이퍼 또는 200 mm 실리콘 웨이퍼일 수 있음)의 상부 부분에 다양한 도핑된 반도체 영역들(예를 들어, 도핑된 웰들)을 형성함으로써 제공될 수 있다. 예를 들어, 기판(908)은 기판 층(909), 반도체 재료 층(910), 반도체 재료 층(910) 내에 매립된 제1 도핑 웰(6), 및 제2 도핑 웰(6) 내에 매립된 제2 도핑 웰(10)을 포함할 수 있다. 예시적인 예에서, 반도체 재료 층(910) 및 제2 도핑 웰(10)은 p-형 도핑을 가질 수 있고, 제1 도핑 웰(6)은 n-형 도핑을 가질 수 있다. 기판 층(909)은 반도체 기판(예컨대, 실리콘 웨이퍼), 반도체 재료 층(예컨대, 실리콘 웨이퍼 상의 에피택셜 실리콘 층), 또는 절연 층(반도체-온-절연체 기판의 경우에서와 같음)일 수 있다. 추가의 도핑된 웰들은 그 위에 다양한 반도체 디바이스들을 제공하기 위해 필요에 따라 형성될 수 있다. 도핑된 웰들 각각은 p-도핑되거나 n-도핑될 수 있고, 1.0 × 1014/㎤ 내지 1.0 × 1018/㎤ 범위의 원자 농도의 전기 도펀트들을 가질 수 있지만, 더 작은 그리고 더 큰 원자 농도들이 또한 사용될 수 있다.17A and 17B , a second exemplary structure for forming a semiconductor die is illustrated. 17B illustrates the layout of various regions within a unit die area of the second exemplary structure, and FIG. 17A is a vertical cross-sectional view of the second exemplary structure. In one embodiment, the second exemplary structure may include a substrate 908 , which may be a semiconductor wafer (which may be, for example, a single crystal silicon wafer, such as a 300 mm silicon wafer or a 200 mm silicon wafer). ) may be provided by forming various doped semiconductor regions (eg, doped wells) in the upper portion of the . For example, the substrate 908 may include a substrate layer 909 , a semiconductor material layer 910 , a first doped well 6 buried within the semiconductor material layer 910 , and a second doped well 6 buried within the semiconductor material layer 910 . A second doped well 10 may be included. In the illustrative example, the semiconductor material layer 910 and the second doped well 10 may have a p-type doping, and the first doped well 6 may have an n-type doping. The substrate layer 909 may be a semiconductor substrate (eg, a silicon wafer), a layer of semiconductor material (eg, an epitaxial silicon layer on a silicon wafer), or an insulating layer (as in the case of a semiconductor-on-insulator substrate). Additional doped wells may be formed as needed to provide various semiconductor devices thereon. Each of the doped wells may be p-doped or n-doped and may have electrical dopants at an atomic concentration ranging from 1.0 × 10 14 /cm 3 to 1.0 × 10 18 /cm 3 , although smaller and larger atomic concentrations may also be used. can be used

다양한 반도체 디바이스들(710)이 기판 상에 형성될 수 있다. 다양한 반도체 디바이스들(710)은 상보적 금속 산화물 반도체(CMOS) 디바이스들을 포함할 수 있고, 셀 어레이 영역들 내에서 기판(908) 상에 후속적으로 형성될 메모리 요소들의 3차원 어레이를 동작시키기 위해 사용될 수 있는 다양한 주변 회로들(즉, 드라이버 회로들)을 포함할 수 있다. 본 명세서에 사용되는 바와 같이, "셀 어레이 영역"은 메모리 요소들의 3차원 어레이가 형성되는 영역, 예컨대 메모리 평면을 지칭한다. 셀 어레이 영역(예컨대, 메모리 평면)은 또한 메모리 어레이 영역(100)으로 지칭된다. 반도체 디바이스들(710)은 기판(908)의 상단 표면 상에 형성되는 전계 효과 트랜지스터들을 포함할 수 있다.Various semiconductor devices 710 may be formed on a substrate. The various semiconductor devices 710 may include complementary metal oxide semiconductor (CMOS) devices for operating a three-dimensional array of memory elements to be subsequently formed on the substrate 908 in cell array regions. It may include various peripheral circuits (ie, driver circuits) that may be used. As used herein, “cell array region” refers to a region in which a three-dimensional array of memory elements is formed, such as a memory plane. The cell array region (eg, memory plane) is also referred to as memory array region 100 . The semiconductor devices 710 may include field effect transistors formed on a top surface of the substrate 908 .

일반적으로, 반도체 디바이스들(710)은 후속적으로 형성될 메모리 요소들의 적어도 하나의 3차원 어레이의 동작을 제어하는 데 사용될 수 있는 임의의 회로를 포함할 수 있다. 예를 들어, 반도체 디바이스들(710)은 후속적으로 형성될 메모리 요소들의 3차원 어레이의 동작을 제어하는 데 사용되는 주변 디바이스들을 포함할 수 있다. 주변 디바이스들이 형성되는 영역들은 집합적으로 주변 디바이스 영역(300)으로 지칭된다. 주변 디바이스 영역(300)은 특정 유형들의 주변 디바이스들을 제공하도록 구성된 다양한 영역들을 포함할 수 있다. 예시적인 예에서, 감지 증폭기 회로들은 도 17b에서 "S/A"로 표시된 감지 증폭기 영역들 내에 형성될 수 있다. 비트 라인 드라이버 회로들은 도 17b에서 "BD"로 표시되는 비트 라인 드라이버 영역들 내에 형성될 수 있다. 워드 라인 스위치들 및 선택 게이트 전극 스위치는 워드 라인 및 선택 게이트 전극 스위치 영역들에 형성될 수 있으며, 이는 도 17b에서 "WL/SG SW"로 표시된다. 추가의 기타 주변 디바이스들이 도 17b에서 "PERI"로 표시된 기타 주변 디바이스 영역에 형성될 수 있다. 메모리 요소들의 각각의 3차원 어레이는 절연 층들 및 전기 전도성 층들(예컨대, 워드 라인들)의 교번하는 스택들을 이용하여 후속적으로 형성될 수 있다. 이러한 경우에, 교번하는 스택들 내의 층들은 계단형 표면들을 제공하도록 패턴화될 수 있고, 전기 전도성 층들의 각자의 하나와 접촉하는 접촉 비아 구조물들이 그러한 계단형 표면들 내에 형성될 수 있다. 그러한 영역들은 워드 라인 후크업 계단 영역들로 지칭되고, 도 17b에서 "WLHU 계단"으로 표시된다. 워드 라인 후크업 계단 영역들은 또한 계단 영역들(200)로 지칭된다. 전기 전도성 층들에 전기 접점들을 제공하는 데 사용되지 않는 더미 계단형 표면들이 각각의 셀 어레이 영역(즉, 메모리 어레이 영역(100)) 주위에 형성될 수 있다. 그러한 더미 계단형 표면들을 포함하는 영역들은 본 명세서에서 더미 계단 영역들로 지칭되고, 도 17b에서 "더미 계단"으로 표시된다. 추가적인 더미 계단 영역들이 다이 영역의 주변부 내부에 형성될 수 있다. 추가의 더미 계단 영역들은 본 명세서에서 "더미 계단 트랙들"로 지칭된다. 이어서, 시일 링 구조물들 및 가드 링 구조물이 더미 계단 트랙들의 외측 에지에서 형성되고, 이는 반도체 칩의 외측 경계를 한정한다.In general, semiconductor devices 710 may include any circuitry that may be used to control the operation of at least one three-dimensional array of memory elements to be subsequently formed. For example, semiconductor devices 710 may include peripheral devices used to control the operation of a three-dimensional array of memory elements to be subsequently formed. The regions in which peripheral devices are formed are collectively referred to as peripheral device region 300 . Peripheral device area 300 may include various areas configured to provide specific types of peripheral devices. In an illustrative example, sense amplifier circuits may be formed in sense amplifier regions denoted “S/A” in FIG. 17B . The bit line driver circuits may be formed in the bit line driver regions indicated by "BD" in FIG. 17B. Word line switches and select gate electrode switch may be formed in the word line and select gate electrode switch regions, denoted as “WL/SG SW” in FIG. 17B . Additional other peripheral devices may be formed in the Other Peripheral Device area indicated as “PERI” in FIG. 17B . Each three-dimensional array of memory elements may be subsequently formed using alternating stacks of insulating layers and electrically conductive layers (eg, word lines). In this case, the layers in the alternating stacks may be patterned to provide stepped surfaces, and contact via structures in contact with a respective one of the electrically conductive layers may be formed in those stepped surfaces. Such regions are referred to as word line hookup stair regions and are denoted as “WLHU stair” in FIG. 17B . The word line hookup step areas are also referred to as step areas 200 . Dummy stepped surfaces that are not used to provide electrical contacts to the electrically conductive layers may be formed around each cell array region (ie, memory array region 100 ). Regions comprising such dummy stepped surfaces are referred to herein as dummy stepped regions and are denoted “dummy stair” in FIG. 17B . Additional dummy step areas may be formed within the perimeter of the die area. The additional dummy stair areas are referred to herein as “dummy stair tracks”. Then, seal ring structures and guard ring structures are formed at the outer edges of the dummy step tracks, which define the outer boundary of the semiconductor chip.

시일 링 구조물들 및 가드 링 구조물이 후속적으로 형성되는 영역은 본 명세서에서 시일 링 및 가드 링 영역(400)으로 지칭된다. 커프 영역들(500)은 시일 링 구조물들의 영역들 외부에 제공된다. 시일 링 및 가드 링 영역의 외측 주변부 내의 영역은 후속하여 형성될 반도체 다이의 영역을 한정한다. 반도체 다이의 영역은 일반적으로 직사각형 형상을 가질 수 있다. 반도체 다이의 제1 쌍의 측벽들의 수평 방향은 본 명세서에서 제1 수평 방향(hd1)(예를 들어, 워드 라인 방향)으로 지칭되며, 반도체 다이의 제2 쌍의 측벽들의 수평 방향은 본 명세서에서 제1 수평 방향(hd1)에 수직인 제2 수평 방향(hd2)(예컨대, 비트 라인 방향)으로 지칭된다. 커프 영역들은 기판(908)과 그 위의 반도체 디바이스들을 복수의 반도체 다이들로 낱개화하는 동안 파괴될 수 있거나 파괴되지 않을 수 있는 다양한 테스트 구조물들 및 정렬 구조물들을 포함할 수 있다. 단위 다이 영역은 각각의 커프 영역의 폭의 절반을 포함한다.The region in which the seal ring structures and the guard ring structure are subsequently formed is referred to herein as the seal ring and guard ring region 400 . Cuff regions 500 are provided outside the regions of the seal ring structures. Regions within the outer periphery of the seal ring and guard ring regions define regions of the semiconductor die to be subsequently formed. The region of the semiconductor die may have a generally rectangular shape. The horizontal direction of the sidewalls of the first pair of semiconductor dies is referred to herein as the first horizontal direction hd1 (eg, word line direction), and the horizontal direction of the sidewalls of the second pair of semiconductor dies is herein A second horizontal direction hd2 (eg, a bit line direction) perpendicular to the first horizontal direction hd1 is referred to. The kerf regions may include various test structures and alignment structures that may or may not be destroyed during singulation of the substrate 908 and semiconductor devices thereon into a plurality of semiconductor dies. The unit die area includes half the width of each kerf area.

반도체 디바이스들(710) 위에 평탄화 유전체 층(760)이 형성될 수 있다. 예를 들어, 평탄화 유전체 층(760)은 전계 효과 트랜지스터들의 게이트 구조물들 및 활성 영역들(예컨대 소스 영역들 및 드레인 영역들) 위에 형성될 수 있다. 평탄화 유전체 층(760)은 실리케이트 유리와 같은 평탄화가능한 유전체 재료를 포함할 수 있다. 평탄화 유전체 층(760)의 상단 표면은 예를 들어 화학적 기계적 평탄화에 의해 평탄화될 수 있다.A planarization dielectric layer 760 may be formed over the semiconductor devices 710 . For example, a planarization dielectric layer 760 may be formed over the gate structures and active regions (eg, source regions and drain regions) of the field effect transistors. The planarization dielectric layer 760 may include a planarable dielectric material such as silicate glass. The top surface of planarization dielectric layer 760 may be planarized, for example, by chemical mechanical planarization.

에칭 정지 유전체 층(790)은 평탄화 유전체 층(760) 위에 형성될 수 있다. 에칭 정지 유전체 층(790)은 후속하여 형성될 위에 놓인 유전체 재료 부분의 에칭 동안에 에칭 정지 재료로서 채용될 수 있는 유전체 재료를 포함할 수 있다. 일 실시예에서, 에칭 정지 유전체 층(70)은 절연 층들 및 희생 재료 층들의 수직으로 교번하는 시퀀스의 희생 재료 층들의 재료와는 상이한 재료를 포함하는 적어도 하나의 유전체 재료 서브층을 포함할 수 있다. 예를 들어, 위에 놓인 유전체 재료 부분이 실리콘 산화물을 포함하는 경우, 에칭 정지 유전체 층(790)은 유전체 금속 산화물 층 및 실리콘 질화물 층의 층 스택을 포함할 수 있다. 일 실시예에서, 에칭 정지 유전체 층(790)은 실리콘 질화물 층 및 알루미늄 산화물 층의 층 스택을 포함할 수 있다.An etch stop dielectric layer 790 may be formed over the planarization dielectric layer 760 . Etch stop dielectric layer 790 may comprise a dielectric material that may be employed as an etch stop material during etching of an overlying dielectric material portion to be subsequently formed. In one embodiment, the etch stop dielectric layer 70 may include at least one dielectric material sublayer comprising a material different from the material of the sacrificial material layers in a vertically alternating sequence of insulating layers and sacrificial material layers. . For example, where the overlying portion of dielectric material comprises silicon oxide, etch stop dielectric layer 790 may comprise a layer stack of a dielectric metal oxide layer and a silicon nitride layer. In one embodiment, the etch stop dielectric layer 790 may include a layer stack of a silicon nitride layer and an aluminum oxide layer.

희생 비아 구조물들(477)은 에칭 정지 유전체 층(790) 및 평탄화 유전체 층(760)을 통해 반도체 디바이스들(710)의 각자의 요소의 상단 표면 상에 형성될 수 있다. 예를 들어, 포토레지스트 층(도시되지 않음)이 에칭 정지 유전체 층(790) 위에 적용될 수 있고, 리소그래피 방식으로 패턴화되어 반도체 디바이스들(710)의 컴포넌트들 위에 개구들을 형성할 수 있다. 에칭 정지 유전체 층(790) 및 평탄화 유전체 층(760)을 통해 포토레지스트 층 내의 개구들 아래에 비아 공동들을 형성하기 위해 이방성 에칭 공정이 수행될 수 있다. 비아 공동들은 반도체 디바이스들(710)의 각자의 하부 컴포넌트의 상단 표면으로 연장될 수 있다. 포토레지스트 층은 예를 들어 애싱(ashing)에 의해 제거될 수 있고, 희생 충전 재료(예컨대, 비정질 실리콘, 실리콘-게르마늄 합금, 중합체 재료, 붕규산염 유리, 또는 유기실리케이트 유리)가 비아 공동들 내에 침착되어 희생 비아 구조물들(477)을 형성할 수 있다. 희생 충전 재료의 잉여 부분들은 에칭 정지 유전체 층(790)의 상단 표면을 포함하는 수평 평면 위로부터 제거될 수 있다. 희생 비아 구조물들(477) 각각은 반도체 디바이스들(710)의 각자의 하나의 컴포넌트와 접촉할 수 있다. 예를 들어, 희생 비아 구조물들(477)의 서브세트는 각자의 게이트 전극과 접촉할 수 있고, 희생 비아 구조물들의 다른 서브세트는 각자의 활성 영역(예컨대, 소스 영역 또는 드레인 영역)과 접촉할 수 있다. 일반적으로, 반도체 디바이스들(710)의 전기적 활성 노드들은 각자의 희생 비아 구조물(477)에 의해 접촉될 수 있다. 희생 비아 구조물들(477)의 상단 표면들은 에칭 정지 유전체 층(790)의 상단 표면들과 동일 평면 상에 있을 수 있다.Sacrificial via structures 477 may be formed on the top surface of a respective element of semiconductor devices 710 through etch stop dielectric layer 790 and planarization dielectric layer 760 . For example, a photoresist layer (not shown) may be applied over the etch stop dielectric layer 790 and lithographically patterned to form openings over the components of the semiconductor devices 710 . An anisotropic etch process may be performed to form via cavities under openings in the photoresist layer through the etch stop dielectric layer 790 and the planarization dielectric layer 760 . Via cavities may extend to a top surface of a respective underlying component of semiconductor devices 710 . The photoresist layer may be removed, for example, by ashing, and a sacrificial fill material (eg, amorphous silicon, silicon-germanium alloy, polymeric material, borosilicate glass, or organosilicate glass) is deposited within the via cavities. to form sacrificial via structures 477 . Excess portions of the sacrificial fill material may be removed from above a horizontal plane including the top surface of the etch stop dielectric layer 790 . Each of the sacrificial via structures 477 may contact a respective one component of the semiconductor devices 710 . For example, a subset of sacrificial via structures 477 may contact a respective gate electrode, and another subset of sacrificial via structures may contact a respective active region (eg, source region or drain region). have. In general, the electrically active nodes of the semiconductor devices 710 may be contacted by a respective sacrificial via structure 477 . The top surfaces of the sacrificial via structures 477 may be coplanar with the top surfaces of the etch stop dielectric layer 790 .

도 18을 참조하면, 에칭 정지 유전체 층(790) 및 평탄화 유전체 층(760)은 각각의 메모리 어레이 영역(100)으로부터 그리고 각각의 계단 영역(200)으로부터 제거될 수 있다. 예를 들어, 포토레지스트 층(도시되지 않음)이 반도체 디바이스들(710)을 포함하는 각각의 영역을 덮을 수 있고, 포토레지스트 층에 의해 덮이지 않은 평탄화 유전체 층(760) 및 에칭 정지 유전체 층(790)의 부분들은 적어도 하나의 에칭 공정에 의해 제거될 수 있고, 이는 등방성 에칭 공정(예컨대 습식 에칭 공정) 및/또는 이방성 에칭 공정(예컨대, 반응성 이온 에칭 공정)을 포함할 수 있다. 기판(908)의 상단 표면(예컨대, 제2 도핑 웰(10)의 상단 표면)은 메모리 어레이 영역(100) 및 인접한 계단 영역들(200) 내에서 물리적으로 노출될 수 있다. 에칭 정지 유전체 층(790) 및 평탄화 유전체 층(760)은 주변 디바이스 영역(300) 내에 남아 있을 수 있고, 시일 링 및 가드 링 영역(400)으로부터 제거될 수 있다.Referring to FIG. 18 , etch stop dielectric layer 790 and planarization dielectric layer 760 may be removed from each memory array region 100 and from each step region 200 . For example, a photoresist layer (not shown) may cover each region including the semiconductor devices 710 , a planarization dielectric layer 760 not covered by the photoresist layer and an etch stop dielectric layer ( Portions of 790 may be removed by at least one etching process, which may include an isotropic etching process (eg, a wet etching process) and/or an anisotropic etching process (eg, a reactive ion etching process). The top surface of the substrate 908 (eg, the top surface of the second doped well 10 ) may be physically exposed within the memory array region 100 and adjacent step regions 200 . The etch stop dielectric layer 790 and the planarization dielectric layer 760 may remain in the peripheral device region 300 and may be removed from the seal ring and guard ring region 400 .

제1 재료 층들 및 제2 재료 층들의 교번하는 스택이 후속적으로 형성된다. 각각의 제1 재료 층은 제1 재료를 포함할 수 있고, 각각의 제2 재료 층은 제1 재료와는 상이한 제2 재료를 포함할 수 있다. 재료 층들의 적어도 다른 교번하는 스택이 후속하여 제1 재료 층들 및 제2 재료 층들의 교번하는 스택 위에 형성되는 경우에, 교번하는 스택은 본 명세서에서 제1-티어의 교번하는 스택으로 지칭된다. 제1-티어의 교번하는 스택의 레벨은 본 명세서에서 제1-티어 레벨로 지칭되고, 후속적으로 제1-티어 레벨 바로 위에 형성될 교번하는 스택의 레벨은 본 명세서에서 제2-티어 레벨로 지칭되는 식이다.An alternating stack of first and second material layers is subsequently formed. Each layer of first material may include a first material, and each layer of second material may include a second material that is different from the first material. When at least another alternating stack of material layers is subsequently formed over the alternating stack of first and second material layers, the alternating stack is referred to herein as a first-tier alternating stack. The level of the alternating stack of the first-tier is referred to herein as the first-tier level, and the level of the alternating stack to be subsequently formed immediately above the first-tier level is referred to herein as the second-tier level. is the way it is referred to.

제1-티어의 교번하는 스택은 제1 재료 층들로서의 제1 절연 층(132), 및 제2 재료 층들로서의 제1 스페이서 재료 층들을 포함할 수 있다. 일 실시예에서, 제1 스페이서 재료 층들은 후속하여 전기 전도성 층들로 대체되는 희생 재료 층들일 수 있다. 다른 실시예에서, 제1 스페이서 재료 층들은 후속하여 다른 층들로 대체되지 않는 전기 전도성 층들일 수 있다. 본 개시내용은 희생 재료 층들이 전기 전도성 층들로 대체되는 실시예들을 사용하여 기재되지만, 스페이서 재료 층들이 전기 전도성 층들로서 형성되는 실시예들(이에 의해 대체 공정들을 수행할 필요성을 배제함)이 본 명세서에서 명확히 고려된다.The first-tier alternating stack may include a first insulating layer 132 as first material layers, and first spacer material layers as second material layers. In one embodiment, the first spacer material layers may be sacrificial material layers that are subsequently replaced with electrically conductive layers. In another embodiment, the first spacer material layers may be electrically conductive layers that are not subsequently replaced with other layers. While the present disclosure is described using embodiments in which the sacrificial material layers are replaced with electrically conductive layers, embodiments in which the spacer material layers are formed as electrically conductive layers, thereby excluding the need to perform replacement processes, are It is explicitly contemplated in the specification.

일 실시예에서, 제1 재료 층들 및 제2 재료 층들은 각각 제1 절연 층들(132) 및 제1 희생 재료 층들(142)일 수 있다. 일 실시예에서, 각각의 제1 절연 층(132)은 제1 절연 재료를 포함할 수 있고, 각각의 제1 희생 재료 층(142)은 제1 희생 재료를 포함할 수 있다. 교번하는 복수의 제1 절연 층들(132) 및 제1 희생 재료 층들(142)이 기판(908) 위에 형성된다. 본 명세서에서 사용되는 바와 같이, "희생 재료"는 후속 처리 단계 동안 제거되는 재료를 지칭한다.In one embodiment, the first material layers and the second material layers may be first insulating layers 132 and first sacrificial material layers 142 , respectively. In one embodiment, each first insulating layer 132 may include a first insulating material, and each first sacrificial material layer 142 may include a first sacrificial material. An alternating plurality of first insulating layers 132 and first sacrificial material layers 142 are formed over the substrate 908 . As used herein, “sacrificial material” refers to material that is removed during subsequent processing steps.

본 명세서에 사용되는 바와 같이, 제1 요소들 및 제2 요소들의 교번하는 스택은 제1 요소들의 인스턴스들 및 제2 요소들의 인스턴스들이 교번하는 구조물을 지칭한다. 교번하는 복수 중 단부 요소가 아닌 제1 요소들의 각각의 인스턴스는 양 면들 상에서 제2 요소들의 2개의 인스턴스들에 의해 인접하고, 교번하는 복수 중 단부 요소가 아닌 제2 요소들의 각각의 인스턴스는 양 단부들 상에서 제1 요소들의 2개의 인스턴스들에 의해 인접한다. 제1 요소들은 전반적으로 동일한 두께를 가질 수 있거나, 또는 상이한 두께들을 가질 수 있다. 제2 요소들은 전반적으로 동일한 두께를 가질 수 있거나, 또는 상이한 두께들을 가질 수 있다. 교번하는 복수의 제1 재료 층들 및 제2 재료 층들은 제1 재료 층들의 인스턴스로 또는 제2 재료 층들의 인스턴스로 시작할 수 있고, 제1 재료 층들의 인스턴스로 또는 제2 재료 층들의 인스턴스로 끝날 수 있다. 일 실시예에서, 제1 요소들의 인스턴스 및 제2 요소들의 인스턴스는 교번하는 복수 내에서 주기성을 가지고 반복되는 유닛을 형성할 수 있다.As used herein, an alternating stack of first elements and second elements refers to a structure in which instances of first elements and instances of second elements alternate. each instance of the first elements that are not the alternating plurality of end elements is adjacent on both sides by two instances of the second elements, each instance of the second elements that are not the alternating plurality of end elements is disposed at both ends adjoined by two instances of the first elements on the poles. The first elements may have the same overall thickness, or they may have different thicknesses. The second elements may have the same overall thickness, or they may have different thicknesses. An alternating plurality of first material layers and second material layers may begin with an instance of first material layers or with an instance of second material layers, and may end with an instance of first material layers or second material layers. have. In one embodiment, the instances of the first elements and the instances of the second elements may form units that repeat with periodicity within an alternating plurality.

제1-티어의 교번하는 스택(132, 142)은 제1 재료로 구성된 제1 절연 층들(132), 및 제1 재료와는 상이한 제2 재료로 구성된 제1 희생 재료 층들(142)을 포함할 수 있다. 제1 절연 층들(132)의 제1 재료는 적어도 하나의 절연 재료일 수 있다. 제1 절연 층들(132)에 사용될 수 있는 절연 재료들은 실리콘 산화물(도핑된 또는 도핑되지 않은 실리케이트 유리 포함), 실리콘 질화물, 실리콘 산질화물, 유기실리케이트 유리(OSG), 스핀-온 유전체 재료, 고 유전상수(고-k) 유전체 산화물(예를 들어, 알루미늄 산화물, 하프늄 산화물 등)로 통상적으로 알려진 유전체 금속 산화물 및 그 실리케이트, 유전체 금속 산질화물 및 그 실리케이트, 및 유기 절연 재료를 포함하지만, 이들로 제한되지 않는다. 일 실시예에서, 제1 절연 층들(132)의 제1 재료는 실리콘 산화물일 수 있다.The first-tier alternating stack 132 , 142 may include first insulating layers 132 composed of a first material, and first sacrificial material layers 142 composed of a second material different from the first material. can The first material of the first insulating layers 132 may be at least one insulating material. Insulating materials that may be used for the first insulating layers 132 include silicon oxide (including doped or undoped silicate glass), silicon nitride, silicon oxynitride, organosilicate glass (OSG), spin-on dielectric material, high dielectric material. dielectric metal oxides and silicates thereof commonly known as constant (high-k) dielectric oxides (eg, aluminum oxide, hafnium oxide, etc.), dielectric metal oxynitrides and silicates thereof, and organic insulating materials, including, but not limited to doesn't happen In one embodiment, the first material of the first insulating layers 132 may be silicon oxide.

제1 희생 재료 층들(142)의 제2 재료는 제1 절연 층들(132)의 제1 재료에 대해 선택적으로 제거될 수 있는 희생 재료일 수 있다. 본 명세서에 사용되는 바와 같이, 제거 공정이 제2 재료의 제거율의 적어도 2배인 비율로 제1 재료를 제거하는 경우, 제1 재료의 제거는 제2 재료에 대해 "선택적"이다. 제2 재료의 제거율에 대한 제1 재료의 제거율의 비는 본 명세서에서 제2 재료에 대한 제1 재료의 제거 공정의 "선택도"로 지칭된다.The second material of the first sacrificial material layers 142 may be a sacrificial material that may be selectively removed with respect to the first material of the first insulating layers 132 . As used herein, removal of the first material is “selective” with respect to the second material if the removal process removes the first material at a rate that is at least twice the removal rate of the second material. The ratio of the removal rate of the first material to the removal rate of the second material is referred to herein as the “selectivity” of the removal process of the first material over the second material.

제1 희생 재료 층들(142)은 절연 재료, 반도체 재료, 또는 전도성 재료를 포함할 수 있다. 제1 희생 재료 층들(142)의 제2 재료는 후속으로, 예를 들어, 수직 NAND 디바이스의 제어 게이트 전극들로서 기능할 수 있는 전기 전도성 전극들로 대체될 수 있다. 일 실시예에서, 제1 희생 재료 층들(142)은 실리콘 질화물을 포함하는 재료 층들일 수 있다.The first sacrificial material layers 142 may include an insulating material, a semiconductor material, or a conductive material. The second material of the first sacrificial material layers 142 may subsequently be replaced with electrically conductive electrodes that may serve, for example, as control gate electrodes of a vertical NAND device. In one embodiment, the first sacrificial material layers 142 may be material layers comprising silicon nitride.

일 실시예에서, 제1 절연 층들(132)은 실리콘 산화물을 포함할 수 있고, 희생 재료 층들은 실리콘 질화물 희생 재료 층들을 포함할 수 있다. 제1 절연 층들(132)의 제1 재료는, 예를 들어 화학 기상 침착(CVD)에 의해 침착될 수 있다. 예를 들어, 실리콘 산화물이 제1 절연 층들(132)에 사용되는 경우, 테트라에틸오르토실리케이트(TEOS)가 CVD 공정을 위한 전구체 재료로서 사용될 수 있다. 제1 희생 재료 층들(142)의 제2 재료는, 예를 들어, CVD 또는 원자 층 침착(ALD)으로 형성될 수 있다.In one embodiment, the first insulating layers 132 may include silicon oxide and the sacrificial material layers may include silicon nitride sacrificial material layers. The first material of the first insulating layers 132 may be deposited by chemical vapor deposition (CVD), for example. For example, when silicon oxide is used for the first insulating layers 132 , tetraethylorthosilicate (TEOS) may be used as a precursor material for the CVD process. The second material of the first sacrificial material layers 142 may be formed, for example, by CVD or atomic layer deposition (ALD).

제1 절연 층들(132) 및 제1 희생 재료 층들(142)의 두께들은 20 nm 내지 50 nm 범위에 있을 수 있지만, 각각의 제1 절연 층(132) 및 각각의 제1 희생 재료 층(142)에 대해 더 작은 두께 및 더 큰 두께가 사용될 수 있다. 제1 절연 층(132) 및 제1 희생 재료 층(142)의 쌍들의 반복 수는 2 내지 1,024, 및 전형적으로 8 내지 256의 범위일 수 있지만, 더 많은 반복 수가 또한 사용될 수 있다. 일 실시예에서, 제1-티어의 교번하는 스택(132, 142) 내의 각각의 제1 희생 재료 층(142)은 각자의 제1 희생 재료 층(142) 각각 내에서 실질적으로 불변인 균일한 두께를 가질 수 있다.The thicknesses of the first insulating layers 132 and the first sacrificial material layers 142 may be in the range of 20 nm to 50 nm, but each first insulating layer 132 and each first sacrificial material layer 142 . Smaller and larger thicknesses may be used for . The number of repetitions of the pairs of first insulating layer 132 and first sacrificial material layer 142 may range from 2 to 1,024, and typically from 8 to 256, although higher repetition numbers may also be used. In one embodiment, each first sacrificial material layer 142 in the first-tier alternating stack 132 , 142 has a substantially constant uniform thickness within each respective first sacrificial material layer 142 . can have

제1 절연 캡 층(170)이 후속적으로 제1 교번하는 스택(132, 142) 위에 형성된다. 제1 절연 캡 층(170)은 제1 절연 층(132)에 사용될 수 있는 임의의 유전체 재료일 수 있는 유전체 재료를 포함한다. 일 실시예에서, 제1 절연 캡 층(170)은 제1 절연 층(132)과 동일한 유전체 재료를 포함한다. 제1 절연 캡 층(170)의 두께는 20 nm 내지 300 nm의 범위일 수 있지만, 더 작은 그리고 더 큰 두께들이 또한 사용될 수 있다.A first insulating cap layer 170 is subsequently formed over the first alternating stacks 132 , 142 . The first insulating cap layer 170 includes a dielectric material, which can be any dielectric material that can be used for the first insulating layer 132 . In one embodiment, the first insulating cap layer 170 includes the same dielectric material as the first insulating layer 132 . The thickness of the first insulating cap layer 170 may range from 20 nm to 300 nm, although smaller and larger thicknesses may also be used.

제1 절연 캡 층(170) 및 제1-티어의 교번하는 스택(132, 142)은 계단 영역(200) 내에 제1 계단형 표면들을 형성하도록 패턴화될 수 있다. 제1-티어의 교번하는 스택(132, 142)의 각각의 층은 에칭 정지 유전체 층(790) 위로부터 제거될 수 있다. 계단 영역(200)은 제1 계단형 표면들이 형성되는 각자의 제1 계단형 영역, 및 추가의 계단형 표면들이 후속하여 (후속으로 제1-티어 구조물 위에 형성될) 제2-티어 구조물 및/또는 추가의 티어 구조물들로 형성되는 제2 계단형 영역을 포함할 수 있다. 제1 계단형 표면들은, 예를 들어 내부에 개구를 갖는 마스크 층을 형성하고, 제1 절연 캡 층(170)의 레벨들 내에 공동을 에칭하고, 반복적으로, 에칭된 영역을 확장시키고 에칭된 영역 내의 에칭된 공동의 저부 표면 바로 아래에 위치된 제1 희생 재료 층(142) 및 제1 절연 층(132)의 각각의 쌍을 에칭함으로써 공동을 수직으로 리세스시킴으로써 형성될 수 있다. 일 실시예에서, 제1 희생 재료 층들(142)의 상단 표면들은 제1 계단형 표면들에서 물리적으로 노출될 수 있다. 제1 계단형 표면들 위에 놓인 공동은 본 명세서에서 제1 계단형 공동으로 지칭된다.The first insulating cap layer 170 and the first-tier alternating stack 132 , 142 may be patterned to form first stepped surfaces within the stepped region 200 . Each layer of the first-tier alternating stack 132 , 142 may be removed from above the etch stop dielectric layer 790 . The stepped region 200 is a respective first stepped region in which first stepped surfaces are formed, and a second-tier structure (to be subsequently formed over the first-tier structure) and/or a respective first stepped region in which additional stepped surfaces are formed. or a second stepped region formed of additional tier structures. The first stepped surfaces, for example, form a mask layer having an opening therein, etch a cavity within the levels of the first insulating cap layer 170 , iteratively expand the etched area and etch the etched area may be formed by vertically recessing the cavity by etching each pair of the first sacrificial material layer 142 and the first insulating layer 132 located directly below the bottom surface of the etched cavity within. In one embodiment, the top surfaces of the first sacrificial material layers 142 may be physically exposed at the first stepped surfaces. The cavity overlying the first stepped surfaces is referred to herein as the first stepped cavity.

제1 절연 층들(132) 및 제1 희생 재료 층들(142)은 메모리 어레이 영역(100)의 전체 영역 위에 연속적으로 연장되고, 따라서 각각 제1 연속적인 절연 층들 및 제1 연속적인 희생 재료 층들로 또한 지칭된다. 제1 연속적인 절연 층들 및 제1 연속적인 희생 재료 층들의 수직으로 교번하는 시퀀스가 기판(908) 위에 형성될 수 있다. 제1 계단형 표면들은 수직으로 교번하는 시퀀스의 주변 부분들에 형성된다. 수직으로 교번하는 시퀀스의 각각의 층은 메모리 어레이 영역(100) 내에 존재한다. 제1 연속적인 희생 재료 층들의 측방향 범위는 각각의 계단 영역(200) 내의 기판(908)으로부터의 수직 거리에 따라 감소한다. 일 실시예에서, 수직으로 교번하는 시퀀스의 모든 층들이 에칭 정지 유전체 층(790) 위로부터 제거되고, 수직으로 교번하는 시퀀스의 나머지 부분들의 계단형 표면들은 에칭 정지 유전체 층(790)이 존재하는 영역들까지 연장되지 않는다.The first insulating layers 132 and the first sacrificial material layers 142 extend continuously over the entire area of the memory array region 100 , and thus also as first continuous insulating layers and first continuous sacrificial material layers, respectively. is referred to A vertically alternating sequence of first successive insulating layers and first successive layers of sacrificial material may be formed over the substrate 908 . First stepped surfaces are formed in peripheral portions of a vertically alternating sequence. Each layer in a vertically alternating sequence resides within the memory array region 100 . The lateral extent of the first successive layers of sacrificial material decreases with vertical distance from the substrate 908 in each step region 200 . In one embodiment, all layers of the vertically alternating sequence are removed from above the etch stop dielectric layer 790 , and the stepped surfaces of the remaining portions of the vertically alternating sequence are the regions where the etch stop dielectric layer 790 is present. does not extend to

도 19를 참조하면, 유전체 충전 재료(예를 들어, 도핑되지 않은 실리케이트 유리 또는 도핑된 실리케이트 유리)가 제1 계단형 공동을 충전하도록 침착될 수 있다. 유전체 충전 재료의 잉여 부분들은 제1 절연 캡 층(170)의 상단 표면을 포함하는 수평 평면 위로부터 제거될 수 있다. 제1 계단형 표면들 위에 놓인 영역을 충전하는 유전체 충전 재료의 나머지 부분은 제1 계단형 유전체 재료 부분(165)을 구성한다. 본 명세서에 사용되는 바와 같이, "계단형" 요소는, 계단형 표면들, 및 요소가 존재하는 기판의 상부 표면으로부터의 수직 거리의 함수로서 단조적으로 증가하는 수평 단면적을 갖는 요소를 지칭한다. 제1 역-계단형 유전체 재료 부분은 에칭 정지 유전체 층(790) 위에 놓이고 그와 접촉한다. 제1-티어의 교번하는 스택(132, 142) 및 제1 계단형 유전체 재료 부분(165)은 후속하여 수정되는 공정-중 구조인 제1-티어 구조를 집합적으로 구성한다.Referring to FIG. 19 , a dielectric filling material (eg, undoped silicate glass or doped silicate glass) may be deposited to fill the first stepped cavity. Excess portions of dielectric filling material may be removed from above a horizontal plane comprising a top surface of first insulating cap layer 170 . The remainder of the dielectric fill material filling the region overlying the first stepped surfaces constitutes the first stepped dielectric material portion 165 . As used herein, a “stepped” element refers to an element having stepped surfaces and a horizontal cross-sectional area that monotonically increases as a function of the vertical distance from the upper surface of the substrate on which the element is present. A first counter-stepped portion of dielectric material overlies and contacts the etch stop dielectric layer 790 . The first-tier alternating stacks 132 , 142 and the first stepped dielectric material portion 165 collectively constitute a first-tier structure, which is a subsequently modified in-process structure.

인터-티어 유전체 층(180)이 선택적으로 제1-티어 구조물(132, 142, 170, 165) 위에 침착될 수 있다. 인터-티어 유전체 층(180)은 실리콘 산화물과 같은 유전체 재료를 포함한다. 일 실시예에서, 인터-티어 유전체 층(180)은 (도핑되지 않은 실리케이트 유리를 포함할 수 있는) 제1 절연 층들(132)의 재료보다 더 큰 에칭 속도를 갖는 도핑된 실리케이트 유리를 포함할 수 있다. 예를 들어, 인터-티어 유전체 층(180)은 포스포실리케이트 유리를 포함할 수 있다. 인터-티어 유전체 층(180)의 두께는 30 nm 내지 300 nm의 범위일 수 있지만, 더 작은 그리고 더 큰 두께들이 또한 사용될 수 있다.An inter-tier dielectric layer 180 may optionally be deposited over the first-tier structures 132 , 142 , 170 , 165 . Inter-tier dielectric layer 180 includes a dielectric material such as silicon oxide. In one embodiment, the inter-tier dielectric layer 180 may include doped silicate glass having a greater etch rate than the material of the first insulating layers 132 (which may include undoped silicate glass). have. For example, the inter-tier dielectric layer 180 may include phosphosilicate glass. The thickness of the inter-tier dielectric layer 180 may range from 30 nm to 300 nm, although smaller and larger thicknesses may also be used.

도 20a 및 도 20b를 참조하면, 다양한 제1-티어 개구들(149, 129)이 인터-티어 유전체 층(180) 및 제1-티어 구조물(132, 142, 170, 165)을 통해 기판(908) 내로 형성될 수 있다. 포토레지스트 층(도시되지 않음)이 인터-티어 유전체 층(180) 위에 적용될 수 있고, 리소그래피 방식으로 패턴화되어 이를 통해 다양한 개구들을 형성할 수 있다. 포토레지스트 층 내의 개구들의 패턴은 인터-티어 유전체 층(180) 및 제1-티어 구조물(132, 142, 170, 165)을 통해 제1 이방성 에칭 공정에 의해 기판(908)으로 전사되어, 다양한 제1-티어 개구들(149, 129)을 동시에, 즉 제1 등방성 에칭 공정 동안 형성한다. 다양한 제1-티어 개구들(149, 129)은 제1-티어 메모리 개구들(149) 및 제1-티어 지지 개구들(129)을 포함할 수 있다. 제1 교번하는 스택(132, 142) 내의 단계들(S)의 위치들이 도 20b에 점선들로 예시되어 있다.20A and 20B , various first-tier openings 149 , 129 pass through inter-tier dielectric layer 180 and first-tier structures 132 , 142 , 170 , 165 through substrate 908 . ) can be formed into A layer of photoresist (not shown) may be applied over the inter-tier dielectric layer 180 and lithographically patterned to form various openings therethrough. The pattern of openings in the photoresist layer is transferred to the substrate 908 by a first anisotropic etch process through the inter-tier dielectric layer 180 and the first-tier structures 132 , 142 , 170 , and 165 , to form a variety of second-order substrates. One-tier openings 149 and 129 are formed simultaneously, ie during the first isotropic etching process. The various first-tier openings 149 , 129 may include first-tier memory openings 149 and first-tier support openings 129 . The positions of steps S in the first alternating stack 132 , 142 are illustrated by dashed lines in FIG. 20B .

제1-티어 메모리 개구들(149)은 제1 교번하는 스택(132, 142) 내의 각각의 층을 통해 메모리 어레이 영역(100) 내에 형성되고 후속하여 메모리 스택 구조물들을 형성하는 데 사용되는 개구들이다. 제1-티어 메모리 개구들(149)은 제2 수평 방향(hd2)을 따라 측방향으로 이격되는 제1-티어 메모리 개구들(149)의 클러스터들로 형성될 수 있다. 제1-티어 메모리 개구들(149)의 각각의 클러스터는 제1-티어 메모리 개구들(149)의 2차원 어레이로서 형성될 수 있다.The first-tier memory openings 149 are openings formed in the memory array region 100 through respective layers in the first alternating stack 132 , 142 and subsequently used to form memory stack structures. The first-tier memory openings 149 may be formed as clusters of the first-tier memory openings 149 that are laterally spaced apart along the second horizontal direction hd2 . Each cluster of first-tier memory openings 149 may be formed as a two-dimensional array of first-tier memory openings 149 .

제1-티어 지지 개구들(129)은 계단형 영역(200) 내에 형성되는 개구들이다. 제1 계단형 유전체 재료 부분(165)을 통해 형성되는 제1-티어 지지 개구들(129)의 서브세트가 제1 계단형 표면들의 각자의 수평 표면을 통해 형성될 수 있다.The first-tier support openings 129 are openings formed in the stepped region 200 . A subset of the first-tier support openings 129 formed through the first stepped dielectric material portion 165 may be formed through respective horizontal surfaces of the first stepped surfaces.

일 실시예에서, 제1 이방성 에칭 공정은 제1-티어의 교번하는 스택(132, 142)의 재료들이 제1 계단형 유전체 재료 부분(165)의 재료와 동시에 에칭되는 초기 단계를 포함할 수 있다. 초기 에칭 단계의 화학적 특성은 제1 계단형 유전체 재료 부분(165)의 재료에 비견되는 평균 에칭 속도를 제공하면서 제1-티어의 교번하는 스택(132, 142)의 제1 및 제2 재료들의 에칭을 최적화시키도록 교번할 수 있다. 제1 이방성 에칭 공정은, 예를 들어 일련의 반응성 이온 에칭 공정들 또는 단일 반응 에칭 공정(예를 들어, CF4/O2/Ar 에칭)을 사용할 수 있다. 다양한 제1-티어 개구들(149, 129)의 측벽들은 실질적으로 수직일 수 있거나, 테이퍼질 수 있다. 일 실시예에서, 이방성 에칭 공정의 단자 부분은 제2 도핑된 웰(10)의 상부 부분 내로 에칭되는 오버에칭 단계를 포함할 수 있다. 포토레지스트 층은 예를 들어, 애싱에 의해 후속적으로 제거될 수 있다.In one embodiment, the first anisotropic etching process may include an initial step in which the materials of the first-tier alternating stack 132 , 142 are etched concurrently with the material of the first stepped dielectric material portion 165 . . The chemistry of the initial etch step etches the first and second materials of the first-tier alternating stack 132 , 142 while providing an average etch rate comparable to that of the first stepped dielectric material portion 165 . can be alternated to optimize The first anisotropic etch process may use, for example, a series of reactive ion etch processes or a single reactive etch process (eg, CF 4 /O 2 /Ar etch). The sidewalls of the various first-tier openings 149 , 129 may be substantially vertical or may be tapered. In one embodiment, the terminal portion of the anisotropic etching process may include an overetching step that is etched into the upper portion of the second doped well 10 . The photoresist layer may be subsequently removed, for example, by ashing.

선택적으로, 인터-티어 유전체 층(180)의 레벨에서의 제1-티어 메모리 개구들(149) 및 제1-티어 지지 개구들(129)의 부분들은 등방성 에칭에 의해 측방향으로 확장될 수 있다. 이러한 경우에, 인터-티어 유전체 층(180)은 희석된 플루오르화수소산 내의 (도핑되지 않은 실리케이트 유리를 포함할 수 있는) 제1 절연 층(132)보다 더 큰 에칭 속도를 갖는 유전체 재료(예컨대, 붕규산염 유리)를 포함할 수 있다. 등방성 에칭(예컨대, HF를 사용하는 습식 에칭)이 인터-티어 유전체 층(180)의 레벨에서 제1-티어 메모리 개구들(149)의 측방향 치수들을 확장시키는 데 사용될 수 있다. 인터-티어 유전체 층(180)의 레벨에 위치된 제1-티어 메모리 개구들(149)의 부분들은 선택적으로, 후속적으로 제2-티어의 교번하는 스택을 통해 형성될(후속적으로 제2-티어 메모리 개구들의 형성 이전에 형성될) 제2-티어 메모리 개구들에 대해 더 큰 랜딩 패드를 제공하도록 확대될 수 있다.Optionally, portions of first-tier memory openings 149 and first-tier support openings 129 at the level of inter-tier dielectric layer 180 may be laterally expanded by isotropic etching. . In this case, the inter-tier dielectric layer 180 is a dielectric material having a greater etch rate than the first insulating layer 132 (which may include undoped silicate glass) in diluted hydrofluoric acid (eg, borosilicate glass). An isotropic etch (eg, wet etch using HF) may be used to expand the lateral dimensions of the first-tier memory openings 149 at the level of the inter-tier dielectric layer 180 . Portions of first-tier memory openings 149 located at the level of inter-tier dielectric layer 180 are optionally subsequently to be formed through an alternating stack of second-tier (subsequently second second) tiers. can be enlarged to provide a larger landing pad for the second-tier memory openings - to be formed prior to formation of the tier memory openings

도 21을 참조하면, 희생 제1-티어 개구 충전 부분들(148, 128)이 다양한 제1-티어 개구들(149, 129)에 형성될 수 있다. 예를 들어, 희생 제1-티어 충전 재료가 제1-티어 개구들(149, 129) 각각에 동시에 침착되어 침착된다. 희생 제1-티어 충전 재료는 후속하여 제1 절연 층들(132) 및 제1 희생 재료 층들(142)의 재료들에 대해 선택적으로 제거될 수 있는 재료를 포함한다.Referring to FIG. 21 , sacrificial first-tier opening filling portions 148 , 128 may be formed in various first-tier openings 149 , 129 . For example, a sacrificial first-tier fill material is deposited simultaneously onto each of the first-tier openings 149 and 129 . The sacrificial first-tier filling material includes a material that can be subsequently removed selectively with respect to the materials of the first insulating layers 132 and the first sacrificial material layers 142 .

일 실시예에서, 희생 제1-티어 충전 재료는 실리콘(예컨대, a-Si 또는 폴리실리콘), 실리콘-게르마늄 합금, 게르마늄, III-V 족 화합물 반도체 재료, 또는 이들의 조합과 같은 반도체 재료를 포함할 수 있다. 선택적으로, 희생 제1-티어 충전 재료를 침착하기 전에 얇은 에칭 정지 라이너(예를 들어, 1 nm 내지 3 nm 범위의 두께를 갖는 실리콘 산화물 층 또는 실리콘 질화물 층)가 사용될 수 있다. 희생 제1-티어 충전 재료는 비-등각 침착 또는 등각 침착 방법에 의해 형성될 수 있다.In one embodiment, the sacrificial first-tier fill material comprises a semiconductor material such as silicon (eg, a-Si or polysilicon), a silicon-germanium alloy, germanium, a group III-V compound semiconductor material, or a combination thereof. can do. Optionally, a thin etch stop liner (eg, a silicon oxide layer or a silicon nitride layer having a thickness in the range of 1 nm to 3 nm) may be used prior to depositing the sacrificial first-tier fill material. The sacrificial first-tier fill material may be formed by non-conformal deposition or conformal deposition methods.

다른 실시예에서, 희생 제1-티어 충전 재료는 제1 절연 층들(132), 제1 절연 캡 층(170), 및 인터-티어 유전체 층(180)의 재료들보다 높은 에칭 속도를 갖는 실리콘 산화물 재료를 포함할 수 있다. 예를 들어, 희생 제1-티어 충전 재료는 100:1 희석된 플루오르화수소산에서 치밀화된 TEOS 산화물(즉, 화학 기상 침착 공정에서 테트라에틸오르토실리케이트 유리의 분해에 의해 형성되고 후속적으로 어닐링 공정에서 치밀화된 실리콘 산화물 재료)의 에칭 속도보다 적어도 100배 높은 에칭 레이트를 갖는 붕규산염 유리, 또는 다공성 또는 비-다공성 유기실리케이트 유리를 포함할 수 있다. 이러한 경우, 희생 제1-티어 충전 재료를 침착하기 전에 얇은 에칭 정지 라이너(예를 들어, 1 nm 내지 3 nm 범위의 두께를 갖는 실리콘 질화물 층)가 사용될 수 있다. 희생 제1-티어 충전 재료는 비-등각 침착 또는 등각 침착 방법에 의해 형성될 수 있다.In another embodiment, the sacrificial first-tier fill material is silicon oxide having a higher etch rate than the materials of the first insulating layers 132 , the first insulating cap layer 170 , and the inter-tier dielectric layer 180 . material may be included. For example, the sacrificial first-tier fill material is formed by decomposition of tetraethylorthosilicate glass in a 100:1 diluted hydrofluoric acid densified TEOS oxide (i.e., tetraethylorthosilicate glass in a chemical vapor deposition process and subsequently in an annealing process). borosilicate glass, or porous or non-porous organosilicate glass having an etch rate at least 100 times higher than the etch rate of the densified silicon oxide material. In this case, a thin etch stop liner (eg, a silicon nitride layer having a thickness in the range of 1 nm to 3 nm) may be used prior to depositing the sacrificial first-tier fill material. The sacrificial first-tier fill material may be formed by non-conformal deposition or conformal deposition methods.

또 다른 실시예에서, 희생 제1-티어 충전 재료는 애싱에 의해 후속적으로 제거될 수 있는 비정질 탄소-함유 재료(예를 들어, 비정질 탄소 또는 다이아몬드-형 탄소) 또는 제1 교번 스택(132, 142)의 재료들에 대해 후속적으로 선택적으로 제거될 수 있는 실리콘계 중합체를 포함할 수 있다.In another embodiment, the sacrificial first-tier fill material is an amorphous carbon-containing material (eg, amorphous carbon or diamond-like carbon) or a first alternating stack 132 that may be subsequently removed by ashing. 142) may include a silicone-based polymer that can be selectively removed subsequently.

침착된 희생 재료의 부분들은, 제1-티어의 교번하는 스택(132, 142)의 최상부 층 위로부터 예컨대 인터-티어 유전체 층(180) 위로부터 제거될 수 있다. 예를 들어, 희생 제1-티어 충전 재료는 평탄화 공정을 사용하여 인터-티어 유전체 층(180)의 상단 표면으로 리세스될 수 있다. 평탄화 공정은 리세스 에칭(recess etch), 화학적 기계적 평탄화(CMP), 또는 이들의 조합을 포함할 수 있다. 인터-티어 유전체 층(180)의 상단 표면은 에칭 정지 층 또는 평탄화 정지 층으로서 사용될 수 있다.Portions of the deposited sacrificial material may be removed from over the top layer of the first-tier alternating stack 132 , 142 , such as from over the inter-tier dielectric layer 180 . For example, the sacrificial first-tier fill material may be recessed into the top surface of the inter-tier dielectric layer 180 using a planarization process. The planarization process may include a recess etch, chemical mechanical planarization (CMP), or a combination thereof. The top surface of the inter-tier dielectric layer 180 may be used as an etch stop layer or a planarization stop layer.

희생 제1-티어 충전 재료의 나머지 부분들은 희생 제1-티어 개구 충전 부분들(148, 128)을 포함한다. 구체적으로, 제1-티어 메모리 개구(149) 내의 희생 재료의 각각의 나머지 부분은 희생 제1-티어 메모리 개구 충전 부분(148)을 구성한다. 제1-티어 지지 개구(129) 내의 희생 재료의 각각의 나머지 부분은 희생 제1-티어 지지 개구 충전 부분(128)을 구성한다. 다양한 희생 제1-티어 개구 충전 부분들(148, 128)은 동시에, 즉, 희생 제1-티어 충전 재료를 침착하는 침착 공정 및 제1 교번하는 스택(132, 142) 위로부터(예컨대, 인터-티어 유전체 층(180)의 상단 표면 위로부터) 제1-티어 침착 공정을 제거하는 평탄화 공정을 포함하는 동일한 세트의 공정들 동안 형성된다. 희생 제1-티어 개구 충전 부분들(148, 128)의 상단 표면들은 인터-티어 유전체 층(180)의 상단 표면과 동일 평면 상에 있을 수 있다. 희생 제1-티어 개구 충전 부분들(148, 128) 각각은 내부에 공동들을 포함할 수 있거나 포함하지 않을 수 있다.The remaining portions of the sacrificial first-tier fill material include sacrificial first-tier opening fill portions 148 , 128 . Specifically, each remaining portion of the sacrificial material in the first-tier memory opening 149 constitutes the sacrificial first-tier memory opening filling portion 148 . Each remaining portion of the sacrificial material in the first-tier support opening 129 constitutes a sacrificial first-tier support opening filling portion 128 . The various sacrificial first-tier opening fill portions 148 , 128 simultaneously, ie, a deposition process that deposits the sacrificial first-tier fill material and from above the first alternating stack 132 , 142 (eg, inter- formed during the same set of processes including a planarization process that removes the first-tier deposition process (from above the top surface of the tier dielectric layer 180 ). The top surfaces of the sacrificial first-tier opening filling portions 148 , 128 may be coplanar with the top surface of the inter-tier dielectric layer 180 . Each of the sacrificial first-tier opening filling portions 148 , 128 may or may not include cavities therein.

도 22를 참조하면, 제2-티어 구조물이 제1-티어 구조물(132, 142, 170, 148) 위에 형성될 수 있다. 제2-티어 구조물은 희생 재료 층들일 수 있는 절연 층들 및 스페이서 재료 층들의 추가의 교번하는 스택을 포함할 수 있다. 예를 들어, 재료 층들의 제2 교번하는 스택(232, 242)이 후속하여 제1 교번하는 스택(132, 142)의 상단 표면 상에 형성될 수 있다. 제2 교번하는 스택(232, 242)은 교번하는 복수의 제3 재료 층들 및 제 4 재료 층들을 포함할 수 있다. 각각의 제3 재료 층은 제3 재료를 포함할 수 있고, 각각의 제4 재료 층은 제3 재료와는 상이한 제4 재료를 포함할 수 있다. 일 실시예에서, 제3 재료는 제1 절연 층(132)의 제1 재료와 동일할 수 있고, 제4 재료는 제1 희생 재료 층들(142)의 제2 재료와 동일할 수 있다.Referring to FIG. 22 , a second-tier structure may be formed on the first-tier structures 132 , 142 , 170 , and 148 . The second-tier structure may include an additional alternating stack of insulating layers and spacer material layers, which may be sacrificial material layers. For example, a second alternating stack 232 , 242 of material layers may subsequently be formed on the top surface of the first alternating stack 132 , 142 . The second alternating stack 232 , 242 may include an alternating plurality of third and fourth material layers. Each layer of third material may include a third material, and each layer of fourth material may include a fourth material that is different from the third material. In one embodiment, the third material may be the same as the first material of the first insulating layer 132 , and the fourth material may be the same as the second material of the first sacrificial material layers 142 .

일 실시예에서, 제3 재료 층들은 제2 절연 층들(232)일 수 있고, 제4 재료 층들은 제2 절연 층들(232)의 각각의 수직으로 이웃하는 쌍 사이의 수직 간격을 제공하는 제2 스페이서 재료 층들일 수 있다. 일 실시예에서, 제3 재료 층들 및 제4 재료 층들은 각각 제2 절연 층들(232) 및 제2 희생 재료 층들(242)일 수 있다. 제2 절연 층들(232)의 제3 재료는 적어도 하나의 절연 재료일 수 있다. 제2 희생 재료 층들(242)의 제4 재료는 제2 절연 층들(232)의 제3 재료에 대해 선택적으로 제거될 수 있는 희생 재료일 수 있다. 제2 희생 재료 층들(242)은 절연 재료, 반도체 재료, 또는 전도성 재료를 포함할 수 있다. 제2 희생 재료 층들(242)의 제4 재료는 후속으로, 예를 들어, 수직 NAND 디바이스의 제어 게이트 전극들로서 기능할 수 있는 전기 전도성 전극들로 대체될 수 있다.In one embodiment, the third material layers may be second insulating layers 232 , wherein the fourth material layers provide a second vertical spacing between each vertically neighboring pair of second insulating layers 232 . spacer material layers. In one embodiment, the third material layers and the fourth material layers may be second insulating layers 232 and second sacrificial material layers 242 , respectively. The third material of the second insulating layers 232 may be at least one insulating material. The fourth material of the second sacrificial material layers 242 may be a sacrificial material that may be selectively removed with respect to the third material of the second insulating layers 232 . The second sacrificial material layers 242 may include an insulating material, a semiconductor material, or a conductive material. The fourth material of the second sacrificial material layers 242 may subsequently be replaced with electrically conductive electrodes that may serve, for example, as control gate electrodes of a vertical NAND device.

일 실시예에서, 각각의 제2 절연 층(232)은 제2 절연 재료를 포함할 수 있고, 각각의 제2 희생 재료 층(242)은 제2 희생 재료를 포함할 수 있다. 이러한 경우에, 제2 교번하는 스택(232, 242)은 교번하는 복수의 제2 절연 층들(232) 및 제2 희생 재료 층들(242)을 포함할 수 있다. 제2 절연 층들(232)의 제3 재료는, 예를 들어 화학 기상 침착(CVD)에 의해 침착될 수 있다. 제2 희생 재료 층들(242)의 제4 재료는, 예를 들어, CVD 또는 원자 층 침착(ALD)으로 형성될 수 있다.In one embodiment, each second insulating layer 232 can include a second insulating material, and each second sacrificial material layer 242 can include a second sacrificial material. In this case, the second alternating stack 232 , 242 may include an alternating plurality of second insulating layers 232 and second sacrificial material layers 242 . The third material of the second insulating layers 232 may be deposited by chemical vapor deposition (CVD), for example. The fourth material of the second sacrificial material layers 242 may be formed, for example, by CVD or atomic layer deposition (ALD).

제2 절연 층들(232)의 제3 재료는 적어도 하나의 절연 재료일 수 있다. 제2 절연 층들(232)에 사용될 수 있는 절연 재료들은 제1 절연 층들(132)에 사용될 수 있는 임의의 재료일 수 있다. 제2 희생 재료 층들(242)의 제4 재료는 제2 절연 층들(232)의 제3 재료에 대해 선택적으로 제거될 수 있는 희생 재료이다. 제2 희생 재료 층들(242)에 사용될 수 있는 희생 재료들은 제1 희생 재료 층들(142)에 사용될 수 있는 임의의 재료일 수 있다. 일 실시예에서, 제2 절연 재료는 제1 절연 재료와 동일할 수 있고, 제2 희생 재료는 제1 희생 재료와 동일할 수 있다.The third material of the second insulating layers 232 may be at least one insulating material. The insulating materials that can be used for the second insulating layers 232 can be any material that can be used for the first insulating layers 132 . The fourth material of the second sacrificial material layers 242 is a sacrificial material that can be selectively removed with respect to the third material of the second insulating layers 232 . The sacrificial materials that may be used for the second sacrificial material layers 242 may be any material that may be used for the first sacrificial material layers 142 . In one embodiment, the second insulating material may be the same as the first insulating material, and the second sacrificial material may be the same as the first sacrificial material.

제2 절연 층들(232) 및 제2 희생 재료 층들(242)의 두께들은 20 nm 내지 50 nm 범위에 있을 수 있지만, 각각의 제2 절연 층(232) 및 각각의 제2 희생 재료 층(242)에 대해 더 작은 두께 및 더 큰 두께가 사용될 수 있다. 제2 절연 층(232) 및 제2 희생 재료 층(242)의 쌍들의 반복 수는 2 내지 1,024, 및 전형적으로 8 내지 256의 범위일 수 있지만, 더 많은 반복 수가 또한 사용될 수 있다. 일 실시예에서, 제2 교번하는 스택(232, 242) 내의 각각의 제2 희생 재료 층(242)은 각자의 제2 희생 재료 층(242) 각각 내에서 실질적으로 불변인 균일한 두께를 가질 수 있다.The thicknesses of the second insulating layers 232 and the second sacrificial material layers 242 may range from 20 nm to 50 nm, but each second insulating layer 232 and each second sacrificial material layer 242 Smaller and larger thicknesses may be used for . The number of repetitions of the pairs of the second insulating layer 232 and the second sacrificial material layer 242 may range from 2 to 1,024, and typically from 8 to 256, although higher repetition numbers may also be used. In one embodiment, each second sacrificial material layer 242 in the second alternating stacks 232 , 242 may have a substantially invariant uniform thickness within each respective second sacrificial material layer 242 . have.

제2 계단형 영역 내의 제2 계단형 표면들은 적어도 하나의 마스킹 층의 패턴에 적합한 조정으로 제1 계단형 영역 내의 제1 계단형 표면들을 형성하는 데 사용되는 처리 단계들과 동일한 세트의 처리 단계들을 사용하여 계단 영역(200) 내에 형성될 수 있다. 제2 계단형 유전체 재료 부분(265)이 계단형 영역(200) 내의 제2 계단형 표면들 위에 형성될 수 있다.The second stepped surfaces in the second stepped region may undergo the same set of processing steps as those used to form the first stepped surfaces in the first stepped region, with adjustments suitable for the pattern of the at least one masking layer. It can be formed in the step area 200 using A second stepped dielectric material portion 265 may be formed over the second stepped surfaces in the stepped region 200 .

제2 절연 캡 층(270)이 후속적으로 제2 교번하는 스택(232, 242) 위에 형성될 수 있다. 제2 절연 캡 층(270)은 제2 희생 재료 층들(242)의 재료와 상이한 유전체 재료를 포함한다. 일 실시예에서, 제2 절연 캡 층(270)은 실리콘 산화물을 포함할 수 있다. 일 실시예에서, 제1 및 제2 희생 재료 층들(142, 242)은 실리콘 질화물을 포함할 수 있다.A second insulating cap layer 270 may subsequently be formed over the second alternating stacks 232 , 242 . The second insulating cap layer 270 includes a dielectric material that is different from the material of the second sacrificial material layers 242 . In one embodiment, the second insulating cap layer 270 may include silicon oxide. In one embodiment, the first and second sacrificial material layers 142 , 242 may include silicon nitride.

제2 절연 층들(232) 및 제2 희생 재료 층들(242)은 메모리 어레이 영역(100)의 전체 영역 위에 연속적으로 연장되고, 따라서 각각 제2 연속적인 절연 층들 및 제2 연속적인 희생 재료 층들로 또한 지칭된다. 제2 연속적인 절연 층들 및 제2 연속적인 희생 재료 층들의 수직으로 교번하는 시퀀스가 기판(908) 위에 형성될 수 있다. 제2 계단형 표면들은 수직으로 교번하는 시퀀스의 주변 부분들에 형성된다. 수직으로 교번하는 시퀀스의 각각의 층은 메모리 어레이 영역(100) 내에 존재한다. 제2 연속적인 희생 재료 층들(242)의 측방향 범위는 각각의 계단 영역(200) 내의 기판(908)으로부터의 수직 거리에 따라 감소한다. 일 실시예에서, 수직으로 교번하는 시퀀스의 모든 층들이 에칭 정지 유전체 층(790) 위로부터 제거되고, 수직으로 교번하는 시퀀스의 나머지 부분들의 계단형 표면들은 에칭 정지 유전체 층(790)이 존재하는 영역들까지 연장되지 않는다.The second insulating layers 232 and the second sacrificial material layers 242 extend continuously over the entire area of the memory array region 100 , and thus also as second continuous insulating layers and second consecutive sacrificial material layers, respectively. is referred to A vertically alternating sequence of second successive insulating layers and second successive layers of sacrificial material may be formed over the substrate 908 . Second stepped surfaces are formed in peripheral portions of a vertically alternating sequence. Each layer in a vertically alternating sequence resides within the memory array region 100 . The lateral extent of the second successive layers of sacrificial material 242 decreases with vertical distance from the substrate 908 in each step region 200 . In one embodiment, all layers of the vertically alternating sequence are removed from above the etch stop dielectric layer 790 , and the stepped surfaces of the remaining portions of the vertically alternating sequence are the regions where the etch stop dielectric layer 790 is present. does not extend to

일반적으로 말하면, 연속적인 절연 층들(132, 232) 및 연속적인 스페이서 재료 층들(예컨대, 연속적인 희생 재료 층들(142, 242))의 적어도 하나의 수직으로 교번하는 시퀀스가 기판(908) 위에 형성될 수 있고, 적어도 하나의 계단형 유전체 재료 부분(165, 265)이 적어도 하나의 수직으로 교번하는 시퀀스(132, 142, 232, 242) 상의 계단 영역들 위에 형성될 수 있다.Generally speaking, at least one vertically alternating sequence of successive insulating layers 132 , 232 and successive spacer material layers (eg, successive sacrificial material layers 142 , 242 ) is to be formed over the substrate 908 . and at least one stepped dielectric material portion 165 , 265 may be formed over the stepped regions on the at least one vertically alternating sequence 132 , 142 , 232 , 242 .

선택적으로, 드레인 선택 레벨 격리 구조물들(72)은 제2-티어 교번하는 스택(232, 242)의 상부 부분 내의 층들의 서브세트를 통해 형성될 수 있다. 드레인 선택 레벨 격리 구조물들(72)에 의해 절단된 제2 희생 재료 층들(242)은 드레인 선택 레벨 전기 전도성 층들이 후속적으로 형성되는 레벨들에 대응한다. 드레인 선택 레벨 격리 구조물들(72)은 실리콘 산화물과 같은 유전체 재료를 포함한다. 드레인 선택 레벨 격리 구조물들(72)은 제1 수평 방향(hd1)을 따라 측방향으로 연장될 수 있고, 제1 수평 방향(hd1)에 수직인 제2 수평 방향(hd2)을 따라 측방향으로 이격될 수 있다. 제2 교번하는 스택(232, 242), 제2 계단형 유전체 재료 부분(265), 제2 절연 캡 층(270), 및 선택적인 드레인 선택 레벨 격리 구조물들(72)은 집합적으로 제2-티어 구조물(232, 242, 265, 270, 72)을 구성한다.Optionally, drain select level isolation structures 72 may be formed through a subset of the layers in the upper portion of the second two-tier alternating stack 232 , 242 . The second sacrificial material layers 242 cut by the drain select level isolation structures 72 correspond to the levels at which the drain select level electrically conductive layers are subsequently formed. Drain select level isolation structures 72 include a dielectric material such as silicon oxide. The drain select level isolation structures 72 may extend laterally in a first horizontal direction hd1 and are laterally spaced apart in a second horizontal direction hd2 perpendicular to the first horizontal direction hd1 . can be The second alternating stack 232 , 242 , the second stepped dielectric material portion 265 , the second insulating cap layer 270 , and the optional drain select level isolation structures 72 are collectively a second- tier structures 232 , 242 , 265 , 270 and 72

도 23a 및 도 23b를 참조하면, 다양한 제2-티어 개구들(249, 229)이 제2-티어 구조물(232, 242, 265, 270, 72)을 통해 형성될 수 있다. 포토레지스트 층(도시되지 않음)이 제2 절연 캡 층(270) 위에 적용될 수 있고, 리소그래피 방식으로 패턴화되어 이를 통해 다양한 개구들을 형성할 수 있다. 메모리 어레이 영역(100) 내의 제2-티어 메모리 개구들(249)의 패턴은 제1-티어 메모리 개구 충전 부분(148)의 패턴과 동일한 제1-티어 메모리 개구들(149)의 패턴과 동일할 수 있다. 계단 영역(200) 내의 제2-티어 지지 개구들(229)의 패턴의 측방향 범위는 제2-티어의 교번하는 스택(232, 242)의 계단형 표면들의 영역들 내로 제한될 수 있다. 다시 말해, 제2-티어 지지 개구들(229)은 제2 역-계단형 유전체 재료 부분(265)이 스택간 유전체 층(180)의 상단 표면과 접촉하는 영역 내에 없을 수 있다. 따라서, 제1-티어 개구들(149, 129)을 패턴화하는 데 사용되는 리소그래픽 마스크가 포토레지스트 층을 패턴화하는 데 사용될 수 있다.23A and 23B , various second-tier openings 249 and 229 may be formed through the second-tier structures 232 , 242 , 265 , 270 , and 72 . A photoresist layer (not shown) may be applied over the second insulating cap layer 270 and lithographically patterned to form various openings therethrough. The pattern of the second-tier memory openings 249 in the memory array region 100 may be the same as the pattern of the first-tier memory openings 149 that is the same as the pattern of the first-tier memory opening filling portion 148 . can The lateral extent of the pattern of second-tier support openings 229 in stepped region 200 may be limited into regions of stepped surfaces of second-tier alternating stack 232 , 242 . In other words, the second-tier support openings 229 may not be in the region where the second counter-stepped dielectric material portion 265 contacts the top surface of the inter-stack dielectric layer 180 . Accordingly, the lithographic mask used to pattern the first-tier openings 149 , 129 may be used to pattern the photoresist layer.

포토레지스트 층 내의 개구들의 패턴은 제2 이방성 에칭 공정에 의해 제2-티어 구조물(232, 242, 265, 270, 72)을 통해 전사되어, 동시에, 즉 제2 이방성 에칭 공정 동안 다양한 제2-티어 개구들(249, 229)을 형성할 수 있다. 다양한 제2-티어 개구들(249, 229)은 제2-티어 메모리 개구들(249) 및 제2-티어 지지 개구들(229)을 포함할 수 있다.The pattern of openings in the photoresist layer is transferred through the second-tier structures 232 , 242 , 265 , 270 , 72 by a second anisotropic etch process, simultaneously, i.e., during the second anisotropic etch process, various second tiers. Openings 249 and 229 may be formed. The various second-tier openings 249 , 229 may include second-tier memory openings 249 and second-tier support openings 229 .

제2-티어 메모리 개구들(249)은 희생 제1-티어 메모리 개구 충전 부분들(148)의 각자의 하나의 상단 표면 상에 직접 형성된다. 제2-티어 지지 개구들(229)은 희생 제1-티어 지지 개구 충전 부분들(128)의 각자의 하나의 상단 표면 상에 직접 형성된다. 또한, 각각의 제2-티어 지지 개구들(229)은 제2 계단형 표면들 내의 수평 표면을 통해 형성될 수 있으며, 이는 제2 교번하는 스택(232, 242)과 제2 계단형 유전체 재료 부분(265) 사이의 계면 표면들을 포함한다. 제1-티어 교번하는 스택(132, 142) 및 제2-티어 교번하는 스택(232, 242) 내의 단계들(S)의 위치들이 도 23b에 점선들로 예시되어 있다.The second-tier memory openings 249 are formed directly on the top surface of each one of the sacrificial first-tier memory opening filling portions 148 . The second-tier support openings 229 are formed directly on the top surface of each one of the sacrificial first-tier support opening filling portions 128 . Also, each of the second-tier support openings 229 may be formed through a horizontal surface within the second stepped surfaces, which includes a second alternating stack 232 , 242 and a second stepped dielectric material portion. interfacial surfaces between 265 . The positions of steps S in the first-tier alternating stack 132 , 142 and the second tier alternating stack 232 , 242 are illustrated by dashed lines in FIG. 23B .

제2 이방성 에칭 공정은 제2-티어의 교번하는 스택(232, 242)의 재료들이 제2 계단형 유전체 재료 부분(265)의 재료와 동시에 에칭되는 에칭 단계를 포함할 수 있다. 에칭 단계의 화학적 특성은 제2 계단형 유전체 재료 부분(265)의 재료에 비견되는 평균 에칭 속도를 제공하면서 제2-티어의 교번하는 스택(232, 242)의 재료들의 에칭을 최적화시키도록 교번할 수 있다. 제2 이방성 에칭 공정은, 예를 들어 일련의 반응성 이온 에칭 공정들 또는 단일 반응 에칭 공정(예를 들어, CF4/O2/Ar 에칭)을 사용할 수 있다. 다양한 제2-티어 개구들(249, 229)의 측벽들은 실질적으로 수직일 수 있거나, 테이퍼질 수 있다. 각각의 제2-티어 개구(249, 229)의 저부 주변부는 측방향으로 오프셋될 수 있고/있거나, 아래에 놓인 희생 제1-티어 개구 충전 부분(148, 128)의 상단 표면의 주변부 내에 전체적으로 위치될 수 있다. 포토레지스트 층은 예를 들어, 애싱에 의해 후속적으로 제거될 수 있다.The second anisotropic etching process may include an etching step in which the materials of the second tier of alternating stacks 232 , 242 are etched simultaneously with the material of the second stepped dielectric material portion 265 . The chemistry of the etching step may be alternated to optimize the etching of the materials of the second-tier alternating stack 232 , 242 while providing an average etch rate comparable to that of the second stepped dielectric material portion 265 . can The second anisotropic etch process may use, for example, a series of reactive ion etch processes or a single reactive etch process (eg, CF 4 /O 2 /Ar etch). The sidewalls of the various second-tier openings 249 , 229 may be substantially vertical or may be tapered. The bottom perimeter of each second-tier opening 249 , 229 may be laterally offset and/or located entirely within the perimeter of the top surface of the underlying sacrificial first-tier opening filling portion 148 , 128 . can be The photoresist layer may be subsequently removed, for example, by ashing.

도 24를 참조하면, 희생 제1-티어 개구 충전 부분들(148, 128)의 희생 제1-티어 충전 재료는, 제1 및 제2 절연 층들(132, 232), 제1 및 제2 희생 재료 층들(142, 242), 제1 및 제2 절연 캡 층들(170, 270), 및 인터-티어 유전체 층(180)의 재료들에 대해 선택적인 희생 제1-티어 충전 재료를 에칭하는 에칭 공정을 사용하여 제거될 수 있다. 인터-티어 메모리 개구(49)로 또한 지칭되는 메모리 개구(49)는 희생 제1-티어 메모리 개구 충전 부분(148)이 그로부터 제거되는 체적 및 제2-티어 메모리 개구들(249)의 각각의 조합으로 형성된다. 인터-티어 지지 개구(19)로 또한 지칭되는 지지 개구(19)는 희생 제1-티어 지지 개구 충전 부분(128)이 그로부터 제거되는 체적 및 제2-티어 지지 개구들(229)의 각각의 조합으로 형성된다.Referring to FIG. 24 , the sacrificial first-tier filling material of the sacrificial first-tier opening filling portions 148 , 128 includes the first and second insulating layers 132 , 232 , the first and second sacrificial material an etching process that etches the sacrificial first-tier fill material selective to the materials of the layers 142 , 242 , the first and second insulating cap layers 170 , 270 , and the inter-tier dielectric layer 180 . It can be removed using Memory opening 49 , also referred to as inter-tier memory opening 49 , is each combination of second-tier memory openings 249 and the volume from which sacrificial first-tier memory opening filling portion 148 is removed. is formed with Support opening 19 , also referred to as inter-tier support opening 19 , is each combination of second-tier support openings 229 and the volume from which sacrificial first-tier support opening fill portion 128 is removed therefrom. is formed with

도 25a 내지 도 25d는 메모리 개구 충전 구조물의 형성 동안의 메모리 개구(49)의 순차적인 단면도들을 제공한다. 동일한 구조적 변화가 메모리 개구들(49) 및 지지 개구들(19) 각각에서 발생한다.25A-25D provide sequential cross-sectional views of the memory opening 49 during formation of the memory opening filling structure. The same structural change occurs in each of the memory openings 49 and the support openings 19 .

도 25a를 참조하면, 받침대 채널 부분(11)은 각각의 메모리 개구(49)의 저부에서 그리고 각각의 지지 개구(19)의 저부에서 선택적 반도체 재료 침착 공정에 의해 형성될 수 있다. 제1 전도성 유형의 도핑을 갖는 도핑된 반도체 재료는 제2 도핑 웰(10)의 물리적으로 노출된 표면들로부터 선택적으로 성장될 수 있는 반면, 유전체 표면들로부터의 도핑된 반도체 재료의 성장은 선택적 반도체 재료 침착 공정 동안 억제된다. 반도체 전구체 가스, 제1 전도성 유형의 도펀트 원자들을 포함하는 도펀트 가스, 및 에천트는 제2 예시적인 구조물을 동시에 또는 교번하여 포함하는 공정 챔버 내로 유동될 수 있다. 상단 표면의 주변부의 각각의 받침대 채널 부분(11)은 최하부 제1 희생 재료 층(142) 위에 놓이고 그와 접촉하는 제1 절연 층(132)의 측벽과 접촉할 수 있다. 받침대 채널 부분들(11) 내의 제1 전도성 유형 도펀트들의 원자 농도는 1.0 × 1014/㎤ 내지 1.0 × 1018/㎤의 범위일 수 있지만, 더 작은 그리고 더 큰 도펀트 원자 농도들이 또한 사용될 수 있다. p-n 접합이 제2 도핑된 웰(10)과 받침대 채널 부분(11) 사이의 각각의 계면에 형성될 수 있다.Referring to FIG. 25A , the pedestal channel portion 11 may be formed by a selective semiconductor material deposition process at the bottom of each memory opening 49 and at the bottom of each support opening 19 . A doped semiconductor material having a doping of a first conductivity type may be selectively grown from physically exposed surfaces of the second doped well 10, whereas growth of the doped semiconductor material from dielectric surfaces is a selective semiconductor. suppressed during the material deposition process. A semiconductor precursor gas, a dopant gas comprising dopant atoms of a first conductivity type, and an etchant may be flowed into a process chamber comprising the second exemplary structure, either simultaneously or alternately. Each pedestal channel portion 11 of the periphery of the top surface may be in contact with a sidewall of the first insulating layer 132 overlying and in contact with the lowermost first sacrificial material layer 142 . The atomic concentration of the first conductivity type dopants in the pedestal channel portions 11 may range from 1.0×10 14 /cm 3 to 1.0×10 18 /cm 3 , although smaller and larger dopant atomic concentrations may also be used. A pn junction may be formed at each interface between the second doped well 10 and the pedestal channel portion 11 .

도 25b를 참조하면, 차단 유전체 층(52), 전하 저장 층(54), 터널링 유전체 층(56), 및 반도체 채널 재료 층(60L)을 포함하는 층들의 스택이 메모리 개구들(49) 내에 순차적으로 침착될 수 있다. 차단 유전체 층(52)은 단일 유전체 재료 층 또는 복수의 유전체 재료 층들의 스택을 포함할 수 있다. 일 실시예에서, 차단 유전체 층은 유전체 금속 산화물로 본질적으로 이루어진 유전체 금속 산화물 층을 포함할 수 있다. 본 명세서에 사용되는 바와 같이, 유전체 금속 산화물은 적어도 하나의 금속성 원소 및 적어도 산소를 포함하는 유전체 재료를 지칭한다. 유전체 금속 산화물은 적어도 하나의 금속성 원소 및 산소로 본질적으로 이루어질 수 있거나, 적어도 하나의 금속성 원소, 산소, 및 질소와 같은 적어도 하나의 비-금속성 원소로 본질적으로 이루어질 수 있다. 일 실시예에서, 차단 유전체 층(52)은 7.9 초과의 유전 상수를 갖는, 즉 실리콘 질화물의 유전 상수보다 큰 유전 상수를 갖는 유전체 금속 산화물을 포함할 수 있다. 유전체 금속 산화물 층의 두께는 1 nm 내지 20 nm의 범위일 수 있지만, 더 작은 그리고 더 큰 두께가 또한 사용될 수 있다. 유전체 금속 산화물 층은 후속적으로, 저장된 전기 전하들이 제어 게이트 전극들로 누설되는 것을 차단하는 유전체 재료 부분으로서 기능할 수 있다. 일 실시예에서, 차단 유전체 층(52)은 알루미늄 산화물을 포함한다. 대안으로 또는 추가로, 차단 유전체 층(52)은 실리콘 산화물, 실리콘 산질화물, 실리콘 질화물, 또는 이들의 조합과 같은 유전체 반도체 화합물을 포함할 수 있다.Referring to FIG. 25B , a stack of layers including a blocking dielectric layer 52 , a charge storage layer 54 , a tunneling dielectric layer 56 , and a semiconductor channel material layer 60L is sequentially within the memory openings 49 . can be settled with The blocking dielectric layer 52 may include a single layer of dielectric material or a stack of multiple layers of dielectric material. In one embodiment, the blocking dielectric layer may include a dielectric metal oxide layer consisting essentially of a dielectric metal oxide. As used herein, dielectric metal oxide refers to a dielectric material comprising at least one metallic element and at least oxygen. The dielectric metal oxide may consist essentially of at least one metallic element and oxygen, or may consist essentially of at least one metallic element, oxygen, and at least one non-metallic element such as nitrogen. In one embodiment, the blocking dielectric layer 52 may include a dielectric metal oxide having a dielectric constant greater than 7.9, ie, a dielectric constant greater than that of silicon nitride. The thickness of the dielectric metal oxide layer may range from 1 nm to 20 nm, although smaller and larger thicknesses may also be used. The dielectric metal oxide layer may subsequently function as a portion of the dielectric material that blocks stored electrical charges from leaking to the control gate electrodes. In one embodiment, the blocking dielectric layer 52 includes aluminum oxide. Alternatively or additionally, the blocking dielectric layer 52 may include a dielectric semiconductor compound such as silicon oxide, silicon oxynitride, silicon nitride, or combinations thereof.

후속으로, 전하 저장 층(54)이 형성될 수 있다. 일 실시예에서, 전하 저장 층(54)은, 예를 들어 실리콘 질화물일 수 있는 유전체 전하 트래핑 재료를 포함하는 전하 트래핑 재료의 연속적인 층 또는 패턴화된 개별 부분들일 수 있다. 대안으로, 전하 저장 층(54)은, 예를 들어 측방향 리세스들 내에서 희생 재료 층들(142, 242) 내로 형성됨으로써, 다수의 전기적으로 격리된 부분들(예컨대, 플로팅 게이트들)로 패턴화되는 금속성 재료 또는 도핑된 폴리실리콘과 같은 전도성 재료의 패턴화된 개별 부분들 또는 연속적인 층을 포함할 수 있다. 일 실시예에서, 전하 저장 층(54)은 실리콘 질화물 층을 포함한다. 일 실시예에서, 희생 재료 층들(142, 242) 및 절연 층들(132, 232)은 수직으로 일치하는 측벽들을 가질 수 있고, 전하 저장 층(54)은 단일 연속 층으로서 형성될 수 있다. 대안적으로, 희생 재료 층들(142, 242)은 절연 층들(132, 232)의 측벽들에 대해 측방향으로 리세스될 수 있고, 침착 공정과 이방성 에칭 공정의 조합이, 수직으로 이격된 복수의 메모리 재료 부분들로서 전하 저장 층(54)을 형성하기 위해 사용될 수 있다. 전하 저장 층(54)의 두께는 2 nm 내지 20 nm 범위에 있을 수 있지만, 더 작은 두께 및 더 큰 두께도 사용될 수 있다.Subsequently, a charge storage layer 54 may be formed. In one embodiment, charge storage layer 54 may be a continuous layer or patterned discrete portions of charge trapping material including a dielectric charge trapping material, which may be, for example, silicon nitride. Alternatively, the charge storage layer 54 is patterned into multiple electrically isolated portions (eg, floating gates) by being formed into the sacrificial material layers 142 , 242 , for example in lateral recesses. patterned discrete portions or continuous layers of a conductive material such as doped polysilicon or metallic material to be crystallized. In one embodiment, the charge storage layer 54 comprises a silicon nitride layer. In one embodiment, the sacrificial material layers 142 , 242 and the insulating layers 132 , 232 may have vertically matching sidewalls, and the charge storage layer 54 may be formed as a single continuous layer. Alternatively, the sacrificial material layers 142 , 242 may be laterally recessed with respect to the sidewalls of the insulating layers 132 , 232 , wherein a combination of the deposition process and the anisotropic etching process comprises a plurality of vertically spaced It can be used to form the charge storage layer 54 as portions of memory material. The thickness of the charge storage layer 54 may range from 2 nm to 20 nm, although smaller and larger thicknesses may also be used.

터널링 유전체 층(56)은, 적합한 전기 바이어스 조건들 하에서 전하 터널링이 수행될 수 있는 유전체 재료를 포함한다. 전하 터널링은 형성될 모놀리식 3차원 NAND 스트링 메모리 디바이스의 동작 모드에 따라, 고온-캐리어 주입을 통해 또는 파울러-노르드하임 터널링 유도 전하 전달에 의해 수행될 수 있다. 터널링 유전체 층(56)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 유전체 금속 산화물(예컨대, 알루미늄 산화물 및 하프늄 산화물), 유전체 금속 산질화물, 유전체 금속 실리케이트, 이들의 합금, 및/또는 이들의 조합을 포함할 수 있다. 일 실시예에서, 터널링 유전체 층(56)은 제1 실리콘 산화물 층, 실리콘 산질화물 층, 및 제2 실리콘 산화물 층의 스택을 포함할 수 있으며, 이는 흔히 ONO 스택으로서 알려져 있다. 일 실시예에서, 터널링 유전체 층(56)은 실질적으로 탄소가 없는 실리콘 산화물 층 또는 실질적으로 탄소가 없는 실리콘 산질화물 층을 포함할 수 있다. 터널링 유전체 층(56)의 두께는 2 nm 내지 20 nm 범위에 있을 수 있지만, 더 작은 두께 및 더 큰 두께도 사용될 수 있다. 차단 유전체 층(52), 전하 저장 층(54), 및 터널링 유전체 층(56)의 스택은 메모리 비트들을 저장하는 메모리 필름(50)을 구성한다.Tunneling dielectric layer 56 comprises a dielectric material capable of charge tunneling under suitable electrical bias conditions. Charge tunneling can be performed via hot-carrier injection or by Fowler-Nordheim tunneling induced charge transfer, depending on the mode of operation of the monolithic three-dimensional NAND string memory device to be formed. Tunneling dielectric layer 56 is formed of silicon oxide, silicon nitride, silicon oxynitride, dielectric metal oxides (e.g., aluminum oxide and hafnium oxide), dielectric metal oxynitride, dielectric metal silicate, alloys thereof, and/or combinations thereof. may include In one embodiment, tunneling dielectric layer 56 may include a stack of a first silicon oxide layer, a silicon oxynitride layer, and a second silicon oxide layer, commonly known as an ONO stack. In one embodiment, tunneling dielectric layer 56 may include a substantially carbon-free silicon oxide layer or a substantially carbon-free silicon oxynitride layer. The thickness of the tunneling dielectric layer 56 may range from 2 nm to 20 nm, although smaller and larger thicknesses may be used. The stack of blocking dielectric layer 52 , charge storage layer 54 , and tunneling dielectric layer 56 constitutes a memory film 50 that stores memory bits.

반도체 채널 재료 층(60L)은 p-도핑된 반도체 재료, 예컨대 적어도 하나의 원소 반도체 재료, 적어도 하나의 III-V 화합물 반도체 재료, 적어도 하나의 II-VI 화합물 반도체 재료, 적어도 하나의 유기 반도체 재료, 또는 당업계에 알려진 다른 반도체 재료들을 포함한다. 일 실시예에서, 반도체 채널 재료 층(60L)은 균일한 도핑을 가질 수 있다. 일 실시예에서, 반도체 채널 재료 층(60L)은 p-형 도핑을 가지며, 여기서 p-형 도펀트들(예컨대, 붕소 원자들)은 1.0 × 1012/㎤ 내지 1.0 × 1018/㎤, 예를 들어 1.0 × 1014/㎤ 내지 1.0 × 1017/㎤ 범위의 원자 농도로 존재한다. 일 실시예에서, 반도체 채널 재료 층(60L)은 붕소-도핑된 비정질 실리콘 또는 붕소-도핑된 폴리실리콘을 포함하고/포함하거나, 이들로 본질적으로 이루어진다. 다른 실시예에서, 반도체 채널 재료 층(60L)은 n-형 도핑을 가지며, 여기서 n-형 도펀트들(예컨대, 인 원자들 또는 비소 원자들)은 1.0 × 1015/㎤ 내지 1.0 × 1019/㎤, 예를 들어 1.0 × 1016/㎤ 내지 1.0 × 1018/㎤ 범위의 원자 농도로 존재한다. 반도체 채널 재료 층(60L)은 저압 화학 기상 침착(LPCVD)과 같은 등각 침착 방법에 의해 형성될 수 있다. 반도체 채널 재료 층(60L)의 두께는 2 nm 내지 10 nm 범위에 있을 수 있지만, 더 작은 두께 및 더 큰 두께도 사용될 수 있다. 침착된 재료 층들(52, 54, 56, 60L)로 충전되지 않은 각각의 메모리 개구(49)의 체적에서 공동(49')이 형성된다.The semiconductor channel material layer 60L is a p-doped semiconductor material, such as at least one elemental semiconductor material, at least one III-V compound semiconductor material, at least one II-VI compound semiconductor material, at least one organic semiconductor material, or other semiconductor materials known in the art. In one embodiment, the semiconductor channel material layer 60L may have uniform doping. In one embodiment, the semiconductor channel material layer 60L has a p-type doping, wherein the p-type dopants (eg, boron atoms) are from 1.0 × 10 12 /cm 3 to 1.0 × 10 18 /cm 3 , e.g. For example, it is present in atomic concentrations ranging from 1.0 × 10 14 /cm 3 to 1.0 × 10 17 /cm 3 . In one embodiment, the semiconductor channel material layer 60L comprises and/or consists essentially of boron-doped amorphous silicon or boron-doped polysilicon. In another embodiment, the semiconductor channel material layer 60L has an n-type doping, wherein the n-type dopants (eg, phosphorus atoms or arsenic atoms) are 1.0 × 10 15 /cm 3 to 1.0 × 10 19 / It is present in atomic concentrations in cm 3 , for example in the range from 1.0 × 10 16 /cm 3 to 1.0 × 10 18 /cm 3 . The semiconductor channel material layer 60L may be formed by a conformal deposition method such as low pressure chemical vapor deposition (LPCVD). The thickness of the semiconductor channel material layer 60L may range from 2 nm to 10 nm, although smaller and larger thicknesses may be used. A cavity 49' is formed in the volume of each memory opening 49 not filled with the deposited material layers 52, 54, 56, 60L.

도 25c를 참조하면, 각각의 메모리 개구 내의 공동(49')이 반도체 채널 재료 층(60L)에 의해 완전히 충전되지 않는 경우, 각각의 메모리 개구 내의 공동(49')의 임의의 나머지 부분을 충전하기 위해 유전체 코어 층이 공동(49') 내에 침착될 수 있다. 유전체 코어 층은 실리콘 산화물 또는 유기실리케이트 유리와 같은 유전체 재료를 포함한다. 유전체 코어 층은 저압 화학 기상 침착(LPCVD)과 같은 등각 침착 방법에 의해, 또는 스핀 코팅과 같은 자기-평탄화 침착 공정에 의해 침착될 수 있다. 제2 절연 캡 층(270) 위에 놓인 유전체 코어 층의 수평 부분은, 예를 들어 리세스 에칭에 의해 제거될 수 있다. 리세스 에칭은, 유전체 코어 층의 나머지 부분들의 상단 표면들이 제2 절연 캡 층(270)의 상단 표면과 제2 절연 캡 층(270)의 저부 표면 사이의 높이로 리세스될 때까지 계속된다. 유전체 코어 층의 각각의 나머지 부분은 유전체 코어(62)를 구성한다.Referring to FIG. 25C , if the cavities 49' in each memory opening are not completely filled by the semiconductor channel material layer 60L, filling any remaining portions of the cavities 49' in each memory opening is performed. A dielectric core layer may be deposited within the cavity 49'. The dielectric core layer includes a dielectric material such as silicon oxide or organosilicate glass. The dielectric core layer may be deposited by a conformal deposition method such as low pressure chemical vapor deposition (LPCVD), or by a self-planarizing deposition process such as spin coating. A horizontal portion of the dielectric core layer overlying the second insulating cap layer 270 may be removed by, for example, a recess etch. The recess etch continues until the top surfaces of the remaining portions of the dielectric core layer are recessed to a height between the top surface of the second insulating cap layer 270 and the bottom surface of the second insulating cap layer 270 . Each remaining portion of the dielectric core layer constitutes the dielectric core 62 .

도 25d를 참조하면, 도핑된 반도체 재료가 유전체 코어(62) 위에 놓인 공동들 내에 침착될 수 있다. 도핑된 반도체 재료는 반도체 채널 재료 층(60L)의 도핑의 반대 전도성 유형의 도핑을 갖는다. 따라서, 도핑된 반도체 재료는 n-형 도핑을 갖는다. 제2 절연 캡 층(270)의 상단 표면을 포함하는 수평면 위에 놓인 침착된 도핑된 반도체 재료, 반도체 채널 재료 층(60L), 터널링 유전체 층(56), 전하 저장 층(54) 및 차단 유전체 층(52)의 부분들은 화학 기계적 평탄화(CMP) 공정과 같은 평탄화 공정에 의해 제거될 수 있다.Referring to FIG. 25D , doped semiconductor material may be deposited in the cavities overlying the dielectric core 62 . The doped semiconductor material has a doping of a conductivity type opposite to that of the semiconductor channel material layer 60L. Thus, the doped semiconductor material has an n-type doping. Deposited doped semiconductor material, semiconductor channel material layer 60L, tunneling dielectric layer 56, charge storage layer 54 and blocking dielectric layer overlying a horizontal plane comprising the top surface of the second insulating cap layer 270 ( Portions of 52 may be removed by a planarization process, such as a chemical mechanical planarization (CMP) process.

n-도핑된 반도체 재료의 각각의 나머지 부분은 드레인 영역(63)을 구성한다. 드레인 영역들(63) 내의 도펀트 농도는 5.0 × 1019/㎤ 내지 2.0 × 1021/㎤의 범위일 수 있지만, 더 낮거나 더 높은 도펀트 농도도 또한 사용될 수 있다. 도핑된 반도체 재료는, 예를 들어 도핑된 폴리실리콘일 수 있다.Each remaining portion of the n-doped semiconductor material constitutes the drain region 63 . The dopant concentration in drain regions 63 may range from 5.0 x 10 19 /cm 3 to 2.0 x 10 21 /cm 3 , although lower or higher dopant concentrations may also be used. The doped semiconductor material may be, for example, doped polysilicon.

반도체 채널 재료 층(60L)의 각각의 나머지 부분은 수직 반도체 채널(60)을 구성하는데, 이를 통해, 수직 반도체 채널(60)을 포함하는 수직 NAND 디바이스가 턴 온될 때 전류가 흐를 수 있다. 터널링 유전체 층(56)은 전하 저장 층(54)에 의해 둘러싸이고, 수직 반도체 채널(60)을 측방향으로 둘러싼다. 차단 유전체 층(52), 전하 저장 층(54), 및 터널링 유전체 층(56)의 각각의 인접한 세트는 메모리 필름(50)을 집합적으로 구성하며, 이는 거시적 유지 시간으로 전기 전하를 저장할 수 있다. 일부 실시예들에서, 차단 유전체 층(52)이 이 단계에서 메모리 필름(50) 내에 존재하지 않을 수 있고, 차단 유전체 층이 배면 리세스들의 형성 이후에 후속으로 형성될 수 있다. 본 명세서에서 사용되는 바와 같이, 거시적 유지 시간은 24시간을 초과하는 유지 시간과 같은, 영구 메모리 디바이스로서의 메모리 디바이스의 동작에 적합한 유지 시간을 지칭한다.Each remaining portion of the semiconductor channel material layer 60L constitutes a vertical semiconductor channel 60 through which current may flow when a vertical NAND device comprising the vertical semiconductor channel 60 is turned on. Tunneling dielectric layer 56 is surrounded by charge storage layer 54 and laterally surrounds vertical semiconductor channel 60 . Each adjacent set of blocking dielectric layer 52 , charge storage layer 54 , and tunneling dielectric layer 56 collectively constitutes memory film 50 , which is capable of storing electrical charges with a macroscopic hold time. . In some embodiments, blocking dielectric layer 52 may not be present in memory film 50 at this stage, and blocking dielectric layer may be formed subsequently after formation of the backside recesses. As used herein, macroscopic hold time refers to a hold time suitable for operation of a memory device as a permanent memory device, such as a hold time in excess of 24 hours.

메모리 개구(49) 내의 메모리 필름(50)과 수직 반도체 채널(60)(수직 반도체 채널임)의 각각의 조합은 메모리 스택 구조물(55)을 구성한다. 메모리 스택 구조물(55)은 수직 반도체 채널(60), 터널링 유전체 층(56), 전하 저장 층(54)의 부분들을 포함하는 복수의 메모리 요소들, 및 선택적인 차단 유전체 층(52)의 조합이다. 메모리 개구(49) 내의 메모리 스택 구조물(55), 유전체 코어(62), 및 드레인 영역(63)의 각각의 조합은 메모리 개구 충전 구조물(58)로 구성된다. 반도체 재료 층(910) 및 그에 매립된 도핑된 웰들, 제1-티어 구조물(132, 142, 170, 165), 제2-티어 구조물(232, 242, 270, 265, 72), 인터-티어 유전체 층(180), 및 메모리 개구 충전 구조물들(58)은 집합적으로 메모리 레벨 조립체를 구성한다.Each combination of memory film 50 and vertical semiconductor channel 60 (which is a vertical semiconductor channel) in memory opening 49 constitutes memory stack structure 55 . The memory stack structure 55 is a combination of a vertical semiconductor channel 60 , a tunneling dielectric layer 56 , a plurality of memory elements comprising portions of a charge storage layer 54 , and an optional blocking dielectric layer 52 . . Each combination of memory stack structure 55 , dielectric core 62 , and drain region 63 within memory opening 49 is comprised of a memory opening filling structure 58 . semiconductor material layer 910 and doped wells buried therein, first-tier structures 132, 142, 170, 165, second-tier structures 232, 242, 270, 265, 72, inter-tier dielectric Layer 180, and memory aperture filling structures 58 collectively constitute a memory level assembly.

도 26을 참조하면, 제2 예시적인 구조는 메모리 개구 충전 구조물(58)의 형성 후에 예시된다. 지지 기둥 구조물들(20)은 메모리 개구 충전 구조물들(58)의 형성과 동시에 지지 개구들(19) 내에 형성된다. 각각의 지지 기둥 구조물(20)은 메모리 개구 충전 구조물(58)과 동일한 세트의 컴포넌트들을 가질 수 있다. 일반적으로, 메모리 스택 구조물들(55)의 복수의 세트들이 제1 연속적인 절연 층들(132) 및 제1 연속적인 희생 재료 층들(142)의 수직으로 교번하는 시퀀스를 통해 그리고 제2 연속적인 절연 층들(232) 및 제2 연속적인 희생 재료 층들(242)의 수직으로 교번하는 시퀀스를 통해 형성될 수 있다. 제1 연속적인 절연 층들(132) 및 제2 연속적인 절연 층들(232)은 연속적인 절연 층들(132, 232)의 세트 및 연속적인 희생 재료 층들(142, 242)의 세트로서 간주될 수 있다. 따라서, 메모리 스택 구조물들(55)의 각각의 세트는 연속적인 절연 층들(132, 232) 및 연속적인 희생 재료 층들(142, 242)의 수직으로 교번하는 시퀀스를 통해 수직으로 연장될 수 있다. 메모리 스택 구조물들(55)의 각각의 세트는 제2 수평 방향(hd2)을 따라 측방향으로 이격되는 수직으로 교번하는 시퀀스의 각각의 영역을 통해 수직으로 연장된다. 메모리 스택 구조물들(55) 각각은 각자의 수직 반도체 채널(60) 및 각자의 메모리 필름(60)을 포함한다.Referring to FIG. 26 , a second exemplary structure is illustrated after formation of the memory aperture filling structure 58 . The support pillar structures 20 are formed in the support openings 19 concurrently with the formation of the memory opening filling structures 58 . Each support pillar structure 20 may have the same set of components as the memory aperture filling structure 58 . Generally, the plurality of sets of memory stack structures 55 are formed through a vertically alternating sequence of first successive insulating layers 132 and first successive sacrificial material layers 142 and second successive insulating layers. 232 and second successive layers of sacrificial material 242 may be formed through a vertically alternating sequence. The first successive insulating layers 132 and the second successive insulating layers 232 may be considered a set of successive insulating layers 132 , 232 and a set of successive sacrificial material layers 142 , 242 . Thus, each set of memory stack structures 55 may extend vertically through a vertically alternating sequence of successive insulating layers 132 , 232 and successive sacrificial material layers 142 , 242 . Each set of memory stack structures 55 extends vertically through respective regions in a vertically alternating sequence spaced laterally along a second horizontal direction hd2 . Each of the memory stack structures 55 includes a respective vertical semiconductor channel 60 and a respective memory film 60 .

도 27a 내지 도 27c를 참조하면, 제1 접촉 레벨 유전체 층(280)이 제2-티어 구조물(232, 242, 270, 265, 72) 위에 형성될 수 있다. 제1 접촉 레벨 유전체 층(280)은 실리콘 산화물과 같은 유전체 재료를 포함하고, 등각 또는 비-등각 침착 공정에 의해 형성될 수 있다. 예를 들어, 제1 접촉 레벨 유전체 층(280)은 비도핑 실리케이트 유리를 포함할 수 있고, 100 nm 내지 600 nm 범위의 두께를 가질 수 있지만, 더 작은 그리고 더 큰 두께가 또한 사용될 수 있다.27A-27C , a first contact level dielectric layer 280 may be formed over the second-tier structures 232 , 242 , 270 , 265 , and 72 . The first contact level dielectric layer 280 includes a dielectric material such as silicon oxide and may be formed by a conformal or non-conformal deposition process. For example, the first contact level dielectric layer 280 may include undoped silicate glass and may have a thickness in the range of 100 nm to 600 nm, although smaller and larger thicknesses may also be used.

포토레지스트 층(도시되지 않음)이 제1 접촉 레벨 유전체 층(280) 위에 적용될 수 있고, 메모리 개구 충전 구조물들(58)의 클러스터들 사이에서 제1 수평 방향(hd1)을 따라 연장되는 세장형 개구들을 형성하도록 리소그래피 방식으로 패턴화될 수 있다. 배면 트렌치들(79)은 포토레지스트 층 내의 패턴을 제1 접촉 레벨 유전체 층(280), 제2-티어 구조물(232, 242, 270, 265, 72), 및 제1-티어 구조물(132, 142, 170, 165)을 통해 기판(908)의 상단 표면으로 전사함으로써 형성될 수 있다. 본 명세서에 사용되는 바와 같이, "배면 트렌치"는 연속적인 절연 층들(132, 232)의 수직으로 교번하는 시퀀스 및 연속적인 희생 재료 층들(142, 242)을 측방향으로 분할하는 트렌치를 지칭한다. 따라서, 포토레지스트 층 내의 개구들 아래에 놓인 제1 접촉 레벨 유전체 층(280), 제2-티어 구조물(232, 242, 270, 265, 72), 제1-티어 구조물(132, 142, 170, 165) 및 기판(908)의 부분들이 제거되어 배면 트렌치들(79)을 형성할 수 있다. 배면 트렌치들(79) 각각은 전체적으로 메모리 어레이 영역(100) 및 인접한 계단 영역들(200) 내에 형성될 수 있다.A photoresist layer (not shown) may be applied over the first contact level dielectric layer 280 , and an elongate opening extending along a first horizontal direction hd1 between clusters of memory opening filling structures 58 . can be lithographically patterned to form The backside trenches 79 form a pattern in the photoresist layer with the first contact level dielectric layer 280 , the second tier structures 232 , 242 , 270 , 265 , 72 , and the first tier structures 132 , 142 . , 170 , 165 to the top surface of the substrate 908 . As used herein, “back trench” refers to a trench that laterally divides a vertically alternating sequence of successive insulating layers 132 , 232 and successive sacrificial material layers 142 , 242 . Accordingly, the first contact level dielectric layer 280 underlying the openings in the photoresist layer, the second tier structures 232 , 242 , 270 , 265 , 72 , the first tier structures 132 , 142 , 170 , Portions of 165 and substrate 908 may be removed to form backside trenches 79 . Each of the back trenches 79 may be formed entirely in the memory array region 100 and adjacent step regions 200 .

일 실시예에서, 배면 트렌치들(79)은 메모리 스택 구조물들(55)의 클러스터들 사이에 형성될 수 있다. 본 개시내용의 일 실시예에 따르면, 배면 트렌치들(79)은 제1 수평 방향(hd1)을 따라 측방향으로 연장될 수 있고, 수직으로 교번하는 시퀀스를 절연 층들(132, 232) 및 희생 재료 층들(142, 242)의 복수의 교번하는 스택들로 분할할 수 있다. 절연 층들(132, 232) 및 희생 재료 층들(142, 242)의 각각의 교번하는 스택은 제1 절연 층들(132) 및 제1 희생 재료 층들(142)의 제1-티어의 교번하는 스택, 및 제2 절연 층들(232) 및 제2 희생 재료 층들(242)의 제2-티어의 교번하는 스택을 포함할 수 있다. 메모리 스택 구조물들(55)의 클러스터들은 배면 트렌치들(79)에 의해 제2 수평 방향(hd2)을 따라 측방향으로 이격될 수 있다.In one embodiment, backside trenches 79 may be formed between clusters of memory stack structures 55 . According to an embodiment of the present disclosure, the backside trenches 79 may extend laterally along a first horizontal direction hd1 , in a vertically alternating sequence with the insulating layers 132 , 232 and the sacrificial material. It may be partitioned into a plurality of alternating stacks of layers 142 , 242 . Each alternating stack of insulating layers 132 , 232 and sacrificial material layers 142 , 242 is an alternating stack of first insulating layers 132 and a first-tier of first sacrificial material layers 142 , and a second two-tier alternating stack of second insulating layers 232 and second sacrificial material layers 242 . The clusters of the memory stack structures 55 may be laterally spaced apart along the second horizontal direction hd2 by the rear trenches 79 .

일 실시예에서, 복수의 교번하는 스택들{(132, 142), (232, 2342)} 중 각각의 교번하는 스택{(132, 142), (232, 2342)}은 스페이서 재료 층들(132, 232)이 기판(908)으로부터 수직 거리의 증가와 함께 감소하는 측방향 범위들을 갖는 각자의 계단 영역(200)을 포함한다. 일 실시예에서, 복수의 배면 트렌치들(79) 각각은 적어도 하나의 교번하는 스택{(132, 142), (232, 2342)}의 측벽들에 의해 측방향으로 경계지어질 수 있다. 분할기 트렌치들(79)의 제1 서브세트가 한 쌍의 교번하는 스택들{(132, 142), (232, 2342)} 사이에서 제1 수평 방향(hd1)을 따라 측방향으로 연장될 수 있다.In one embodiment, each alternating stack {(132, 142), (232, 2342)} of the plurality of alternating stacks {(132, 142), (232, 2342)} comprises layers of spacer material 132, 232 includes a respective step region 200 with lateral extents that decrease with increasing vertical distance from substrate 908 . In one embodiment, each of the plurality of backside trenches 79 may be laterally bounded by sidewalls of at least one alternating stack {(132, 142), (232, 2342)}. A first subset of divider trenches 79 may extend laterally along a first horizontal direction hd1 between a pair of alternating stacks {(132, 142), (232, 2342)}. .

도 28을 참조하면, 희생 재료 층들(142, 242)은 절연 층들(132, 232), 제1 및 제2 절연 캡 층들(170, 270), 제1 접촉 레벨 유전체 층(280), 및 기판(908)에 대해 선택적으로 제거될 수 있다. 예를 들어, 절연 층들(132, 232)의 재료들, 제1 및 제2 절연 캡 층들(170, 270), 계단형 유전체 재료 부분들(165, 265), 및 메모리 필름들(50)의 최외측 층의 재료에 대해 희생 재료 층들(142, 242)의 재료들을 선택적으로 에칭하는 에천트가 예를 들어, 등방성 에칭 공정을 사용하여, 배면 트렌치들(79) 내로 도입될 수 있다. 예를 들어, 희생 재료 층들(142, 242)은 실리콘 질화물을 포함할 수 있고, 절연 층들(132, 232)의 재료들, 제1 및 제2 절연 캡 층들(170, 270), 계단형 유전체 재료 부분들(165, 265) 및 메모리 필름들(50)의 최외측 층은 실리콘 산화물 재료들을 포함할 수 있다.Referring to FIG. 28 , the sacrificial material layers 142 , 242 include insulating layers 132 , 232 , first and second insulating cap layers 170 , 270 , a first contact level dielectric layer 280 , and a substrate ( 908) can be selectively removed. For example, the materials of the insulating layers 132 , 232 , the first and second insulating cap layers 170 , 270 , the stepped dielectric material portions 165 , 265 , and the outermost of the memory films 50 . An etchant that selectively etches the materials of the sacrificial material layers 142 , 242 with respect to the material of the outer layer may be introduced into the backside trenches 79 using, for example, an isotropic etch process. For example, the sacrificial material layers 142 , 242 may include silicon nitride, the materials of the insulating layers 132 , 232 , the first and second insulating cap layers 170 , 270 , a stepped dielectric material. The portions 165 , 265 and the outermost layer of the memory films 50 may include silicon oxide materials.

등방성 에칭 공정은 습식 에치 용액을 사용하는 습식 에칭 공정일 수 있거나, 또는 에천트가 증기 상으로 배면 트렌치(79) 내로 도입되는 기상(건식) 에칭 공정일 수 있다. 예를 들어, 희생 재료 층들(142, 242)이 실리콘 질화물을 포함하는 경우, 에칭 공정은, 제2 예시적인 구조물이 인산을 포함하는 습식 에칭 탱크 내에 침지되는 습식 에칭 공정일 수 있으며, 이는 실리콘 산화물, 실리콘, 및 본 기술 분야에서 사용된 다양한 다른 재료들에 대해 선택적으로 실리콘 질화물을 에칭한다.The isotropic etch process may be a wet etch process using a wet etch solution, or it may be a vapor phase (dry) etch process in which the etchant is introduced into the backside trench 79 in the vapor phase. For example, where the sacrificial material layers 142 , 242 include silicon nitride, the etching process may be a wet etching process in which the second exemplary structure is immersed in a wet etching tank comprising phosphoric acid, which is silicon oxide. , silicon, and silicon nitride selectively to various other materials used in the art.

희생 재료 층들(142, 242)이 제거된 체적들 내에 배면 리세스들(143, 243)이 형성된다. 배면 리세스들(143, 243)은, 제1 희생 재료 층들(142)이 제거된 체적들에 형성되는 제1 배면 리세스들(143) 및 제2 희생 재료 층들(242)이 제거된 체적들에 형성되는 제2 배면 리세스들(243)을 포함한다. 배면 리세스들(143, 243) 각각은 측방향으로 연장되는 공동일 수 있으며, 이는 공동의 수직 범위보다 큰 측방향 치수를 갖는다. 다시 말하면, 배면 리세스들(143, 243) 각각의 측방향 치수는 각자의 배면 리세스(143, 243)의 높이보다 더 클 수 있다. 희생 재료 층들(142, 242)의 재료가 제거된 체적들에서 복수의 배면 리세스들(143, 243)이 형성될 수 있다. 배면 리세스들(143, 243) 각각은 반도체 기판 층(909)의 상단 표면에 실질적으로 평행하게 연장될 수 있다. 배면 리세스(143, 243)는 아래에 놓인 절연 층(132, 232)의 상단 표면 및 위에 놓인 절연 층(132, 232)의 저부 표면에 의해 수직으로 경계지어질 수 있다. 일 실시예에서, 배면 리세스들(143, 243) 각각은 전체에 걸쳐서 균일한 높이를 가질 수 있다.Back recesses 143 , 243 are formed in the volumes from which the sacrificial material layers 142 , 242 have been removed. The back recesses 143 and 243 are the first back recesses 143 formed in the volumes from which the first sacrificial material layers 142 have been removed and the volumes from which the second sacrificial material layers 242 have been removed. and second rear recesses 243 formed in the . Each of the back recesses 143 , 243 may be a laterally extending cavity, which has a lateral dimension greater than the vertical extent of the cavity. In other words, the lateral dimension of each of the back recesses 143 , 243 may be greater than the height of the respective back recess 143 , 243 . A plurality of back recesses 143 , 243 may be formed in the volumes from which the material of the sacrificial material layers 142 , 242 has been removed. Each of the backside recesses 143 , 243 may extend substantially parallel to a top surface of the semiconductor substrate layer 909 . The back recesses 143 , 243 may be vertically bounded by the top surface of the underlying insulating layer 132 , 232 and the bottom surface of the overlying insulating layer 132 , 232 . In one embodiment, each of the back recesses 143 and 243 may have a uniform height throughout.

도 29a 및 도 29b를 참조하면, 산화 공정은 받침대 채널 부분(11)의 물리적으로 노출된 부분들을 산화시키기 위해 수행될 수 있다. 관형 절연 스페이서들(명확하게 도시되지 않음)은 각각의 받침대 채널 부분(11) 주위에 형성될 수 있다. 배면 차단 유전체 층(도시되지 않음)이 선택적으로 배면 리세스들(143, 243) 및 배면 트렌치들(79) 내에 그리고 제1 접촉 레벨 유전체 층(280) 위에 침착될 수 있다. 배면 차단 유전체 층은 유전체 재료, 예컨대 유전체 금속 산화물, 실리콘 산화물, 또는 이들의 조합을 포함한다. 예를 들어, 배면 차단 유전체 층은 알루미늄 산화물을 포함할 수 있다. 배면 차단 유전체 층은 화학 기상 침착 또는 원자층 침착과 같은 등각 침착 공정에 의해 형성될 수 있다. 배면 차단 유전체 층의 두께는 1 nm 내지 20 nm, 예컨대 2 nm 내지 10 nm의 범위일 수 있지만, 더 작은 그리고 더 큰 두께가 또한 사용될 수 있다.29A and 29B , an oxidation process may be performed to oxidize physically exposed portions of the pedestal channel portion 11 . Tubular insulating spacers (not explicitly shown) may be formed around each pedestal channel portion 11 . A back blocking dielectric layer (not shown) may optionally be deposited in the back recesses 143 , 243 and back trenches 79 and over the first contact level dielectric layer 280 . The back blocking dielectric layer includes a dielectric material, such as a dielectric metal oxide, silicon oxide, or a combination thereof. For example, the back blocking dielectric layer may include aluminum oxide. The back blocking dielectric layer may be formed by a conformal deposition process such as chemical vapor deposition or atomic layer deposition. The thickness of the back blocking dielectric layer may range from 1 nm to 20 nm, such as 2 nm to 10 nm, although smaller and larger thicknesses may also be used.

적어도 하나의 전도성 재료가 복수의 배면 리세스들(243, 243) 내에, 배면 트렌치(79)의 측벽들 상에, 그리고 제1 접촉 레벨 유전체 층(280) 위에 침착될 수 있다. 적어도 하나의 전도성 재료는, 예를 들어 화학 기상 침착(CVD), 원자층 침착(ALD), 무전해 도금, 전기도금, 또는 이들의 조합일 수 있는 등각 침착 방법에 의해 침착될 수 있다. 적어도 하나의 전도성 재료는 원소 금속, 적어도 2개의 원소 금속들의 금속간 합금, 적어도 하나의 원소 금속의 전도성 질화물, 전도성 금속 산화물, 전도성 도핑된 반도체 재료, 전도성 금속-반도체 합금, 예컨대 금속 실리사이드, 이들의 합금, 및 이들의 조합들 또는 이들의 스택들을 포함할 수 있다.At least one conductive material may be deposited in the plurality of backside recesses 243 , 243 , on the sidewalls of the backside trench 79 , and over the first contact level dielectric layer 280 . The at least one conductive material may be deposited by a conformal deposition method, which may be, for example, chemical vapor deposition (CVD), atomic layer deposition (ALD), electroless plating, electroplating, or combinations thereof. The at least one conductive material is an elemental metal, an intermetallic alloy of at least two elemental metals, a conductive nitride of at least one elemental metal, a conductive metal oxide, a conductive doped semiconductor material, a conductive metal-semiconductor alloy such as a metal silicide, alloys, and combinations thereof or stacks thereof.

일 실시예에서, 적어도 하나의 전도성 재료는 적어도 하나의 금속 재료, 즉 적어도 하나의 금속성 요소를 포함하는 전기 전도성 재료를 포함할 수 있다. 배면 리세스들(143, 243) 내에 침착될 수 있는 비제한적인 예시적인 금속성 재료들은 텅스텐, 텅스텐 질화물, 티타늄, 티타늄 질화물, 탄탈륨, 탄탈륨 질화물, 코발트, 및 루테늄을 포함한다. 예를 들어, 적어도 하나의 전도성 재료는, TiN, TaN, WN, 또는 이들의 조합과 같은 전도성 금속성 질화물 재료, 및 W, Co, Ru, Mo, Cu, 또는 이들의 조합들과 같은 전도성 충전 재료를 포함하는 전도성 금속성 질화물 라이너를 포함할 수 있다. 일 실시예에서, 배면 리세스들(143, 243)을 충전하기 위한 적어도 하나의 전도성 재료는 티타늄 질화물 층과 텅스텐 충전 재료의 조합일 수 있다.In an embodiment, the at least one conductive material may comprise at least one metallic material, ie an electrically conductive material comprising at least one metallic element. Non-limiting exemplary metallic materials that may be deposited in the back recesses 143 , 243 include tungsten, tungsten nitride, titanium, titanium nitride, tantalum, tantalum nitride, cobalt, and ruthenium. For example, the at least one conductive material may include a conductive metallic nitride material such as TiN, TaN, WN, or combinations thereof, and a conductive filler material such as W, Co, Ru, Mo, Cu, or combinations thereof. and a conductive metallic nitride liner comprising In one embodiment, the at least one conductive material for filling the back recesses 143 , 243 may be a combination of a titanium nitride layer and a tungsten filling material.

전기 전도성 층들(146, 246)은 적어도 하나의 전도성 재료의 침착에 의해 배면 리세스들(143, 243) 내에 형성될 수 있다. 복수의 제1 전기 전도성 층들(146)이 복수의 제1 배면 리세스들(143) 내에 형성될 수 있고, 복수의 제2 전기 전도성 층들(246)이 복수의 제2 배면 리세스들(243) 내에 형성될 수 있고, 연속적인 금속성 재료 층(도시되지 않음)이 각각의 배면 트렌치(79)의 측벽들 상에 그리고 제1 접촉 레벨 유전체 층(280) 위에 형성될 수 있다. 제1 전기 전도성 층들(146) 및 제2 전기 전도성 층들(246) 각각은 각자의 전도성 금속성 질화물 라이너 및 각자의 전도성 충전 재료를 포함할 수 있다. 따라서, 제1 및 제2 희생 재료 층들(142, 242)은 각각 제1 및 제2 전기 전도성 층들(146, 246)로 대체될 수 있다. 구체적으로, 각각의 제1 희생 재료 층(142)은 배면 차단 유전체 층의 선택적인 부분 및 제1 전기 전도성 층(146)으로 대체될 수 있고, 각각의 제2 희생 재료 층(242)은 배면 차단 유전체 층의 선택적인 부분 및 제2 전기 전도성 층(246)으로 대체될 수 있다. 배면 공동이, 연속적인 금속성 재료 층으로 충전되지 않은 각각의 배면 트렌치(79)의 부분 내에 존재한다.The electrically conductive layers 146 , 246 may be formed in the back recesses 143 , 243 by deposition of at least one conductive material. A plurality of first electrically conductive layers 146 may be formed in the plurality of first back recesses 143 , and a plurality of second electrically conductive layers 246 may be formed in the plurality of second back recesses 243 . A continuous layer of metallic material (not shown) may be formed on the sidewalls of each backside trench 79 and over the first contact level dielectric layer 280 . Each of the first electrically conductive layers 146 and the second electrically conductive layers 246 may include a respective conductive metallic nitride liner and a respective conductive filler material. Accordingly, the first and second sacrificial material layers 142 and 242 may be replaced with first and second electrically conductive layers 146 and 246 , respectively. Specifically, each first sacrificial material layer 142 may be replaced with an optional portion of a backside blocking dielectric layer and a first electrically conductive layer 146 , each second sacrificial material layer 242 being a backside blocking An optional portion of the dielectric layer and a second electrically conductive layer 246 may be replaced. A backside cavity exists within the portion of each backside trench 79 that is not filled with a continuous layer of metallic material.

잔류 전도성 재료는 배면 트렌치들(79) 내측으로부터 제거될 수 있다. 구체적으로, 연속적인 금속 재료 층의 침착된 금속성 재료는 각각의 배면 트렌치(79)의 측벽들로부터 그리고 제1 접촉 레벨 유전체 층(280) 위로부터, 예를 들어 이방성 또는 등방성 에칭에 의해 에치 백될 수 있다. 제1 배면 리세스들 내의 침착된 금속성 재료의 각각의 나머지 부분은 제1 전기 전도성 층(146)을 구성한다. 제2 배면 리세스들 내의 침착된 금속성 재료의 각각의 나머지 부분은 제2 전기 전도성 층(246)을 구성한다. 제1 전기 전도성 재료 층들(146) 및 제2 전기 전도성 층들의 측벽들은 각자의 배면 트렌치(79)에 물리적으로 노출될 수 있다. 배면 트렌치들은 제1 수평 방향(hd1)을 따른 비주기적인 폭 변동 및 수직 방향을 따른 비선형 폭 변동을 갖는 한 쌍의 만곡 측벽들을 가질 수 있다.Residual conductive material may be removed from inside the backside trenches 79 . Specifically, the deposited metallic material of the continuous metallic material layer may be etched back from the sidewalls of each backside trench 79 and from above the first contact level dielectric layer 280, for example by anisotropic or isotropic etching. have. Each remaining portion of the deposited metallic material in the first back recesses constitutes a first electrically conductive layer 146 . Each remaining portion of the deposited metallic material in the second back recesses constitutes a second electrically conductive layer 246 . The sidewalls of the first electrically conductive material layers 146 and the second electrically conductive layers may be physically exposed in their respective backside trenches 79 . The rear trenches may have a pair of curved sidewalls having a non-periodic width variation along the first horizontal direction hd1 and a non-linear width variation along the vertical direction.

각각의 전기 전도성 층(146, 246)은 개구들을 포함하는 전도성 시트일 수 있다. 각각의 전기 전도성 층(146, 246)을 통한 개구들의 제1 서브세트가 메모리 개구 충전 구조물들(58)로 충전될 수 있다. 각각의 전기 전도성 층(146, 246)을 통한 개구들의 제2 서브세트가 지지 기둥 구조물들(20)로 충전될 수 있다. 각각의 전기 전도성 층(146, 246)은 제1 및 제2 계단형 표면들 때문에 임의의 하부 전기 전도성 층(146, 246)보다 작은 면적을 가질 수 있다. 각각의 전기 전도성 층(146, 246)은 제1 및 제2 계단형 표면들 때문에 임의의 위에 놓인 전기 전도성 층(146, 246)보다 큰 면적을 가질 수 있다.Each electrically conductive layer 146 , 246 may be a conductive sheet including openings. A first subset of the openings through each electrically conductive layer 146 , 246 may be filled with memory opening filling structures 58 . A second subset of the openings through each electrically conductive layer 146 , 246 may be filled with support column structures 20 . Each electrically conductive layer 146 , 246 may have a smaller area than any underlying electrically conductive layer 146 , 246 because of the first and second stepped surfaces. Each electrically conductive layer 146 , 246 may have a larger area than any overlying electrically conductive layer 146 , 246 because of the first and second stepped surfaces.

일부 실시예에서, 드레인 선택 레벨 격리 구조물들(72)은 제2 전기 전도성 층들(246)의 최상부 레벨들에 제공될 수 있다. 드레인 선택 레벨 격리 구조물들(72)의 레벨들에 위치된 제2 전기 전도성 층들(246)의 서브세트는 드레인 선택 게이트 전극들을 구성한다. 드레인 선택 게이트 전극들 아래에 위치된 전기 전도성 층(146, 246)의 서브세트는 동일한 레벨에 위치된 워드 라인과 제어 게이트의 조합들로서 기능할 수 있다. 각각의 전기 전도성 층(146, 246) 내의 제어 게이트 전극들은 메모리 스택 구조물(55)을 포함하는 수직 메모리 디바이스를 위한 제어 게이트 전극들이다.In some embodiments, drain select level isolation structures 72 may be provided at the top levels of the second electrically conductive layers 246 . A subset of the second electrically conductive layers 246 located at the levels of the drain select level isolation structures 72 constitute the drain select gate electrodes. The subset of electrically conductive layers 146 and 246 located below the drain select gate electrodes may function as combinations of a control gate and a word line located at the same level. The control gate electrodes in each electrically conductive layer 146 , 246 are control gate electrodes for a vertical memory device that includes a memory stack structure 55 .

메모리 스택 구조물들(55) 각각은 전기 전도성 층들(146, 246)의 각각의 레벨에 위치된 메모리 요소들의 수직 스택을 포함한다. 전기 전도성 층들(146, 246)의 서브세트는 메모리 요소들을 위한 워드 라인들을 포함할 수 있다. 메모리 레벨 조립체는 반도체 기판 층(909) 위에 위치된다. 메모리 레벨 조립체는 적어도 하나의 교번하는 스택(132, 146, 232, 246) 및 적어도 하나의 교번하는 스택(132, 146, 232, 246)을 통해 수직으로 연장되는 메모리 스택 구조물들(55)을 포함한다.Each of the memory stack structures 55 includes a vertical stack of memory elements located at a respective level of electrically conductive layers 146 , 246 . The subset of electrically conductive layers 146 and 246 may include word lines for memory elements. The memory level assembly is positioned over the semiconductor substrate layer 909 . The memory level assembly includes at least one alternating stack 132 , 146 , 232 , 246 and memory stack structures 55 extending vertically through the at least one alternating stack 132 , 146 , 232 , 246 . do.

일반적으로, 복수의 교번하는 스택들{(132, 142), (232, 242)} 내의 희생 재료 층들(142, 242)은 희생 재료 층들(142, 242)을 에칭하는 에천트에 대한 그리고Generally, the sacrificial material layers 142 , 242 in the plurality of alternating stacks { ( 132 , 142 , ( 232 , 242 ) ) are for an etchant that etches the sacrificial material layers 142 , 242 and

전기 전도성 층들(146, 246)의 적어도 하나의 전도성 재료를 침착하는 반응물에 대한 도관으로서 배면 트렌치들(79)을 채용하는 전기 전도성 층들(1'46, 246)로 대체될 수 있다. 절연 층들(132, 232) 및 전기 전도성 층들(146, 246)의 복수의 교번하는 스택들이 기판(908) 상에 위치될 수 있고, 제1 수평 방향(hd1)을 따라 측방향으로 연장되는 복수의 배면 트렌치들(79)에 의해 측방향으로 이격될 수 있다.may be replaced by electrically conductive layers 1'46, 246 employing backside trenches 79 as conduits for a reactant depositing at least one conductive material of electrically conductive layers 146,246. A plurality of alternating stacks of insulating layers 132 , 232 and electrically conductive layers 146 , 246 may be positioned on substrate 908 , and a plurality of laterally extending along a first horizontal direction hd1 . They may be laterally spaced apart by backside trenches 79 .

도 30a 내지 도 30d를 참조하면, 등각 유전체 재료 층(예컨대, 실리콘 산화물 층)이 배면 트렌치들(79)의 주변 부분들에 침착될 수 있고, 이방성 에칭 공정이 등각 유전체 재료 층의 수평 부분들을 제거하기 위해 수행될 수 있다. 배면 트렌치들(79) 내의 등각 유전체 재료 층의 각각의 나머지 수직 부분은 배면 트렌치 유전체 스페이서(74)를 구성한다.30A-30D , a conformal dielectric material layer (eg, a silicon oxide layer) may be deposited in peripheral portions of the backside trenches 79 , and an anisotropic etch process removes horizontal portions of the conformal dielectric material layer can be performed to Each remaining vertical portion of the conformal dielectric material layer in the back trenches 79 constitutes a back trench dielectric spacer 74 .

전기 도펀트들은 소스 영역들(61)을 형성하기 위해 제2 도핑 웰들(10)의 물리적으로 노출된 부분들 내로 주입될 수 있다. 일 실시예에서, 제2 도핑 웰들(10) 및 수직 반도체 채널들(60)은 제1 전도성 유형의 도핑을 가질 수 있고, 소스 영역들(61)은 제1 전도성 유형과 반대인 제2 전도성 유형의 도핑을 가질 수 있다. 예를 들어, 제1 전도성 유형은 p-형일 수 있고, 제2 전도성 유형은 n-형일 수 있거나, 그 반대로도 가능하다. 소스 영역들(61)이 형성되는 경우에, 소스 영역들(61)은 5.0 × 1019/㎤ 내지 2.0 × 1021/㎤ 범위의 제2 전도성 유형의 전기 도펀트들의 원자 농도를 가질 수 있다.Electrical dopants may be implanted into physically exposed portions of second doped wells 10 to form source regions 61 . In one embodiment, the second doped wells 10 and the vertical semiconductor channels 60 may have doping of a first conductivity type, and the source regions 61 are of a second conductivity type opposite to the first conductivity type. may have doping of For example, the first conductivity type may be p-type, the second conductivity type may be n-type, or vice versa. When the source regions 61 are formed, the source regions 61 may have an atomic concentration of electrical dopants of the second conductivity type in the range of 5.0×10 19 /cm 3 to 2.0×10 21 /cm 3 .

적어도 하나의 전도성 충전 재료가 배면 트렌치들(79)의 나머지 체적들에 침착될 수 있다. 예를 들어, 적어도 하나의 전도성 충전 재료는 도핑된 폴리실리콘, 전도성 금속성 질화물, 및/또는 금속 충전 재료(예컨대, 텅스텐)를 포함할 수 있다. 적어도 하나의 전도성 충전 재료의 잉여 부분들은 제1 접촉 레벨 유전체 층(280)의 상단 표면을 포함하는 수평 평면 위로부터 제거될 수 있다. 배면 트렌치들(79) 내의 적어도 하나의 전도성 충전 재료의 각각의 나머지 부분은 소스 접촉 비아 구조물(76)을 구성할 수 있다. 소스 접촉 비아 구조물들(76) 각각은 전도성 충전 재료 부분이다. 배면 트렌치(79)를 충전하는 모든 재료 부분들의 세트는 배면 트렌치 충전 구조물(74, 76)을 구성한다. 일 실시예에서, 배면 트렌치 충전 구조물(74, 76)은 배면 트렌치 유전체 스페이서(74) 및 소스 접촉 비아 구조물(76)을 포함할 수 있다.At least one conductive fill material may be deposited in the remaining volumes of the backside trenches 79 . For example, the at least one conductive filling material may include doped polysilicon, conductive metallic nitride, and/or a metal filling material (eg, tungsten). Excess portions of the at least one conductive fill material may be removed from above a horizontal plane comprising a top surface of the first contact level dielectric layer 280 . Each remaining portion of the at least one conductive fill material in the backside trenches 79 may constitute a source contact via structure 76 . Each of the source contact via structures 76 is a portion of a conductive fill material. The set of all material portions that fill the back trench 79 constitute the back trench fill structures 74 , 76 . In one embodiment, the back trench fill structures 74 , 76 may include a back trench dielectric spacer 74 and a source contact via structure 76 .

복수의 교번하는 스택들{(132, 146), (232, 246)} 및 복수의 배면 트렌치 충전 구조물들(74, 76)이 제2 수평 방향(hd2)을 따라 교번하여 인터레이싱된다. 일 실시예에서, 복수의 배면 트렌치 충전 구조물들(74, 76) 각각은 제1 수평 방향(hd1)을 따라 측방향으로 연장되는 복수의 교번하는 스택들{(132, 146), (232, 246)} 중 적어도 하나의 교번하는 스택{(132, 146), (232, 246)}의 측벽들과 접촉한다.A plurality of alternating stacks {(132, 146), (232, 246)} and a plurality of back trench fill structures 74, 76 are alternately interlaced along the second horizontal direction hd2. In one embodiment, each of the plurality of back trench fill structures 74 , 76 comprises a plurality of alternating stacks { (132, 146), (232, 246) extending laterally along a first horizontal direction (hd1). )} in contact with the sidewalls of the alternating stack {(132, 146), (232, 246)}.

도 31을 참조하면, 제2 접촉 레벨 유전체 층(282)이 제1 접촉 레벨 유전체 층(280) 위에 선택적으로 형성될 수 있다. 제2 접촉 레벨 유전체 층(282)은 실리콘 산화물과 같은 유전체 재료를 포함한다. 포토레지스트 층(도시되지 않음)이 접촉 레벨 유전체 층들(280, 282) 위에 적용될 수 있고, 리소그래피 방식으로 패턴화되어 이를 통해 다양한 접촉 비아 개구들을 형성할 수 있다. 예를 들어, 드레인 접촉 비아 구조물들을 형성하기 위한 개구들은 메모리 어레이 영역들(100) 내의 드레인 영역들(63) 위에 형성될 수 있고, 계단 영역 접촉 비아 구조물들을 형성하기 위한 개구들이 계단 영역들(200)에 형성될 수 있다. 이방성 에칭 공정이 수행되어, 접촉 레벨 유전체 층들(280, 282) 및 하부 유전체 재료 부분들을 통해 포토레지스트 층 내의 패턴을 전사한다. 드레인 영역들(63) 및 전기 전도성 층들(146, 246)은 에칭 정지 구조물들로서 사용될 수 있다. 드레인 접촉 비아 공동들이 각각의 드레인 영역(63) 위에 형성될 수 있고, 계단 영역 접촉 비아 공동들이 제1 및 제2 역-계단형 유전체 재료 부분들(165, 265) 아래에 놓인 계단형 표면에서 각각의 전기 전도성 층(146, 246) 위에 형성될 수 있다. 포토레지스트 층은 예를 들어, 애싱에 의해 후속적으로 제거될 수 있다.Referring to FIG. 31 , a second contact level dielectric layer 282 may be selectively formed over the first contact level dielectric layer 280 . The second contact level dielectric layer 282 includes a dielectric material such as silicon oxide. A layer of photoresist (not shown) may be applied over the contact level dielectric layers 280 and 282 and lithographically patterned therethrough to form various contact via openings. For example, openings for forming drain contact via structures may be formed over drain regions 63 in memory array regions 100 , and openings for forming step region contact via structures may be formed in step regions 200 . ) can be formed. An anisotropic etch process is performed to transfer the pattern in the photoresist layer through the contact level dielectric layers 280 and 282 and the underlying dielectric material portions. Drain regions 63 and electrically conductive layers 146 , 246 may be used as etch stop structures. Drain contact via cavities may be formed over each drain region 63 , wherein the stepped region contact via cavities are respectively at the stepped surface underlying the first and second counter-stepped dielectric material portions 165 , 265 . may be formed over the electrically conductive layers 146 and 246 of The photoresist layer may be subsequently removed, for example by ashing.

드레인 접촉 비아 구조물들(88)은 드레인 접촉 비아 공동들 내에 그리고 드레인 영역들(63)의 각자의 하나의 상단 표면 상에 형성될 수 있다. 계단 영역 접촉 비아 구조물들(86)은 계단 영역 접촉 비아 공동들 내에 그리고 전기 전도성 층들(146, 246)의 각자의 하나의 상단 표면 상에 형성된다. 계단 영역 접촉 비아 구조물들(86)은 드레인 선택 레벨 게이트 전극들로서 기능하는 제2 전기 전도성 층들(246)의 서브세트와 접촉하는 드레인 선택 레벨 접촉 비아 구조물들을 포함할 수 있다. 또한, 계단 영역 접촉 비아 구조물들(86)은, 드레인 선택 레벨 게이트 전극들 아래에 있고 메모리 스택 구조물들(55)에 대한 워드 라인들로서 기능하는 전기 전도성 층들(146, 246)과 접촉하는 워드 라인 접촉 비아 구조들을 포함할 수 있다.Drain contact via structures 88 may be formed in the drain contact via cavities and on the top surface of each one of the drain regions 63 . Step area contact via structures 86 are formed within the step area contact via cavities and on the top surface of one respective one of electrically conductive layers 146 , 246 . The stepped region contact via structures 86 may include drain select level contact via structures that contact a subset of the second electrically conductive layers 246 that function as drain select level gate electrodes. In addition, step area contact via structures 86 are word line contact below the drain select level gate electrodes and in contact with electrically conductive layers 146 , 246 serving as word lines for memory stack structures 55 . Via structures may be included.

주변 디바이스 접촉 비아 공동들은 접촉 레벨 유전체 층들(280, 282) 및 제2 및 제1 역-계단형 유전체 재료 부분들(265, 165)을 통해 희생 비아 구조물들(477)의 각자의 하나의 상단 표면 상에 형성될 수 있다. 주변 디바이스 접촉 비아 공동들은 에칭 정지 유전체 층(790) 및 평탄화 유전체 층(760)에 대해 선택적인 희생 비아 구조물들(477)을 제거함으로써, 반도체 디바이스들(710)의 각자의 컴포넌트의 상단 표면으로 수직으로 연장될 수 있다. 적어도 하나의 전도성 재료가 주변 디바이스 접촉 비아 공동들 내에 침착될 수 있다. 적어도 하나의 전도성 재료의 잉여 부분들은 접촉 레벨 유전체 층(280)의 상단 표면을 포함하는 수평 평면 위로부터 제거될 수 있다. 주변 디바이스 접촉 비아 공동 내의 적어도 하나의 전도성 재료의 각각의 나머지 부분은 주변 디바이스 접촉 비아 구조물(488)을 구성한다. 주변 디바이스 접촉 비아 구조물들(488)은 주변 디바이스 영역들(300) 내에 형성될 수 있다. 주변 디바이스 영역들(300)은 감지 증폭기 회로들, 워드 라인 및 선택 게이트 전극 스위치 영역들, 및 기타 주변 디바이스 영역들을 포함할 수 있다.Peripheral device contact via cavities via contact level dielectric layers 280 , 282 and second and first counter-stepped dielectric material portions 265 , 165 through respective one top surface of sacrificial via structures 477 . may be formed on the Peripheral device contact via cavities are perpendicular to the top surface of a respective component of semiconductor devices 710 by removing sacrificial via structures 477 optional for etch stop dielectric layer 790 and planarization dielectric layer 760 . can be extended to At least one conductive material may be deposited within the peripheral device contact via cavities. Excess portions of the at least one conductive material may be removed from above a horizontal plane comprising a top surface of the contact level dielectric layer 280 . Each remaining portion of the at least one conductive material within the peripheral device contact via cavity constitutes a peripheral device contact via structure 488 . Peripheral device contact via structures 488 may be formed in peripheral device regions 300 . Peripheral device regions 300 may include sense amplifier circuits, word line and select gate electrode switch regions, and other peripheral device regions.

비트 라인 레벨 유전체 층(290)이 접촉 레벨 유전체 층들(280, 282) 위에 형성될 수 있다. 비트 라인 레벨 금속 상호접속부 구조물들(98, 96)은 비트 라인 레벨 유전체 층(290) 내에 형성될 수 있다. 비트 라인 레벨 금속 상호접속부 구조물들(98, 96)은 드레인 접촉 비아 구조물들(88)의 각자의 하나와 접촉하는 비트 라인들(98), 및 계단 영역 접촉 비아 구조물들(86) 및/또는 주변 디바이스 접촉 비아 구조물들(488) 중 적어도 하나와 접촉하고/하거나 그에 전기적으로 연결되는 상호접속부 라인 구조물들(96)을 포함할 수 있다.A bit line level dielectric layer 290 may be formed over the contact level dielectric layers 280 , 282 . Bit line level metal interconnect structures 98 , 96 may be formed in bit line level dielectric layer 290 . Bit line level metal interconnect structures 98 , 96 include bit lines 98 in contact with a respective one of drain contact via structures 88 , and step area contact via structures 86 and/or perimeter. interconnect line structures 96 in contact with and/or electrically coupled to at least one of the device contact via structures 488 .

도 32를 참조하면, 상부 유전체 재료 부분들은 비트 라인 레벨 유전체 층(290) 위에 형성될 수 있다. 예를 들어, (본 명세서에서 상부 레벨 유전체 재료 층들(960)로 지칭되는) 추가의 유전체 재료 층들이 비트 라인 레벨 유전체 층(290) 위에 형성될 수 있다. 상부 레벨 유전체 재료 층들(960) 각각은 실리콘 산화물과 같은 유전체 재료를 포함할 수 있다. 상부 레벨 유전체 재료 층들(960) 중 최상부 층은 실리콘 질화물과 같은 확산 장벽 유전체 재료를 포함할 수 있다. 추가의 금속 상호접속부 구조물들(본 명세서에서 상부 레벨 금속 상호접속부 구조물들(980)로 지칭됨)이 상부 레벨 유전체 재료 층들(960) 내에 형성될 수 있다. 상부 레벨 금속 상호접속부 구조물들(980)은 메모리 스택 구조물들(55)을 포함하는 메모리 요소들의 3차원 어레이의 다양한 노드들과 반도체 디바이스들(710) 사이의 전기적 접속들을 제공하는 금속 라인 구조물들 및 금속 비아 구조물들을 포함할 수 있다.Referring to FIG. 32 , top dielectric material portions may be formed over the bit line level dielectric layer 290 . For example, additional layers of dielectric material (referred to herein as upper level dielectric material layers 960 ) may be formed over the bit line level dielectric layer 290 . Each of the upper level dielectric material layers 960 may include a dielectric material such as silicon oxide. The top of the upper level dielectric material layers 960 may include a diffusion barrier dielectric material such as silicon nitride. Additional metal interconnect structures (referred to herein as top level metal interconnect structures 980 ) may be formed in the top level dielectric material layers 960 . Top level metal interconnect structures 980 include metal line structures that provide electrical connections between semiconductor devices 710 and various nodes of a three-dimensional array of memory elements including memory stack structures 55 and It may include metal via structures.

도 33a 내지 도 33f를 참조하면, 시일 링 공동들(71) 및 가드 링 공동(77)의 형성 후에 제2 예시적인 구조물의 다양한 도면들이 예시되어 있다. 도 33a 내지 도 33d는 제2 예시적인 구조물의 구성을 예시한다. 도 33e 및 도 33f는 도 33a 내지 도 33d의 제2 예시적인 구조물의 대안적인 실시예를 예시한다.33A-33F , various views of the second exemplary structure are illustrated after formation of the seal ring cavities 71 and the guard ring cavity 77 . 33A-33D illustrate the construction of a second exemplary structure. 33E and 33F illustrate an alternative embodiment of the second exemplary structure of FIGS. 33A-33D .

예를 들어, 포토레지스트 층이 상부 레벨 유전체 재료 층들(960) 위에 적용될 수 있고, 리소그래피 방식으로 패턴화되어 그 안에 한 세트의 네스팅된 개구들을 형성할 수 있다. 포토레지스트 층 내의 개구들 각각은 둥근 코너들을 갖거나 갖지 않는 직사각형의 일반적인 형상, 또는 작은 변들을 추가하여 직사각형으로부터 유도된 다각형을 가질 수 있다. 포토레지스트 층 내의 개구들 각각은 시일 링 및 가드 링 영역(400) 내에 형성될 수 있다.For example, a photoresist layer may be applied over the top level dielectric material layers 960 and lithographically patterned to form a set of nested openings therein. Each of the openings in the photoresist layer can have the general shape of a rectangle with or without rounded corners, or a polygon derived from a rectangle with the addition of small sides. Each of the openings in the photoresist layer may be formed in the seal ring and guard ring region 400 .

이방성 에칭 공정이 수행되어, 포토레지스트 층 내의 개구들의 패턴을 상부 유전체 재료 부분들(예컨대, 상부 레벨 유전체 재료 층들(960)), 비트 라인 레벨 유전체 층(290), 접촉 레벨 유전체 층들(280, 282), 및 역-계단형 유전체 재료 층들(165, 265)을 통해 기판(908)의 상단 표면 상으로 전사할 수 있다. 복수의 네스팅된 시일 링 공동들(71)이 상부 유전체 재료 부분들의 최상부 표면(예컨대, 상부 레벨 유전체 재료 층들(960))으로부터 기판(908)까지 연장된다. 복수의 네스팅된 시일 링 공동들(71) 각각은, 후속적으로 형성될 반도체 다이의 제1 측벽들에 평행한 제1 측벽 세그먼트들의 각자의 쌍, 및 반도체 다이의 제1 측벽들에 수직인 제2 측벽 세그먼트들의 각자의 쌍을 포함할 수 있다. 일 실시예에서, 네스팅된 시일 링 공동들(71)의 제1 측벽 세그먼트들은 제1 수평 방향(hd1)을 따라 측방향으로 연장될 수 있고, 네스팅된 시일 링 공동들(71)의 제2 측벽 세그먼트들은 제2 수평 방향(hd2)을 따라 측방향으로 연장될 수 있다. 네스팅된 시일 링 공동들(71) 각각은 내부에 경계지어진 각자의 내측 구조물을 측방향으로 둘러싸고 봉입하는 모트(moat) 트렌치의 구성을 가질 수 있다.An anisotropic etch process is performed to form a pattern of openings in the photoresist layer into upper dielectric material portions (eg, upper level dielectric material layers 960 ), bit line level dielectric layer 290 , contact level dielectric layers 280 , 282 . ), and the reverse-stepped dielectric material layers 165 , 265 through the top surface of the substrate 908 . A plurality of nested seal ring cavities 71 extend from a top surface of the upper dielectric material portions (eg, upper level dielectric material layers 960 ) to the substrate 908 . Each of the plurality of nested seal ring cavities 71 has a respective pair of first sidewall segments parallel to first sidewalls of the semiconductor die to be subsequently formed, and a respective pair of first sidewall segments perpendicular to the first sidewalls of the semiconductor die. a respective pair of second sidewall segments. In one embodiment, the first sidewall segments of the nested seal ring cavities 71 may extend laterally along the first horizontal direction hd1 , and the first sidewall segments of the nested seal ring cavities 71 may extend laterally along the first horizontal direction hd1 . The two sidewall segments may extend laterally along the second horizontal direction hd2 . Each of the nested seal ring cavities 71 may have the configuration of a moat trench that laterally surrounds and encloses a respective inner structure bounded therein.

예시적인 예에서, 복수의 네스팅된 시일 링 공동들(71)은 복수의 네스팅된 시일 링 공동들(71) 중 최내측 부분인 내측 시일 링 공동(711), 내측 시일 링 공동(711)을 측방향으로 둘러싸는 중간 시일 링 공동(712), 및 중간 시일 링 공동(712)을 측방향으로 둘러싸는 외측 시일 링 공동(713)을 포함할 수 있다. 추가의 시일 링 공동들(도시되지 않음)이 외측 시일 링 공동(713) 주위에 형성될 수 있다. 일 실시예에서, 가드 링 공동(77)이 네스팅된 시일 링 공동들(71)의 형성과 동시에 네스팅된 시일 링 공동들(71)의 최외측 공동(713) 외부에 형성될 수 있다. 가드 링 공동(77)은 상부 유전체 재료 부분들의 최상부 표면(예컨대, 상부 레벨 유전체 재료 층들(960))으로부터 기판(908)까지 수직으로 연장될 수 있다.In the illustrative example, the plurality of nested seal ring cavities 71 include an inner seal ring cavity 711 that is an innermost portion of the plurality of nested seal ring cavities 71 , an inner seal ring cavity 711 . may include an intermediate seal ring cavity 712 that laterally surrounds the , and an outer seal ring cavity 713 that laterally surrounds the intermediate seal ring cavity 712 . Additional seal ring cavities (not shown) may be formed around the outer seal ring cavity 713 . In one embodiment, the guard ring cavity 77 may be formed outside the outermost cavity 713 of the nested seal ring cavities 71 concurrently with the formation of the nested seal ring cavities 71 . Guard ring cavity 77 may extend vertically from a top surface of the upper dielectric material portions (eg, upper level dielectric material layers 960 ) to substrate 908 .

네스팅된 시일 링 공동들(71) 각각은 제1 수평 방향(hd1)을 따라 또는 제2 수평 방향(hd2)을 따라 측방향으로 연장되는 직선 세그먼트들을 포함할 수 있다. 일 실시예에서, 네스팅된 시일 링 공동들(예컨대, 712) 중 적어도 하나는 충전 재료의 침착시 공극들(예컨대, 공기 간극들)의 형성을 유도하는 폭을 가질 수 있다. 일 실시예에서, 네스팅된 시일 링 공동들(예컨대, 711 및/또는 713) 중 적어도 다른 하나는 그의 등각 충전에 도움이 되는 폭을 가질 수 있다. 일반적으로, 복수의 네스팅된 시일 링 공동들(71)은 내부에 충전 재료를 침착할 때 그 내부에 제1 공극의 형성에 도움이 되는 더 작은 폭을 갖는 적어도 하나의 제1 시일 링 공동(712), 및 공극이 없거나 제1 공극보다 작은 공극 체적을 갖는 제2 공극으로 충전 재료와 등각 충전에 도움이 되는 더 큰 폭을 갖는 적어도 하나의 제2 시일 링 공동(711, 713)을 포함할 수 있다. 본 명세서에 사용되는 바와 같이, 공동의 폭 또는 공동의 세그먼트는 공동 또는 공동의 세그먼트의 평균 폭을 지칭한다. 시일 링 공동들(71)의 높이는 5 마이크로미터 내지 40 마이크로미터, 예컨대 10 마이크로미터 내지 20 마이크로미터의 범위일 수 있다.Each of the nested seal ring cavities 71 may include straight segments extending laterally along the first horizontal direction hd1 or along the second horizontal direction hd2 . In one embodiment, at least one of the nested seal ring cavities (eg, 712 ) can have a width that induces formation of voids (eg, air gaps) upon deposition of the fill material. In one embodiment, at least another of the nested seal ring cavities (eg, 711 and/or 713 ) may have a width conducive to conformal filling thereof. Generally, the plurality of nested seal ring cavities 71 comprise at least one first seal ring cavity having a smaller width conducive to the formation of a first void therein when depositing fill material therein. 712 , and at least one second seal ring cavity 711 , 713 having a greater width conducive to conformal filling with the filling material with a second void free of voids or having a void volume smaller than the first void. can As used herein, the width of a cavity or segment of a cavity refers to the average width of a cavity or segment of a cavity. The height of the seal ring cavities 71 may range from 5 micrometers to 40 micrometers, such as from 10 micrometers to 20 micrometers.

일 실시예에서, 시일 링 공동(71)의 각각의 세그먼트는 전체에 걸쳐 동일한 각자의 폭을 가질 수 있다. 예를 들어, 도 33d에 도시된 바와 같이, 내측 시일 링 공동(711)은 전체에 걸쳐 내측 공동 폭(WI)을 가질 수 있고, 중간 시일 링 공동(712)은 전체에 걸쳐 중간 공동 폭(WT)을 가질 수 있고, 외측 시일 링 공동(713)은 전체에 걸쳐 외측 공동 폭(WO)을 가질 수 있다. 일 실시예에서, 중간 공동 폭(WT)은 내측 공동 폭(WI)보다 작을 수 있고, 외측 공동 폭(WO)보다 작을 수 있다. 일 실시예에서, 중간 공동 폭(WT)은 내측 공동 폭(WI) 1/2 미만일 수 있고, 외측 공동 폭(WO) 1/2 미만일 수 있다. 일 실시예에서, 중간 공동 폭(WT)은 내측 공동 폭(WI) 및 외측 공동 폭(WO)의 1/4 미만, 예컨대 내측 공동 폭(WI) 및 외측-공동 폭(WO)의 1/5 내지 1/10일 수 있다. 외측 공동 폭(WO)은 내측 공동 폭(WI)과 동일하거나, 그보다 크거나, 그보다 작을 수 있다. 시일 링 공동(71)의 높이 대 시일 링 공동(71)의 폭의 비는 본 명세서에서 시일 링 공동(71)의 종횡비로서 지칭된다. 내측 시일 링 공동(711)의 종횡비 및 외측 시일 링 공동(713)의 종횡비는 5 내지 40, 예컨대 10 내지 20 범위일 수 있다. 중간 시일 링 공동(712)의 종횡비는 10 내지 160, 예컨대 20 내지 80일 수 있지만, 더 작은 그리고 더 큰 종횡비들이 또한 채용될 수 있다. 가드 링 공동(77)은 전체에 걸쳐 가드 링 폭(WG)을 가질 수 있다. 가드 링 폭(WG)은 내측 공동 폭(WI) 및/또는 외측 공동 폭(WO)과 동일하거나 그보다 클 수 있다. 중간 시일 링 공동(712)이 내측 및 외측 시일 링 공동들(711, 713)보다 작은 폭을 갖는 것으로 예시되어 있지만, 대안적인 실시예들에서, 내측 및/또는 외측 시일 링 공동들은 적어도 하나의 다른 시일 링 공동보다 작은 폭을 가질 수 있다. 또한, 3개의 시일 링 공동들이 예시되지만, 2개 또는 3개 초과의 시일 링 공동들이 대신에 사용될 수 있고, 이들 중 하나 이상은 적어도 하나의 다른 시일 링 공동보다 작은 폭을 갖는다.In one embodiment, each segment of the seal ring cavity 71 may have a respective width that is the same throughout. For example, as shown in FIG. 33D , the inner seal ring cavity 711 may have an overall inner cavity width WI, and the intermediate seal ring cavity 712 may have an overall intermediate cavity width WT. ), and the outer seal ring cavity 713 may have an outer cavity width WO throughout. In one embodiment, the median cavity width WT may be less than the inner cavity width WI and may be less than the outer cavity width WO. In one embodiment, the median cavity width WT may be less than 1/2 the inner cavity width WI and less than 1/2 the outer cavity width WO. In one embodiment, the median cavity width WT is less than 1/4 of the medial cavity width WI and the outer cavity width WO, such as 1/5 of the medial cavity width WI and the outer-cavity width WO to 1/10. The outer cavity width WO may be equal to, greater than, or smaller than the inner cavity width WI. The ratio of the height of the seal ring cavity 71 to the width of the seal ring cavity 71 is referred to herein as the aspect ratio of the seal ring cavity 71 . The aspect ratio of the inner seal ring cavity 711 and the aspect ratio of the outer seal ring cavity 713 may range from 5 to 40, such as from 10 to 20. The aspect ratio of the intermediate seal ring cavity 712 may be between 10 and 160, such as between 20 and 80, although smaller and larger aspect ratios may also be employed. The guard ring cavity 77 may have a guard ring width WG throughout. The guard ring width WG may be equal to or greater than the inner cavity width WI and/or the outer cavity width WO. Although the intermediate seal ring cavity 712 is illustrated as having a smaller width than the inner and outer seal ring cavities 711 , 713 , in alternative embodiments, the inner and/or outer seal ring cavities may include at least one other It may have a smaller width than the seal ring cavity. Also, while three seal ring cavities are illustrated, two or more than three seal ring cavities may be used instead, one or more of which has a smaller width than at least one other seal ring cavity.

도 33e 및 도 33f에 예시된 대안적인 구성은 시일 링 공동들(71) 중 적어도 하나에 대해 시일 링 공동(71)의 제1 세그먼트들의 폭에 대해 제2 수평 방향(hd2)을 따라 연장되는 시일 링 공동(71)의 제2 세그먼트들의 폭을 변화시킴으로써 도 33a 내지 도 33d의 제2 예시적인 구조물로부터 유도될 수 있다. 이러한 경우에, 시일 링 공동들(예컨대, 712) 중 적어도 하나에 대해, 제1 수평 방향(hd1)을 따라 연장되는 시일 링 공동(712)의 제1 세그먼트들은 각자의 제1 세그먼트 폭을 가질 수 있고, 제2 수평 방향(hd2)을 따라 연장되는 시일 링 공동(712)의 제2 세그먼트들은 공동 제1 세그먼트 폭과는 상이한 각자의 제2 세그먼트 폭을 가질 수 있다. 예를 들어, 제1 수평 방향(hd1)을 따라 측방향으로 연장되는 내측 시일 링 공동(711)의 제1 세그먼트들은 내측 공동 제1 세그먼트 폭(WIA)을 가질 수 있고, 제2 수평 방향(hd2)을 따라 측방향으로 연장되는 내측 시일 링 공동(711)의 제2 세그먼트들은 내측 공동 제2 세그먼트 폭(WIB)을 가질 수 있다. 제1 수평 방향(hd1)을 따라 측방향으로 연장되는 중간 시일 링 공동(712)의 제1 세그먼트들은 중간 공동 제1 세그먼트 폭(WTA)을 가질 수 있고, 제2 수평 방향(hd2)을 따라 측방향으로 연장되는 중간 시일 링 공동(712)의 제2 세그먼트들은 중간 공동 제2 세그먼트 폭(WTB)을 가질 수 있다. 제1 수평 방향(hd1)을 따라 측방향으로 연장되는 외측 시일 링 공동(713)의 제1 세그먼트들은 외측 공동 제1 세그먼트 폭(WOA)을 가질 수 있고, 제2 수평 방향(hd2)을 따라 측방향으로 연장되는 외측 시일 링 공동(713)의 제2 세그먼트들은 외측 공동 제2 세그먼트 폭(WOB)을 가질 수 있다. 중간 공동 제1 세그먼트 폭(WTA)은 중간 공동 제2 세그먼트 폭(WTB)과는 상이할 수 있다. 내측 공동 제1 세그먼트 폭(WIA)은 내측 공동 제2 세그먼트 폭(WIB)과 동일하거나 상이할 수 있다. 외측 공동 제1 세그먼트 폭(WOA)은 외측 공동 제2 세그먼트 폭(WOB)과 동일하거나 상이할 수 있다. 일 실시예에서, 중간 공동 제1 세그먼트 폭(WTA)은 내측 공동 제1 세그먼트 폭(WIA)보다 작을 수 있고, 외측 공동 제1 세그먼트 폭(WOA)보다 작을 수 있다. 제1 수평 방향으로 연장되는 시일 링 공동의 제1 세그먼트는 제2 수평 방향으로 연장되는 동일한 시일 링 공동의 제2 세그먼트보다 작은 폭을 갖는 것으로 예시되지만, 대안적인 실시예에서, 제2 수평 방향으로 연장되는 시일 링 공동의 제2 세그먼트는, 디바이스의 층들이 각각 제2 또는 제1 수평 방향으로 기판 상에 더 큰 오목한 휨을 가하는지 여부에 따라, 제1 세그먼트보다 더 작은 폭을 가질 수 있음에 유의해야 한다.The alternative configuration illustrated in FIGS. 33E and 33F is a seal extending along a second horizontal direction hd2 relative to the width of the first segments of the seal ring cavity 71 relative to at least one of the seal ring cavities 71 . It can be derived from the second exemplary structure of FIGS. 33A-33D by varying the width of the second segments of the ring cavity 71 . In this case, for at least one of the seal ring cavities (eg, 712 ), the first segments of the seal ring cavity 712 extending along the first horizontal direction hd1 may have a respective first segment width. and the second segments of the seal ring cavity 712 extending along the second horizontal direction hd2 may have respective second segment widths different from the cavity first segment widths. For example, first segments of the inner seal ring cavity 711 extending laterally along a first horizontal direction hd1 may have an inner cavity first segment width WIA, and a second horizontal direction hd2 The second segments of the inner seal ring cavity 711 extending laterally along ) may have an inner cavity second segment width WIB. The first segments of the intermediate seal ring cavity 712 extending laterally along the first horizontal direction hd1 may have an intermediate cavity first segment width WTA and laterally extending along the second horizontal direction hd2 . The second segments of the intermediate seal ring cavity 712 extending in the direction may have an intermediate cavity second segment width WTB. The first segments of the outer seal ring cavity 713 extending laterally along the first horizontal direction hd1 may have an outer cavity first segment width WOA and laterally along the second horizontal direction hd2 . The second segments of the outer seal ring cavity 713 extending in the direction may have an outer cavity second segment width WOB. The intermediate cavity first segment width WTA may be different from the intermediate cavity second segment width WTB. The inner cavity first segment width WIA may be the same as or different from the inner cavity second segment width WIB. The outer cavity first segment width WOA may be the same as or different from the outer cavity second segment width WOB. In one embodiment, the intermediate cavity first segment width WTA may be less than the inner cavity first segment width WIA and may be less than the outer cavity first segment width WOA. Although a first segment of the seal ring cavity extending in a first horizontal direction is illustrated as having a smaller width than a second segment of the same seal ring cavity extending in a second horizontal direction, in an alternative embodiment, in the second horizontal direction Note that the second segment of the extending seal ring cavity may have a smaller width than the first segment, depending on whether the layers of the device exert a greater concave deflection on the substrate in the second or first horizontal direction, respectively. Should be.

도 34a 내지 도 35e를 참조하면, 시일 링 구조물들(73) 및 가드 링(78)의 형성 후에 제2 예시적인 구조물의 다양한 도면들이 예시되어 있다. 도 35a 내지 도 34c는 제2 예시적인 구조물의 구성을 예시한다. 도 34d 및 도 34e는 도 34a 내지 도 34c의 제2 예시적인 구조물의 대안적인 실시예를 예시한다.34A-35E , various views of a second exemplary structure are illustrated after formation of the seal ring structures 73 and the guard ring 78 . 35A-34C illustrate the construction of a second exemplary structure. 34D and 34E illustrate an alternative embodiment of the second exemplary structure of FIGS. 34A-34C .

적어도 하나의 확산 장벽 재료가 복수의 네스팅된 시일 링 공동들(71) 및 가드 링 공동(77) 각각에 침착된다. 적어도 하나의 확산 장벽 재료는 수분, 수소 및/또는 불순물 금속들의 확산을 차단하는 재료를 포함한다. 예를 들어, 적어도 하나의 확산 장벽 재료는 텅스텐, 전도성 금속 질화물(예컨대, 티타늄 질화물) 및/또는 실리콘 질화물로부터 선택되는 적어도 하나의 재료를 포함할 수 있고/있거나 이로 이루어질 수 있다. 일 실시예에서, 적어도 하나의 확산 장벽 재료는 전도성 금속 질화물(예컨대, 티타늄 질화물) 및 텅스텐의 층 스택으로 이루어질 수 있다. 적어도 하나의 확산 장벽 재료가 텅스텐과 같은 전도성 재료를 포함하는 경우에, 적어도 하나의 확산 장벽 재료의 잉여 부분들은 화학 기계적 평탄화 공정과 같은 평탄화 공정에 의해 상부 레벨 유전체 층들(960)의 최상부 표면 위로부터 제거될 수 있다.At least one diffusion barrier material is deposited in each of the plurality of nested seal ring cavities 71 and guard ring cavity 77 . The at least one diffusion barrier material comprises a material that blocks diffusion of moisture, hydrogen and/or impurity metals. For example, the at least one diffusion barrier material may comprise and/or consist of at least one material selected from tungsten, conductive metal nitride (eg, titanium nitride) and/or silicon nitride. In one embodiment, the at least one diffusion barrier material may consist of a layer stack of conductive metal nitride (eg, titanium nitride) and tungsten. Where the at least one diffusion barrier material comprises a conductive material such as tungsten, excess portions of the at least one diffusion barrier material are removed from above the top surface of the upper level dielectric layers 960 by a planarization process, such as a chemical mechanical planarization process. can be removed.

시일 링 공동들(71)의 각자의 하나를 충전하는 적어도 하나의 확산 장벽 재료의 각각의 부분은 시일 링 구조물(73)을 구성한다. 가드 링 공동(77)을 충전하는 적어도 하나의 확산 장벽 재료의 부분은 가드 링 구조물(78)을 구성한다. 복수의 네스팅된 시일 링 구조물들(73)이 형성되며, 이는 복수의 네스팅된 시일 링 공동들(71) 내에 배치되는 적어도 하나의 확산 장벽 재료의 부분들을 포함한다. 복수의 네스팅된 시일 링 구조물들(73)이 상부 유전체 재료 부분들의 최상부 표면(예컨대, 상부 레벨 유전체 재료 층들(960))으로부터 기판(908)까지 연장된다. 복수의 네스팅된 시일 링 구조물들(73)은 절연 층들(132, 232) 및 전기 전도성 층들(146, 246)의 교번하는 스택들, 및 네스팅된 시일 링 구조물들(73)의 최내측 측벽들 내측에 위치된 상부 유전체 재료 부분들의 내측 영역을 측방향으로 둘러싸고 봉입한다. 복수의 네스팅된 시일 링 구조물들(73)은 내측 시일 링 공동(711) 내에 형성되는 내측 시일 링 구조물(731), 중간 시일 링 공동(712) 내에 형성되는 중간 시일 링 구조물(732), 및 외측 시일 링 공동(713) 내에 형성되는 외측 시일 링 구조물(733)을 포함할 수 있다.Each portion of the at least one diffusion barrier material filling a respective one of the seal ring cavities 71 constitutes the seal ring structure 73 . A portion of the at least one diffusion barrier material filling the guard ring cavity 77 constitutes the guard ring structure 78 . A plurality of nested seal ring structures 73 are formed, which include portions of at least one diffusion barrier material disposed within the plurality of nested seal ring cavities 71 . A plurality of nested seal ring structures 73 extend from a top surface of the upper dielectric material portions (eg, upper level dielectric material layers 960 ) to the substrate 908 . The plurality of nested seal ring structures 73 include alternating stacks of insulating layers 132 , 232 and electrically conductive layers 146 , 246 , and an innermost sidewall of the nested seal ring structures 73 . Laterally surrounds and encloses the inner region of the upper dielectric material portions located inside them. The plurality of nested seal ring structures 73 include an inner seal ring structure 731 formed within the inner seal ring cavity 711 , an intermediate seal ring structure 732 formed within the intermediate seal ring cavity 712 , and may include an outer seal ring structure 733 formed within the outer seal ring cavity 713 .

일 실시예에서, 복수의 네스팅된 시일 링 구조물들(73)은 제1 공극(742)(예컨대, 공기 간극)을 내부에 갖는 제1 시일 링 구조물(예컨대, 중간 시일 링 구조물(732)), 및 제1 시일 링 구조물을 측방향으로 봉입하거나 그에 의해 측방향으로 봉입되고 적어도 하나의 확산 장벽 재료를 포함하는 제2 재료 부분을 포함하는 제2 시일 링 구조물(예컨대, 내측 시일 링 구조물(731) 또는 외측 시일 링 구조물(733))을 포함할 수 있다. 제1 시일 링 구조물(예컨대, 중간 시일 링 구조물(732))은 제1 시일 링 폭(예컨대, 중간 공동 폭(WT))을 가질 수 있고, 제2 시일 링 구조물(예컨대, 외측 시일 링 구조물(733) 또는 내측 시일 링 구조물(731))은 내측 측벽과 그의 외측 측벽 사이에서 제2 시일 링 폭(예컨대, 외측 공동 폭(WO) 또는 내측 공동 폭(WI))을 가질 수 있다. 제1 시일 링 폭은 제2 시일 링 폭보다 작을 수 있다. 이러한 경우에, 제2 시일 링 구조물(예컨대, 외측 시일 링 구조물(733) 또는 내측 시일 링 구조물(731))은 내부에 제2 공극(741 또는 743)을 가질 수 있거나 또는 내부에 어떠한 공극도 없을 수 있다. 존재하는 경우, 제2 공극(741 또는 743)은 제1 공극(742)보다 작은 폭을 갖는다.In one embodiment, the plurality of nested seal ring structures 73 includes a first seal ring structure (eg, intermediate seal ring structure 732 ) having a first void 742 (eg, air gap) therein. , and a second seal ring structure (eg, inner seal ring structure 731 ) comprising a portion of a second material that laterally encloses or is laterally encapsulated by the first seal ring structure and includes at least one diffusion barrier material. ) or an outer seal ring structure 733 ). A first seal ring structure (eg, intermediate seal ring structure 732 ) may have a first seal ring width (eg, intermediate cavity width WT) and a second seal ring structure (eg, outer seal ring structure ( WT)) 733 ) or inner seal ring structure 731 ) may have a second seal ring width (eg, outer cavity width WO or inner cavity width WI) between the inner sidewall and the outer sidewall thereof. The first seal ring width may be less than the second seal ring width. In this case, the second seal ring structure (eg, outer seal ring structure 733 or inner seal ring structure 731 ) may have second voids 741 or 743 therein, or may have no voids therein. can When present, the second void 741 or 743 has a smaller width than the first void 742 .

각각의 시일 링 구조물(예컨대, 외측 시일 링 구조물(733) 및 내측 시일 링 구조물(731))은 공극이 없거나 종래의 시일 링 구조물로서 더 작은 공극 기능들을 갖는다. 더 큰 공극(742)을 갖는 각각의 시일 링 구조물(예컨대, 중간 시일 링 구조물(732))은 기계적 응력 흡수체 구조물로서 기능하며, 이는 공극 주위의 적어도 하나의 확산 장벽 재료의 변형에 의해 제2 예시적인 구조물 내의 기계적 응력을 감소시킬 수 있다.Each seal ring structure (eg, outer seal ring structure 733 and inner seal ring structure 731 ) is void free or has smaller void functions as a conventional seal ring structure. Each seal ring structure (eg, intermediate seal ring structure 732 ) having a larger void 742 functions as a mechanical stress absorber structure, which in the second example by deformation of the at least one diffusion barrier material around the void. It can reduce the mechanical stress in the structural structure.

도 34c에 도시된 일 실시예에서, 중간 시일 링 구조물(732)은 본 명세서에서 중간 공극 폭(V2)으로 지칭되는 폭을 갖는 제1 공극(742)을 포함할 수 있다. 외측 시일 링 구조물(733)에는 내부에 공극이 없을 수 있거나, 또는 본 명세서에서 외측 공극 폭(V3)으로 지칭되고 중간 공극 폭(V2)보다 작은 폭을 갖는 공극(743)을 포함할 수 있다. 내측 시일 링 구조물(731)에는 내부에 공극이 없을 수 있거나, 또는 본 명세서에서 내측 공극 폭(V1)으로 지칭되고 중간 공극 폭(V2)보다 작은 폭을 갖는 공극(741)을 포함할 수 있다. 더 큰 공극 폭(V2)은 전기 전도성 층들(146, 246) 및/또는 시일 링 구조물들(73)의 층들과 같은 디바이스의 층들에 의해 기판 상에 부여된 휨을 튜닝 및/또는 오프셋시킨다.In one embodiment shown in FIG. 34C , the intermediate seal ring structure 732 may include a first void 742 having a width referred to herein as an intermediate void width V2 . Outer seal ring structure 733 may have no voids therein, or may include voids 743 , referred to herein as outer void width V3 , and having a width less than intermediate void width V2 . The inner seal ring structure 731 may be free of voids therein, or may include voids 741 , referred to herein as the inner void width V1 , and having a width less than the intermediate void width V2 . The larger void width V2 tunes and/or offsets the warpage imparted on the substrate by the layers of the device, such as the electrically conductive layers 146 , 246 and/or the layers of the seal ring structures 73 .

도 18c에 도시된 일 실시예에서, 복수의 네스팅된 시일 링 구조물들(73) 각각은 제1 수평 방향(hd1)에 평행한 제1 측벽 세그먼트들(752A)의 각자의 쌍, 및 제2 수평 방향(hd2)에 평행한 제2 측벽 세그먼트들(752B)의 각자의 쌍을 포함할 수 있다. 중간 시일 링 구조물(732) 내의 공극(742)은 중간 시일 링 구조물(732)의 전체 주위에서 연속적으로 연장될 수 있거나, 제1 공극(742)은 중간 시일 링 구조물(732)의 세그먼트 내에 한정될 수 있다. 일 실시예에서, 제1 공극(742)은 제1 시일 링 구조물(예컨대, 중간 시일 링 구조물(732))의 제1 측벽 세그먼트들(752A) 또는 제2 측벽 세그먼트들(752B)로부터 선택된 측벽 세그먼트 내부에서 연장될 수 있고, 제1 공극이 존재하는 측벽 세그먼트의 측방향 범위의 적어도 98%인 측방향 범위를 갖는다.In one embodiment shown in FIG. 18C , each of the plurality of nested seal ring structures 73 includes a respective pair of first sidewall segments 752A parallel to the first horizontal direction hd1 , and a second and a respective pair of second sidewall segments 752B parallel to the horizontal direction hd2 . The void 742 in the intermediate seal ring structure 732 may extend continuously around the entirety of the intermediate seal ring structure 732 , or the first void 742 may be defined within a segment of the intermediate seal ring structure 732 . can In one embodiment, the first void 742 is a sidewall segment selected from first sidewall segments 752A or second sidewall segments 752B of a first seal ring structure (eg, intermediate seal ring structure 732 ). It may extend inwardly and has a lateral extent that is at least 98% of the lateral extent of the sidewall segment in which the first void is present.

도 34d 및 도 34e에 도시된 대안적인 실시예에서, 제1 시일 링 구조물(예컨대, 중간 시일 링 구조물(732))의 제1 측벽 세그먼트들(752A)은 제1 시일 링 폭(예컨대, 중간 공동 제1 세그먼트 폭(WTA))을 가질 수 있고, 제1 시일 링 구조물의 제2 측벽 세그먼트들(752B)은 제1 시일 링 폭보다 큰 추가의 시일 링 폭(예컨대, 중간 공동 제2 세그먼트 폭(WTB))을 갖는다. 따라서, 제1 수평 방향(hd1)으로 연장되는 중간 시일 링 구조물(732)의 제1 세그먼트 내의 제1 공극(742A)은 제2 폭(V2)을 가질 수 있고, 제2 수평 방향(hd2)으로 연장되는 중간 시일 링 구조물(732)의 제2 세그먼트 내의 제2 공극(742B)은, 디바이스의 층들이 제2 수평 방향으로 기판 상에 더 큰 오목한 휨을 가한다면, 제2 공극 폭(V2)보다 작은 제4 공극 폭(V4)을 가질 수 있다. 대안적으로, 제4 폭(V4)은 디바이스의 층들이 제1 수평 방향으로 기판 상에 더 큰 오목한 휨을 가한다면 제2 공극 폭(V2)보다 클 수 있다. 더 큰 공극 폭(V2)은 공극의 길이 방향(즉, 공극의 폭에 수직이고 공극을 포함하는 시일 링 구조물의 세그먼트의 방향에 평행한 수평 방향)에 수직인 방향으로 디바이스 층들에 의해 기판 상에 가해지는 휨을 오프셋시킨다.In the alternative embodiment shown in FIGS. 34D and 34E , the first sidewall segments 752A of the first seal ring structure (eg, the intermediate seal ring structure 732 ) have a first seal ring width (eg, the intermediate cavity). may have a first segment width WTA), wherein the second sidewall segments 752B of the first seal ring structure have an additional seal ring width greater than the first seal ring width (eg, the intermediate cavity second segment width WTA). WTB)). Accordingly, the first void 742A in the first segment of the intermediate seal ring structure 732 extending in the first horizontal direction hd1 may have a second width V2, and may have a second width V2 in the second horizontal direction hd2. The second void 742B in the second segment of the extending intermediate seal ring structure 732 is less than the second void width V2 if the layers of the device exert a greater concave deflection on the substrate in the second horizontal direction. It may have a fourth pore width V4. Alternatively, the fourth width V4 may be greater than the second void width V2 if the layers of the device exert a greater concave deflection on the substrate in the first horizontal direction. The larger void width V2 is defined on the substrate by the device layers in a direction perpendicular to the longitudinal direction of the voids (ie, the horizontal direction perpendicular to the width of the voids and parallel to the direction of the segment of the seal ring structure comprising the voids). Offset the applied deflection.

일 실시예에서, 제1 공극(742)은 교번하는 스택들{(132, 146), (232, 246)} 및 상부 유전체 재료 부분들의 내측 영역(예컨대, 상부 레벨 유전체 재료 층들(960))의 주위에서 단일 연속 공극으로서 연속적으로 연장된다. 대안적으로, 각각의 세그먼트(752A, 752B)는 별개의 봉입된 공극(742A, 742B)을 가질 수 있다.In one embodiment, the first void 742 is in alternating stacks {(132, 146), (232, 246)} and an inner region of the upper dielectric material portions (eg, upper level dielectric material layers 960). It extends continuously as a single continuous void around the perimeter. Alternatively, each segment 752A, 752B may have a separate enclosed void 742A, 742B.

도 34a 내지 도 34e에 예시된 실시예에서, 시일 링 구조물들(73)은 희생 비아 구조물들(477) 및 주변 디바이스 접촉 비아 구조물들(488)과 별개로 형성된다. 대안적인 실시예에서, 시일 링 구조물들(73)(예컨대, 내측 시일 링 구조물(731), 중간 시일 링 구조물(732) 및 외측 시일 링 구조물(733))은 희생 비아 구조물들(477) 및 주변 디바이스 접촉 비아 구조물들(488)과 동시에 형성될 수 있다. 예를 들어, 시일 링 구조물들(73)의 저부 부분들은 도 1에 도시된 희생 비아 구조물들(477)과 동시에 형성될 수 있다. 마찬가지로, 시일 링 구조물들(73)의 상부 부분들은 도 32에 도시된 주변 디바이스 접촉 비아 구조물들(488)과 동시에 형성될 수 있다. 이러한 실시예에서, 시일 링 공동들(71)은 도 32의 주변 디바이스 접촉 비아 공동들과 동시에 형성되고, 이어서, 시일 링 공동들(71) 및 주변 디바이스 접촉 비아 공동들 둘 모두를 전기 전도성 재료로 충전하여 각자의 주변 디바이스 접촉 비아 구조물들(488) 및 시일 링 구조물들(73)을 형성한다.In the embodiment illustrated in FIGS. 34A-E , the seal ring structures 73 are formed separately from the sacrificial via structures 477 and the peripheral device contact via structures 488 . In an alternative embodiment, the seal ring structures 73 (eg, the inner seal ring structure 731 , the intermediate seal ring structure 732 , and the outer seal ring structure 733 ) are connected to the sacrificial via structures 477 and the perimeter. It may be formed simultaneously with the device contact via structures 488 . For example, the bottom portions of the seal ring structures 73 may be formed concurrently with the sacrificial via structures 477 shown in FIG. 1 . Likewise, upper portions of the seal ring structures 73 may be formed concurrently with the peripheral device contact via structures 488 shown in FIG. 32 . In this embodiment, the seal ring cavities 71 are formed simultaneously with the peripheral device contact via cavities of FIG. 32 , and then both the seal ring cavities 71 and the peripheral device contact via cavities are made of an electrically conductive material. Filling forms respective peripheral device contact via structures 488 and seal ring structures 73 .

도 35를 참조하면, 유전체 패시베이션 층(990)이 시일 링 구조물들(73) 및 가드 링 구조물(78)의 상단 표면들 위에 형성될 수 있다. 유전체 패시베이션 층(990)은 수분, 수소, 및 금속 불순물들을 위한 확산 장벽 층으로서 기능할 수 있는 유전체 재료를 포함한다. 예를 들어, 유전체 패시베이션 층(990)은 실리콘 질화물을 포함할 수 있다. 유전체 패시베이션 층(990)의 두께는 30 nm 내지 300 nm 범위일 수 있지만, 더 작은 그리고 더 큰 두께들이 또한 채용될 수 있다.Referring to FIG. 35 , a dielectric passivation layer 990 may be formed over the top surfaces of the seal ring structures 73 and the guard ring structure 78 . Dielectric passivation layer 990 includes a dielectric material that can function as a diffusion barrier layer for moisture, hydrogen, and metal impurities. For example, dielectric passivation layer 990 may include silicon nitride. The thickness of the dielectric passivation layer 990 may range from 30 nm to 300 nm, although smaller and larger thicknesses may also be employed.

도 36을 참조하면, 접합 패드들(996)은 상부 레벨 금속 상호접속부 구조물들(980)의 각자의 하나 상에 유전체 패시베이션 층(990)을 통해 형성될 수 있다. 접합 패드들(996)은 금속-금속 접합(예컨대, 구리)에 대해 또는 솔더 볼 또는 접합 와이어와의 접합을 위해 채용될 수 있는 금속성 재료를 포함할 수 있다.Referring to FIG. 36 , bonding pads 996 may be formed through a dielectric passivation layer 990 on each one of the top level metal interconnect structures 980 . Bonding pads 996 may include a metallic material that may be employed for a metal-to-metal bond (eg, copper) or for bonding with a solder ball or bonding wire.

후속적으로, 기판(908)은 기판(908) 상의 다이싱 채널들을 따라 싱귤레이팅될 수 있다. 다이싱 채널들은 커프 영역들(500)일 수 있다. 기판(908)의 각각의 다이싱된 부분 및 그에 부착된 재료 부분들은 제1 수평 방향(hd1)에 평행한 한 쌍의 제1 측벽들 및 제2 수평 방향(hd2)에 평행한 한 쌍의 제2 측벽들을 가질 수 있는 반도체 다이를 구성한다.Subsequently, the substrate 908 may be singulated along the dicing channels on the substrate 908 . The dicing channels may be cuff regions 500 . Each of the diced portions of the substrate 908 and the material portions attached thereto have a pair of first sidewalls parallel to the first horizontal direction hd1 and a pair of second sidewalls parallel to the second horizontal direction hd2 . Construct a semiconductor die that may have two sidewalls.

도 17a 내지 도 36을 참조하고 본 개시내용의 다양한 실시예들에 따르면, 반도체 다이가 제공되고, 이는, 제1 수평 방향(hd1)을 따라 측방향으로 연장되고 제2 수평 방향을 따라 측방향으로 이격되는 기판(908) 상에 위치되는 절연 층들(132, 232) 및 전기 전도성 층들(146, 246)의 복수의 교번하는 스택들, 각자의 교번하는 스택{(132, 146), (232, 246)}을 통해 연장되는 메모리 스택 구조물들(55)의 복수의 세트들, 및 내측 측벽과 외측 측벽 사이의 제1 시일 링 폭(WT 또는 WTA)을 갖는 제1 시일 링 구조물(712)을 포함하는 복수의 네스팅된 밀봉 링 구조물들(73), 및 제1 시일 링 폭(WT 또는 WTA)이 제2 시일 링 폭(WI 또는 WO)보다 작도록 내측 측벽과 외측 측벽 사이의 제2 시일 링 폭(WI 또는 WO)을 갖는 제2 시일 링 구조물(711 또는 713)을 포함한다.17A-36 and in accordance with various embodiments of the present disclosure, a semiconductor die is provided, which laterally extends along a first horizontal direction hd1 and laterally along a second horizontal direction A plurality of alternating stacks of insulating layers 132 , 232 and electrically conductive layers 146 , 246 positioned on spaced-apart substrate 908 , respective alternating stacks { ( 132 , 146 ), ( 232 , 246 ) )); and a first seal ring structure (712) having a first seal ring width (WT or WTA) between the inner and outer sidewalls, and a plurality of sets of memory stack structures (55) extending through the a plurality of nested seal ring structures 73 , and a second seal ring width between the inner sidewall and the outer sidewall such that the first seal ring width WT or WTA is less than the second seal ring width WI or WO a second seal ring structure 711 or 713 having (WI or WO).

일 실시예에서, 복수의 네스팅된 시일 링 구조물들(73) 각각은, 반도체 다이의 제1 측벽들에 평행한(제1 수평 방향(hd1)에 평행할 수 있음) 제1 측벽 세그먼트들의 각자의 쌍; 및 반도체 다이의 제1 측벽들에 수직인(그리고 제2 수평 방향(hd2)에 평행할 수 있음) 제2 측벽 세그먼트들의 각자의 쌍을 포함한다.In one embodiment, each of the plurality of nested seal ring structures 73 comprises a respective one of the first sidewall segments parallel to the first sidewalls of the semiconductor die (which may be parallel to the first horizontal direction hd1 ). pair of; and a respective pair of second sidewall segments perpendicular to the first sidewalls of the semiconductor die (and may be parallel to the second horizontal direction hd2 ).

일 실시예에서, 제1 공극(742)이 제1 시일 링 구조물(732)의 제1 측벽 세그먼트들(752A) 중 적어도 하나 내부에서 연장된다.In one embodiment, a first void 742 extends within at least one of the first sidewall segments 752A of the first seal ring structure 732 .

일 실시예에서, 반도체 다이는 기판(908) 위에 놓이고 교번하는 스택들{(132, 146), (232, 246)}을 측방향으로 둘러싸는 유전체 재료 부분들(165, 265, 960), 및 대안적인 스택들 사이에 위치된 배면 트렌치 충전 구조물들(74, 76)을 추가로 포함한다. 복수의 네스팅된 시일 링 구조물들(73)은 유전체 재료 부분들(165, 265, 960)의 최상부 표면으로부터 기판(908)까지 연장되고, 교번하는 스택들{(132, 146), (232, 246)} 및 유전체 재료 부분들(165, 265, 960)의 내측 영역을 측방향으로 둘러싸고 봉입한다.In one embodiment, the semiconductor die overlies the substrate 908 and includes portions 165, 265, 960 of dielectric material that laterally surround alternating stacks {(132, 146), (232, 246)}; and back trench fill structures (74, 76) positioned between the alternative stacks. A plurality of nested seal ring structures 73 extend from a top surface of the dielectric material portions 165 , 265 , 960 to the substrate 908 , in alternating stacks { ( 132 , 146 ), ( 232 , 246)) and laterally surrounds and encloses the inner regions of the dielectric material portions 165 , 265 , 960 .

일 실시예에서, 제1 시일 링 구조물(732)의 제1 측벽 세그먼트들(752A)은 제1 시일 링 폭(예컨대, 중간 공동 제1 세그먼트 폭(WTA))을 가지며, 제1 시일 링 구조물(732)의 제2 측벽 세그먼트들(752B)은 제1 시일 링 폭보다 큰 추가의 시일 링 폭(예컨대, 중간 공동 제2 세그먼트 폭(WTB))을 갖는다.In one embodiment, the first sidewall segments 752A of the first seal ring structure 732 have a first seal ring width (eg, an intermediate cavity first segment width WTA), the first seal ring structure ( The second sidewall segments 752B of 732 have an additional seal ring width (eg, intermediate cavity second segment width WTB) that is greater than the first seal ring width.

일 실시예에서, 제1 공극(742A)은 (중간 공극 폭(V2)과 같은) 제1 공극 폭을 갖고, 제2 측벽 세그먼트들(752B) 각각은 도 34e에 예시된 바와 같이 제1 공극 폭(V2)보다 작은 공극 폭(V4)을 갖는 추가의 공극(742B)을 포함한다. 다른 실시예에서, 제2 측벽 세그먼트들(752B)은 공극이 없다.In one embodiment, first void 742A has a first void width (such as median void width V2 ), and each of the second sidewall segments 752B has a first void width as illustrated in FIG. 34E . and an additional void 742B having a void width V4 that is less than (V2). In another embodiment, the second sidewall segments 752B are void-free.

일 실시예에서, 제1 시일 링 구조물(732)의 전체가 제1 시일 링 폭(예컨대, 중간 공동 폭(WT))을 갖고, 제2 시일 링 구조물(예컨대, 내측 시일 링 구조물(731) 또는 외측 시일 링 구조물(733))의 전체가 도 34d에 예시된 바와 같이 제2 시일 링 폭(예컨대, 내측 공동 폭(WI) 또는 외측 공동 폭(WO))을 갖는다.In one embodiment, the entirety of the first seal ring structure 732 has a first seal ring width (eg, the intermediate cavity width WT) and the second seal ring structure (eg, the inner seal ring structure 731 ) or The entirety of outer seal ring structure 733 has a second seal ring width (eg, inner cavity width WI or outer cavity width WO) as illustrated in FIG. 34D .

일 실시예에서, 제1 공극(742)은 교번하는 스택들, 및 도 34c 및 도 34d에 예시된 구성에서 단일 연속 공극으로서 유전체 재료 부분들(165, 265, 960)의 내측 영역 주위에서 연속적으로 연장된다.In one embodiment, the first void 742 is continuous around the inner region of the dielectric material portions 165 , 265 , 960 as a single continuous void in alternating stacks, and the configuration illustrated in FIGS. 34C and 34D . is extended

일 실시예에서, 제1 공극(742)은 제1 시일 링 구조물(732)의 수직 범위의 적어도 80%인 수직 범위를 갖는다. 일 실시예에서, 제2 시일 링 구조물(예컨대, 내측 시일 링 구조물(731) 또는 외측 시일 링 구조물(733))은 제1 공극(742)보다 작은 시일 링 폭(예컨대, 외측 공극 폭(V3) 또는 내측 공극 폭(V1))을 갖는 제2 공극(741 또는 743)을 포함한다. 다른 실시예에서, 제2 시일 링 구조물(예컨대, 내측 시일 링 구조물(731) 또는 외측 시일 링 구조물(733))에는 내부에 공극이 없다.In one embodiment, the first void 742 has a vertical extent that is at least 80% of the vertical extent of the first seal ring structure 732 . In one embodiment, the second seal ring structure (eg, the inner seal ring structure 731 or the outer seal ring structure 733 ) has a smaller seal ring width (eg, the outer void width V3 ) than the first air gap 742 . or a second void 741 or 743 having an inner void width V1). In another embodiment, the second seal ring structure (eg, inner seal ring structure 731 or outer seal ring structure 733 ) has no voids therein.

일 실시예에서, 복수의 네스팅된 시일 링 구조물들(73)은 적어도 하나의 확산 장벽 재료의 제3 부분을 포함하고 내측 측벽과 그의 외측 측벽 사이에 제3 시일 링 폭(예컨대, 외측 공동 폭(WO) 또는 내측 공동 폭(WI))을 갖는 제3 시일 링 구조물(예컨대, 외측 시일 링 구조물(733) 또는 내측 시일 링 구조물(731))을 포함한다. 제1 시일 링 구조물(732)은 제2 시일 링 구조물(예컨대, 731 또는 733)과 제3 시일 링 구조물(예컨대, 733 또는 731) 사이에 위치되고, 제3 시일 링 폭은 제1 시일 링 폭보다 크다.In one embodiment, the plurality of nested seal ring structures 73 includes a third portion of at least one diffusion barrier material and includes a third seal ring width (eg, an outer cavity width) between the inner sidewall and the outer sidewall thereof. and a third seal ring structure (eg, outer seal ring structure 733 or inner seal ring structure 731 ) having (WO) or inner cavity width (WI). The first seal ring structure 732 is positioned between the second seal ring structure (eg, 731 or 733) and the third seal ring structure (eg, 733 or 731), the third seal ring width being the first seal ring width bigger than

일 실시예에서, 복수의 네스팅된 시일 링 구조물들(73)은 텅스텐, 전도성 금속 질화물, 또는 실리콘 질화물로부터 선택되는 적어도 하나의 확산 장벽 재료를 포함한다.In one embodiment, the plurality of nested seal ring structures 73 include at least one diffusion barrier material selected from tungsten, conductive metal nitride, or silicon nitride.

일 실시예에서, 메모리 스택 구조물들(55) 각각은 복수의 교번하는 스택들{(132, 232), (146, 246)}의 각자의 하나 내의 다수의 전기 전도성 층들(146, 246)을 통해 연장되는 메모리 필름(50), 및 메모리 필름(50)과 접촉하는 수직 반도체 채널(60)을 포함한다.In one embodiment, each of the memory stack structures 55 is provided via a plurality of electrically conductive layers 146 , 246 in a respective one of a plurality of alternating stacks { ( 132 , 232 , ( 146 , 246 ) ). a memory film 50 extending therefrom, and a vertical semiconductor channel 60 in contact with the memory film 50 .

공극(742)을 포함하는 각각의 시일 링 구조물(73)은 기계적 응력 하에서 공극이 변형됨으로써 메모리 어레이 영역들(100) 및 계단 영역들(200) 내의 전기 전도성 층들(146, 246)에 의해 및/또는 시일 링 구조물들(73)에 의해 생성되는 기계적 응력을 감소시키거나 균형화하는 데 사용될 수 있다. 따라서, 시일 링 구조물(73) 내의 공극(들)은 유리하게는 기판(908)의 휨을 감소시키기 위해 채용될 수 있다. 다른 기판에 대한 기판(908)의 접합은 웨이퍼 휨의 감소에 의해 용이하게 될 수 있다. 이 경우에, 반도체 다이의 다이싱은 기판(908)을 포함하는 웨이퍼와 그 위의 디바이스들이 다른 웨이퍼에 접합된 후에 수행될 수 있다. 따라서, 시일 링 구조물들(73)의 사용을 통한 기판의 감소된 휨은 더 적은 휨을 갖는 반도체 다이들의 제조, 및 증가된 다이 수율 및 디바이스 신뢰성을 용이하게 하기 위해 다양한 방식들로 활용될 수 있다.Each seal ring structure 73 comprising a void 742 is deformed under mechanical stress by the electrically conductive layers 146 , 246 in the memory array regions 100 and step regions 200 and/or by deformation of the void under mechanical stress. Alternatively, it may be used to reduce or balance the mechanical stress generated by the seal ring structures 73 . Accordingly, the void(s) in the seal ring structure 73 may advantageously be employed to reduce warpage of the substrate 908 . Bonding of substrates 908 to other substrates may be facilitated by reduced wafer warpage. In this case, dicing of the semiconductor die may be performed after the wafer including the substrate 908 and the devices thereon are bonded to another wafer. Accordingly, reduced warpage of the substrate through the use of seal ring structures 73 can be utilized in a variety of ways to facilitate fabrication of semiconductor dies with less warpage, and increased die yield and device reliability.

전술한 내용이 특정한 실시예들을 언급하지만, 본 개시는 그렇게 제한되지 않는다는 것이 이해될 것이다. 다양한 수정들이 개시된 실시예들에 대해 이루어질 수 있고 그러한 수정들은 본 개시의 범위 내에 있도록 의도된다는 것이 당업자에게 떠오를 것이다. 서로 대안이 아닌 모든 실시예들 사이에서 호환성이 추정된다. 단어 "포함한다(comprise 또는 include)"는, 달리 명시적으로 언급되지 않는 한, 단어 "~로 본질적으로 이루어진다(consist essentially of)" 또는 단어 "~로 이루어진다"가 단어 "포함하다"를 대체하는 모든 실시예들을 고려한다. 특정한 구조 및/또는 구성을 사용하는 실시예가 본 개시에 예시되는 경우, 본 개시는 기능적으로 등가인 임의의 다른 호환가능한 구조물들 및/또는 구성들로 실시될 수 있다 - 그러한 대체가 명백히 금지되거나 달리 당업자에게 불가능한 것으로 알려져 있지 않다면 -는 것이 이해된다. 본 명세서에서 인용된 모든 간행물, 특허 출원 및 특허는 전체적으로 본 명세서에 참고로 포함된다.Although the foregoing refers to specific embodiments, it will be understood that the present disclosure is not so limited. It will occur to those skilled in the art that various modifications may be made to the disclosed embodiments and that such modifications are intended to be within the scope of the present disclosure. Compatibility is assumed between all embodiments that are not alternatives to each other. The word “comprise or include” means that, unless explicitly stated otherwise, the word “consist essentially of” or the word “consisting of” replaces the word “comprise”. All embodiments are considered. Where an embodiment using a particular structure and/or configuration is illustrated in this disclosure, the disclosure may be practiced with any other compatible structures and/or configurations that are functionally equivalent - such substitution is expressly prohibited or otherwise. It is understood that - unless it is known to the person skilled in the art as impossible. All publications, patent applications and patents cited herein are incorporated herein by reference in their entirety.

Claims (40)

반도체 다이로서,
기판 위에 위치되고 제1 수평 방향을 따라 측방향으로 연장되는 복수의 분할기 트렌치 충전 구조물들에 의해 측방향으로 이격된 절연 층들 및 전기 전도성 층들의 복수의 교번하는 스택들 - 상기 복수의 교번하는 스택들 및 상기 복수의 분할기 트렌치 충전 구조물들은 상기 제1 수평 방향에 수직인 제2 수평 방향을 따라 교번하여 인터레이싱됨 -;
메모리 스택 구조물들의 복수의 세트들 - 상기 메모리 스택 구조물들의 각각의 세트는 상기 복수의 교번하는 스택들의 각자의 교번하는 스택을 통해 수직으로 연장됨 -; 및
상기 복수의 교번하는 스택들의 적어도 2개의 이웃하는 교번하는 스택들의 세트의 상기 제2 수평 방향을 따른 측방향 범위보다 큰 측방향 거리만큼 상기 제2 수평 방향을 따라 측방향으로 연장되는 복수의 슬릿 트렌치 충전 구조물들을 포함하고,
상기 복수의 분할기 트렌치 충전 구조물들 각각 및 상기 복수의 슬릿 트렌치 충전 구조물들 각각은 동일한 재료 조성을 갖는 적어도 하나의 재료 부분의 각자의 세트를 포함하는, 반도체 다이.
A semiconductor die comprising:
a plurality of alternating stacks of insulating and electrically conductive layers positioned over a substrate and laterally spaced apart by a plurality of divider trench fill structures extending laterally along a first horizontal direction, the plurality of alternating stacks and the plurality of divider trench fill structures are alternately interlaced along a second horizontal direction perpendicular to the first horizontal direction;
a plurality of sets of memory stack structures, each set of memory stack structures extending vertically through a respective alternating stack of the plurality of alternating stacks; and
a plurality of slit trenches extending laterally along the second horizontal direction by a lateral distance greater than a lateral extent along the second horizontal direction of at least two neighboring sets of alternating stacks of the plurality of alternating stacks filling structures,
wherein each of the plurality of divider trench fill structures and each of the plurality of slit trench fill structures comprises a respective set of at least one material portion having the same material composition.
제1항에 있어서, 상기 복수의 슬릿 트렌치 충전 구조물 각각은 상기 복수의 분할기 트렌치 충전 구조물들의 영역 외부에 위치되고, 상기 복수의 분할기 트렌치 충전 구조물들에 인접하지 않는, 반도체 다이.The semiconductor die of claim 1 , wherein each of the plurality of slit trench fill structures is located outside a region of the plurality of divider trench fill structures and is not adjacent to the plurality of divider trench fill structures. 제1항에 있어서, 상기 복수의 분할기 트렌치 충전 구조물들 각각은 상기 제1 수평 방향을 따라 측방향으로 연장되는 상기 복수의 교번하는 스택들의 적어도 하나의 교번하는 스택의 측벽들과 접촉하는, 반도체 다이.The semiconductor die of claim 1 , wherein each of the plurality of divider trench fill structures is in contact with sidewalls of at least one alternating stack of the plurality of alternating stacks extending laterally along the first horizontal direction. . 제3항에 있어서, 상기 복수의 슬릿 트렌치 충전 구조물들은 상기 절연 층들 및 전기 전도성 층들의 복수의 교번하는 스택들 중 임의의 것과 직접 접촉하지 않는, 반도체 다이.The semiconductor die of claim 3 , wherein the plurality of slit trench fill structures do not directly contact any of the plurality of alternating stacks of insulating and electrically conductive layers. 제1항에 있어서, 상기 제1 수평 방향을 따라 상기 절연 층들 및 전기 전도성 층들의 복수의 교번하는 스택들로부터 측방향으로 이격된 절연 층들 및 전기 전도성 층들의 추가의 복수의 교번하는 스택들을 추가로 포함하고, 상기 복수의 슬릿 트렌치 충전 구조물들 중 하나는 상기 복수의 교번하는 스택들과 상기 추가의 복수의 교번하는 스택들 사이에 위치되는, 반도체 다이.The method of claim 1 , further comprising a further plurality of alternating stacks of insulating layers and electrically conductive layers laterally spaced apart from the plurality of alternating stacks of insulating layers and electrically conductive layers along the first horizontal direction. wherein one of the plurality of slit trench fill structures is positioned between the plurality of alternating stacks and the further plurality of alternating stacks. 제1항에 있어서, 상기 반도체 다이의 주변부로부터 내측으로 측방향으로 오프셋되고 상기 복수의 교번하는 스택들 및 상기 복수의 분할기 트렌치 충전 구조물들을 측방향으로 봉입(enclosing)하는 시일 링 구조물을 추가로 포함하고, 상기 슬릿 트렌치 충전 구조물들 중 적어도 하나는 상기 시일 링 구조물의 외부에 위치되는, 반도체 다이.5. The semiconductor die of claim 1, further comprising a seal ring structure laterally offset inward from a periphery of the semiconductor die and laterally enclosing the plurality of alternating stacks and the plurality of divider trench fill structures. and at least one of the slit trench fill structures is located external to the seal ring structure. 제1항에 있어서, 상기 슬릿 트렌치 충전 구조물들 각각은 길이 대 폭 비가 30 초과인 직사각형 수평 단면적을 갖는, 반도체 다이.The semiconductor die of claim 1 , wherein each of the slit trench fill structures has a rectangular horizontal cross-sectional area with a length to width ratio greater than 30. 제1항에 있어서, 상기 복수의 분할기 트렌치 충전 구조물들 각각 및 상기 복수의 슬릿 트렌치 충전 구조물들 각각 내의 적어도 하나의 재료 부분의 각각의 세트는,
유전체 재료를 포함하고, 상기 복수의 분할기 트렌치 충전 구조물들 및 상기 복수의 슬릿 트렌치 충전 구조물들의 각자의 하나의 전체 높이를 통해 수직으로 연장되는 유전체 스페이서; 및
적어도 하나의 전도성 재료를 포함하고 상기 유전체 스페이서에 의해 측방향으로 둘러싸인 전도성 충전 재료 부분을 포함하는, 반도체 다이.
The method of claim 1 , wherein each set of at least one material portion within each of the plurality of divider trench fill structures and each of the plurality of slit trench fill structures comprises:
a dielectric spacer comprising a dielectric material and extending vertically through the entire height of a respective one of the plurality of divider trench fill structures and the plurality of slit trench fill structures; and
and a portion of conductive fill material comprising at least one conductive material and laterally surrounded by the dielectric spacer.
제8항에 있어서, 상기 복수의 슬릿 트렌치 충전 구조물들의 상기 전도성 충전 재료 부분들 중 적어도 하나는 전기적으로 플로팅(floating)하는, 반도체 다이.The semiconductor die of claim 8 , wherein at least one of the conductive fill material portions of the plurality of slit trench fill structures is electrically floating. 제1항에 있어서,
상기 기판 상에 위치되고 상기 복수의 교번하는 스택들과 직접 접촉하지 않는 전계 효과 트랜지스터들; 및
상기 전계 효과 트랜지스터들 위에 놓이는 에칭 정지 유전체 층을 추가로 포함하고, 상기 복수의 슬릿 트렌치 충전 구조물들 중 적어도 하나는 상기 에칭 정지 유전체 층 위에 놓이고 상기 에칭 정지 유전체 층과 접촉하는, 반도체 다이.
According to claim 1,
field effect transistors located on the substrate and not in direct contact with the plurality of alternating stacks; and
and an etch stop dielectric layer overlying the field effect transistors, wherein at least one of the plurality of slit trench fill structures overlies and contacts the etch stop dielectric layer.
제1항에 있어서,
상기 기판은 복수의 도핑된 반도체 재료 부분들을 포함하는 반도체 재료 층을 포함하고;
상기 복수의 분할기 트렌치 충전 구조물들 각각은 상기 복수의 도핑된 반도체 재료 부분들의 각자의 하나와 접촉하는, 반도체 다이.
According to claim 1,
the substrate comprises a semiconductor material layer comprising a plurality of doped semiconductor material portions;
wherein each of the plurality of divider trench fill structures is in contact with a respective one of the plurality of doped semiconductor material portions.
제1항에 있어서, 상기 메모리 스택 구조물들 각각은,
절연 층들 및 전기 전도성 층들의 복수의 교번하는 스택들의 각자의 하나 내의 다수의 전기 전도성 층들을 통해 연장되는 메모리 필름; 및
상기 메모리 필름과 접촉하는 수직 반도체 채널을 포함하는, 반도체 다이.
The method of claim 1 , wherein each of the memory stack structures comprises:
a memory film extending through the plurality of electrically conductive layers in a respective one of the plurality of alternating stacks of insulating layers and electrically conductive layers; and
and a vertical semiconductor channel in contact with the memory film.
제1항에 있어서, 상기 복수의 교번하는 스택들의 각각의 교번하는 스택은, 전기 전도성 층들이 상기 기판으로부터 수직 거리의 증가에 따라 감소하는 측방향 범위들을 갖는 각자의 계단 영역을 포함하는, 반도체 다이.The semiconductor die of claim 1 , wherein each alternating stack of the plurality of alternating stacks includes a respective stepped region having lateral extents in which the electrically conductive layers decrease with increasing vertical distance from the substrate. . 반도체 구조물을 형성하는 방법으로서,
기판 위에 연속적인 절연 층들 및 연속적인 희생 재료 층들의 수직으로 교번하는 시퀀스를 형성하는 단계;
메모리 스택 구조물들의 복수의 세트들을 형성하는 단계 - 상기 메모리 스택 구조물들의 각각의 세트는 상기 수직으로 교번하는 시퀀스의 각자의 영역을 통해 수직으로 연장됨 -;
분할기 트렌치들 및 슬릿 트렌치들을 형성하는 단계 - 상기 분할기 트렌치들은 제1 수평 방향을 따라 측방향으로 연장되고, 상기 수직으로 교번하는 시퀀스를 절연 층들 및 희생 재료 층들의 복수의 교번하는 스택들로 분할하고, 상기 슬릿 트렌치들은 상기 제1 수평 방향에 수직인 제2 수평 방향을 따라 측방향으로 연장됨 -;
상기 복수의 교번하는 스택들 내의 상기 희생 재료 층들을, 상기 희생 재료 층들을 에칭하는 에천트 및 상기 전기 전도성 층들의 전도성 재료를 침착하는 반응물에 대한 도관으로서 상기 분할기 트렌치들을 이용하는 전기 전도성 층들로 대체하는 단계; 및
상기 분할기 트렌치들 및 상기 슬릿 트렌치들 각각에 하나 이상의 재료의 세트를 침착하는 단계를 포함하고, 복수의 분할기 트렌치 충전 구조물들이 상기 분할기 트렌치들 내에 형성되고, 복수의 슬릿 트렌치 충전 구조물들이 상기 슬릿 트렌치들 내에 형성되는, 방법.
A method of forming a semiconductor structure comprising:
forming a vertically alternating sequence of successive insulating layers and successive sacrificial material layers over a substrate;
forming a plurality of sets of memory stack structures, each set of memory stack structures extending vertically through a respective region of the vertically alternating sequence;
forming divider trenches and slit trenches, the divider trenches extending laterally along a first horizontal direction, dividing the vertically alternating sequence into a plurality of alternating stacks of insulating layers and sacrificial material layers; , the slit trenches extend laterally along a second horizontal direction perpendicular to the first horizontal direction;
replacing the sacrificial material layers in the plurality of alternating stacks with electrically conductive layers that use the divider trenches as conduits for an etchant that etches the sacrificial material layers and a reactant that deposits the conductive material of the electrically conductive layers step; and
depositing one or more sets of material in each of the divider trenches and the slit trenches, wherein a plurality of divider trench fill structures are formed in the divider trenches, and a plurality of slit trench fill structures are formed in the slit trenches. formed in the method.
제14항에 있어서, 상기 슬릿 트렌치들은 상기 복수의 교번하는 스택들과 접촉하지 않는, 방법.15. The method of claim 14, wherein the slit trenches do not contact the plurality of alternating stacks. 제14항에 있어서,
상기 수직으로 교번하는 시퀀스의 주변 부분들에 계단형 표면들을 형성하는 단계; 및
상기 계단형 표면들 위에 유전체 재료 부분을 형성하는 단계를 추가로 포함하고, 상기 슬릿 트렌치들 중 적어도 하나는 상기 유전체 재료 부분을 통해 형성되는, 방법.
15. The method of claim 14,
forming stepped surfaces in peripheral portions of the vertically alternating sequence; and
and forming a portion of dielectric material over the stepped surfaces, wherein at least one of the slit trenches is formed through the portion of dielectric material.
제16항에 있어서,
상기 기판 상에 전계 효과 트랜지스터들을 형성하는 단계; 및
상기 전계 효과 트랜지스터들 위에 에칭 정지 유전체 층을 형성하는 단계를 추가로 포함하고, 상기 유전체 재료 부분은 상기 에칭 정지 유전체 층 위에 놓이고 상기 에칭 정지 유전체 층과 접촉하고, 상기 슬릿 트렌치들 중 하나는 상기 유전체 재료 부분을 통해 형성되고 상기 에칭 정지 유전체 층의 표면으로 연장되는, 방법.
17. The method of claim 16,
forming field effect transistors on the substrate; and
further comprising forming an etch stop dielectric layer over the field effect transistors, wherein the portion of dielectric material overlies and contacts the etch stop dielectric layer, wherein one of the slit trenches comprises the A method formed through a portion of dielectric material and extending to a surface of the etch stop dielectric layer.
제14항에 있어서, 상기 복수의 분할기 트렌치 충전 구조물들과 상기 복수의 슬릿 트렌치 충전 구조물들의 형성 후에 시일 링 구조물을 형성하는 단계를 추가로 포함하고, 상기 시일 링 구조물은 상기 절연 층들 및 상기 전기 전도성 층들의 교번하는 스택들을 측방향으로 봉입하고, 상기 슬릿 트렌치 충전 구조물들 중 적어도 하나는 상기 시일 링 구조물의 외부에 위치되는, 방법.15. The method of claim 14, further comprising: forming a seal ring structure after formation of the plurality of divider trench fill structures and the plurality of slit trench fill structures, the seal ring structure comprising the insulating layers and the electrically conductive and laterally encapsulating alternating stacks of layers, wherein at least one of the slit trench fill structures is located external to the seal ring structure. 제14항에 있어서, 상기 복수의 분할기 트렌치 충전 구조물들 각각 및 상기 복수의 슬릿 트렌치 충전 구조물들 각각 내의 상기 적어도 하나의 재료의 세트는,
유전체 재료를 포함하고, 상기 복수의 분할기 트렌치 충전 구조물들 및 상기 복수의 슬릿 트렌치 충전 구조물들 각자의 하나의 전체 높이를 통해 수직으로 연장되는 유전체 스페이서; 및
적어도 하나의 전도성 재료를 포함하고 상기 유전체 스페이서에 의해 측방향으로 둘러싸인 전도성 충전 재료 부분을 포함하는, 방법.
15. The method of claim 14, wherein the set of at least one material in each of the plurality of divider trench fill structures and each of the plurality of slit trench fill structures comprises:
a dielectric spacer comprising a dielectric material and extending vertically through an entire height of the plurality of divider trench fill structures and one of each of the plurality of slit trench fill structures; and
a conductive fill material portion comprising at least one conductive material and laterally surrounded by the dielectric spacer.
제19항에 있어서,
상기 기판은 복수의 도핑된 반도체 재료 부분들을 포함하는 반도체 재료 층을 포함하고;
상기 복수의 분할기 트렌치 충전 구조물들 각각은 상기 복수의 도핑된 반도체 재료 부분들의 각자의 하나 상에 형성되는, 방법.
20. The method of claim 19,
the substrate comprises a semiconductor material layer comprising a plurality of doped semiconductor material portions;
wherein each of the plurality of divider trench fill structures is formed on a respective one of the plurality of doped semiconductor material portions.
반도체 다이로서,
기판 위에 위치되고 제1 수평 방향을 따라 측방향으로 연장되고 상기 제1 수평 방향에 수직인 제2 수평 방향을 따라 측방향으로 이격되는 절연 층들 및 전기 전도성 층들의 복수의 교번하는 스택들;
상기 복수의 교번하는 스택들을 통해 연장되는 메모리 스택 구조물들의 복수의 세트들; 및
복수의 네스팅된 시일 링 구조물들을 포함하고, 상기 복수의 네스팅된 시일 링 구조물들은,
내측 측벽과 외측 측벽 사이의 제1 시일 링 폭을 갖는 제1 시일 링 구조물; 및
내측 측벽과 외측 측벽 사이의 제2 시일 링 폭을 갖는 제2 시일 링 구조물을 포함하고, 상기 제1 시일 링 폭은 상기 제2 시일 링 폭보다 작은, 반도체 다이.
A semiconductor die comprising:
a plurality of alternating stacks of electrically conductive layers and insulating layers positioned over the substrate and extending laterally along a first horizontal direction and laterally spaced apart along a second horizontal direction perpendicular to the first horizontal direction;
a plurality of sets of memory stack structures extending through the plurality of alternating stacks; and
a plurality of nested seal ring structures, the plurality of nested seal ring structures comprising:
a first seal ring structure having a first seal ring width between the inner sidewall and the outer sidewall; and
a second seal ring structure having a second seal ring width between the inner sidewall and the outer sidewall, wherein the first seal ring width is less than the second seal ring width.
제21항에 있어서, 상기 복수의 네스팅된 시일 링 구조물들 각각은 상기 반도체 다이의 제1 측벽들에 평행한 제1 측벽 세그먼트들의 각자의 쌍 및 상기 반도체 다이의 상기 제1 측벽들에 수직인 제2 측벽 세그먼트들의 각자의 쌍을 포함하는, 반도체 다이.22. The semiconductor die of claim 21, wherein each of the plurality of nested seal ring structures is perpendicular to the first sidewalls of the semiconductor die and a respective pair of first sidewall segments parallel to the first sidewalls of the semiconductor die. and a respective pair of second sidewall segments. 제22항에 있어서, 상기 제1 시일 링 구조물의 상기 제1 측벽 세그먼트들 중 적어도 하나 내부에서 연장되는 제1 공극을 추가로 포함하는, 반도체 다이.23. The semiconductor die of claim 22, further comprising a first void extending within at least one of the first sidewall segments of the first seal ring structure. 제22항에 있어서,
상기 기판 위에 놓이고 상기 교번하는 스택들을 측방향으로 둘러싸는 유전체 재료 부분들; 및
상기 대안적인 스택들 사이에 위치된 배면 트렌치 충전 구조물들을 더 포함하고,
상기 복수의 네스팅된 시일 링 구조물들은 상기 유전체 재료 부분들의 최상부 표면으로부터 상기 기판까지 연장되고, 상기 교번하는 스택들 및 상기 유전체 재료 부분들의 내측 영역을 측방향으로 둘러싸고 봉입하는, 반도체 다이.
23. The method of claim 22,
portions of dielectric material overlying the substrate and laterally surrounding the alternating stacks; and
further comprising back trench fill structures positioned between the alternative stacks;
wherein the plurality of nested seal ring structures extend from a top surface of the portions of dielectric material to the substrate and laterally surround and encapsulate the alternating stacks and inner regions of the portions of dielectric material.
제23항에 있어서, 상기 제2 시일 링 구조물은 상기 제1 공극보다 작은 폭을 갖는 제2 공극을 포함하는, 반도체 다이.24. The semiconductor die of claim 23, wherein the second seal ring structure includes a second void having a width less than the first void. 제23항에 있어서, 상기 제2 시일 링 구조는 내부에 공극이 없는, 반도체 다이.24. The semiconductor die of claim 23, wherein the second seal ring structure has no voids therein. 제23항에 있어서,
상기 제1 시일 링 구조물의 상기 제1 측벽 세그먼트들은 상기 제1 시일 링 폭을 갖고;
상기 제1 시일 링 구조물의 제2 측벽 세그먼트들은 상기 제1 시일 링 폭보다 큰 추가의 시일 링 폭을 갖는, 반도체 다이.
24. The method of claim 23,
the first sidewall segments of the first seal ring structure have the first seal ring width;
and the second sidewall segments of the first seal ring structure have an additional seal ring width that is greater than the first seal ring width.
제27항에 있어서,
상기 제1 공극은 제1 공극 폭을 갖고;
상기 제2 측벽 세그먼트들 각각은 상기 제1 공극 폭보다 작은 제2 공극 폭을 갖는 제2 공극을 포함하는, 반도체 다이.
28. The method of claim 27,
the first void has a first void width;
wherein each of the second sidewall segments comprises a second void having a second void width less than the first void width.
제27항에 있어서, 상기 제2 측벽 세그먼트들 각각은 공극이 없는, 반도체 다이.28. The semiconductor die of claim 27, wherein each of the second sidewall segments is void-free. 제23항에 있어서, 상기 제1 공극은 상기 교번하는 스택들 및 상기 유전체 재료 부분들의 내측 영역 주위에서 단일의 연속적인 공극으로서 연속적으로 연장되고, 상기 제1 공극은 상기 제1 시일 링 구조물의 수직 범위의 적어도 80%인 수직 범위를 갖는, 반도체 다이.24. The method of claim 23, wherein said first void extends continuously as a single continuous void around an inner region of said alternating stacks and said dielectric material portions, said first void being perpendicular to said first seal ring structure. A semiconductor die having a vertical extent that is at least 80% of the extent. 제21항에 있어서,
상기 복수의 네스팅된 시일 링 구조물들은, 상기 적어도 하나의 확산 장벽 재료의 제3 부분을 포함하고 내측 측벽과 외측 측벽 사이에 제3 시일 링 폭을 갖는 제3 시일 링 구조물을 포함하고;
상기 제1 시일 링 구조물은 상기 제2 시일 링 구조물과 상기 제3 시일 링 구조물 사이에 위치되고;
상기 제3 시일 링 폭은 상기 제1 시일 링 폭보다 큰, 반도체 다이.
22. The method of claim 21,
wherein the plurality of nested seal ring structures include a third seal ring structure comprising a third portion of the at least one diffusion barrier material and having a third seal ring width between the inner sidewall and the outer sidewall;
the first seal ring structure is located between the second seal ring structure and the third seal ring structure;
and the third seal ring width is greater than the first seal ring width.
제21항에 있어서, 상기 복수의 네스팅된 시일 링 구조물들은 텅스텐, 전도성 금속 질화물, 또는 실리콘 질화물로부터 선택되는 적어도 하나의 확산 장벽 재료를 포함하는, 반도체 다이.22. The semiconductor die of claim 21, wherein the plurality of nested seal ring structures comprise at least one diffusion barrier material selected from tungsten, conductive metal nitride, or silicon nitride. 제21항에 있어서, 상기 메모리 스택 구조물들 각각은,
상기 복수의 교번하는 것의 각자의 하나 내의 다수의 전기 전도성 층들을 통해 연장되는 메모리 필름; 및
상기 메모리 필름과 접촉하는 수직 반도체 채널을 포함하는, 반도체 다이.
22. The method of claim 21, wherein each of the memory stack structures comprises:
a memory film extending through a plurality of electrically conductive layers in a respective one of the plurality of alternating; and
and a vertical semiconductor channel in contact with the memory film.
반도체 구조물을 형성하는 방법으로서,
기판 위에 연속적인 절연 층들 및 연속적인 희생 재료 층들의 수직으로 교번하는 시퀀스를 형성하는 단계;
상기 수직으로 교번하는 시퀀스의 각자의 영역을 통해 연장되는 메모리 스택 구조물들의 복수의 세트들을 형성하는 단계;
상기 수직으로 교번하는 시퀀스를 통해 제1 수평 방향을 따라 측방향으로 연장되는 배면 트렌치들을 형성함으로써 상기 수직으로 교번하는 시퀀스를 절연 층들 및 희생 재료 층들의 복수의 교번하는 스택들로 분할하는 단계;
상기 희생 재료 층들을, 상기 희생 재료 층들을 에칭하는 에천트 및 상기 전기 전도성 층들의 전도성 재료를 침착하는 반응물에 대한 도관으로서 상기 배면 트렌치들을 이용하는 전기 전도성 층들로 대체하는 단계;
상기 절연 층들 및 상기 전기 전도성 층들 위에 유전체 재료 부분들을 형성하는 단계; 및
상기 유전체 재료 부분들의 최상부 표면으로부터 상기 기판까지 연장되고, 상기 교번하는 스택들 및 상기 유전체 재료 부분들의 내측 영역을 측방향으로 둘러싸고 봉입하는 복수의 네스팅된 시일 링 구조물들을 형성하는 단계를 포함하고,
상기 복수의 네스팅된 시일 링 구조물들은,
내측 측벽과 외측 측벽 사이의 제1 시일 링 폭을 갖는 제1 시일 링 구조물; 및
상기 제1 시일 링 구조물을 측방향으로 봉입하거나 상기 제1 시일 링 구조물에 의해 측방향으로 봉입되고, 내측 측벽과 외측 측벽 사이의 제2 시일 링 폭을 갖는 제2 시일 링 구조물을 포함하고, 상기 제1 시일 링 폭은 상기 제2 시일 링 폭보다 작은, 방법.
A method of forming a semiconductor structure comprising:
forming a vertically alternating sequence of successive insulating layers and successive sacrificial material layers over a substrate;
forming a plurality of sets of memory stack structures extending through respective regions of the vertically alternating sequence;
dividing the vertically alternating sequence into a plurality of alternating stacks of insulating layers and sacrificial material layers by forming backside trenches extending laterally along a first horizontal direction through the vertically alternating sequence;
replacing the sacrificial material layers with electrically conductive layers using the backside trenches as conduits for an etchant that etches the sacrificial material layers and a reactant that deposits the conductive material of the electrically conductive layers;
forming portions of dielectric material over the insulating layers and the electrically conductive layers; and
forming a plurality of nested seal ring structures extending from a top surface of the dielectric material portions to the substrate and laterally surrounding and enclosing the alternating stacks and an inner region of the dielectric material portions;
The plurality of nested seal ring structures,
a first seal ring structure having a first seal ring width between the inner sidewall and the outer sidewall; and
a second seal ring structure laterally enclosing or laterally encapsulated by the first seal ring structure, the second seal ring structure having a second seal ring width between an inner sidewall and an outer sidewall; wherein the first seal ring width is less than the second seal ring width.
제34항에 있어서, 제1 시일 링 구조물은 내부에 제1 공극을 갖는, 방법.35. The method of claim 34, wherein the first seal ring structure has a first void therein. 제35항에 있어서,
상기 유전체 재료 부분들의 상기 최상부 표면으로부터 상기 기판으로 연장되는 복수의 네스팅된 시일 링 공동들을 형성하는 단계; 및
상기 복수의 네스팅된 시일 링 공동들 각각 내에 적어도 하나의 확산 장벽 재료를 침착하는 단계를 추가로 포함하고, 상기 복수의 네스팅된 시일 링 구조물들은 상기 복수의 네스팅된 시일 링 공동들 내에 침착되는 상기 적어도 하나의 확산 장벽 재료의 부분들을 포함하는, 방법.
36. The method of claim 35,
forming a plurality of nested seal ring cavities extending from the top surface of the portions of dielectric material to the substrate; and
further comprising depositing at least one diffusion barrier material within each of the plurality of nested seal ring cavities, wherein the plurality of nested seal ring structures are deposited within the plurality of nested seal ring cavities. portions of the at least one diffusion barrier material being
제35항에 있어서, 상기 복수의 네스팅된 시일 링 구조물들 각각은,
상기 반도체 다이의 제1 측벽들에 평행한 제1 측벽 세그먼트들의 각자의 쌍; 및
상기 반도체 다이의 상기 제1 측벽들에 수직인 제2 측벽 세그먼트들의 각자의 쌍을 포함하는, 방법.
36. The method of claim 35, wherein each of the plurality of nested seal ring structures comprises:
a respective pair of first sidewall segments parallel to first sidewalls of the semiconductor die; and
and a respective pair of second sidewall segments perpendicular to the first sidewalls of the semiconductor die.
제37항에 있어서, 상기 제1 공극은 적어도 하나의 제1 측벽 세그먼트 내부에서 연장되는, 방법.38. The method of claim 37, wherein the first void extends within the at least one first sidewall segment. 제37항에 있어서,
상기 제1 시일 링 구조물의 상기 제1 측벽 세그먼트들은 상기 제1 시일 링 폭을 갖고;
상기 제1 시일 링 구조물의 제2 측벽 세그먼트들은 상기 제1 시일 링 폭보다 큰 추가의 시일 링 폭을 갖는, 방법.
38. The method of claim 37,
the first sidewall segments of the first seal ring structure have the first seal ring width;
and the second sidewall segments of the first seal ring structure have an additional seal ring width that is greater than the first seal ring width.
제35항에 있어서,
상기 제1 공극은 제1 공극 폭을 갖고;
상기 제2 측벽 세그먼트들 각각은 내부에 공극이 없거나, 또는 상기 제1 공극 폭보다 작은 제2 공극 폭을 갖는 제2 공극을 포함하는, 방법.
36. The method of claim 35,
the first void has a first void width;
wherein each of the second sidewall segments comprises a second void having no void therein, or having a second void width less than the first void width.
KR1020217019755A 2019-10-07 2020-03-29 Three-dimensional memory die comprising stress-compensating slit trench structures or stress-absorbing seal ring structures and method of manufacturing the same KR102591927B1 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US16/594,892 2019-10-07
US16/594,959 2019-10-07
US16/594,892 US11289429B2 (en) 2019-10-07 2019-10-07 Three-dimensional memory die containing stress-compensating slit trench structures and methods for making the same
US16/594,959 US11069631B2 (en) 2019-10-07 2019-10-07 Three-dimensional memory die containing stress-compensating slit trench structures and methods for making the same
PCT/US2020/025606 WO2021071545A1 (en) 2019-10-07 2020-03-29 Three-dimensional memory die containing stress-compensating slit trench structures or stress-absorbing seal ring structures and methods for making the same

Publications (2)

Publication Number Publication Date
KR20210082272A true KR20210082272A (en) 2021-07-02
KR102591927B1 KR102591927B1 (en) 2023-10-23

Family

ID=75438022

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217019755A KR102591927B1 (en) 2019-10-07 2020-03-29 Three-dimensional memory die comprising stress-compensating slit trench structures or stress-absorbing seal ring structures and method of manufacturing the same

Country Status (2)

Country Link
KR (1) KR102591927B1 (en)
WO (1) WO2021071545A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230143787A (en) * 2022-04-06 2023-10-13 삼성전자주식회사 Semiconductor devices and data storage systems including the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150303214A1 (en) * 2014-04-17 2015-10-22 Samsung Electronics Co., Ltd. Vertical memory devices
US20160079255A1 (en) * 2014-09-12 2016-03-17 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory device and method for manufacturing same
US20170103995A1 (en) * 2015-10-07 2017-04-13 Kabushiki Kaisha Toshiba Semiconductor device
US20190006384A1 (en) * 2017-06-28 2019-01-03 Toshiba Memory Corporation Semiconductor memory device

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4982540B2 (en) * 2009-09-04 2012-07-25 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
US20160268294A1 (en) * 2015-03-13 2016-09-15 Kabushiki Kaisha Toshiba Semiconductor device and method for forming pattern in conductive layer
US10014309B2 (en) * 2016-08-09 2018-07-03 Micron Technology, Inc. Methods of forming an array of elevationally-extending strings of memory cells comprising a programmable charge storage transistor and arrays of elevationally-extending strings of memory cells comprising a programmable charge storage transistor
JP2019041061A (en) * 2017-08-28 2019-03-14 東芝メモリ株式会社 Semiconductor device manufacturing method and semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150303214A1 (en) * 2014-04-17 2015-10-22 Samsung Electronics Co., Ltd. Vertical memory devices
US20160079255A1 (en) * 2014-09-12 2016-03-17 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory device and method for manufacturing same
US20170103995A1 (en) * 2015-10-07 2017-04-13 Kabushiki Kaisha Toshiba Semiconductor device
US20190006384A1 (en) * 2017-06-28 2019-01-03 Toshiba Memory Corporation Semiconductor memory device

Also Published As

Publication number Publication date
KR102591927B1 (en) 2023-10-23
WO2021071545A1 (en) 2021-04-15

Similar Documents

Publication Publication Date Title
US10903164B2 (en) Bonded assembly including a semiconductor-on-insulator die and methods for making the same
US10957680B2 (en) Semiconductor die stacking using vertical interconnection by through-dielectric via structures and methods for making the same
KR102377774B1 (en) Three-dimensional memory device containing bonded memory die and peripheral logic die and method of making thereof
CN113169182B (en) Bonded three-dimensional memory device and method of manufacturing the same
US10811058B2 (en) Bonded assembly containing memory die bonded to integrated peripheral and system die and methods for making the same
US11508711B2 (en) Bonded three-dimensional memory devices and methods of making the same by replacing carrier substrate with source layer
US10665607B1 (en) Three-dimensional memory device including a deformation-resistant edge seal structure and methods for making the same
US11289429B2 (en) Three-dimensional memory die containing stress-compensating slit trench structures and methods for making the same
US11342286B2 (en) Semiconductor die including edge ring structures and methods for making the same
CN113196476A (en) Bonded assembly of a support die and a plurality of memory dies including laterally displaced vertical interconnects and method of making the same
US11069631B2 (en) Three-dimensional memory die containing stress-compensating slit trench structures and methods for making the same
US11114459B2 (en) Three-dimensional memory device containing width-modulated connection strips and methods of forming the same
CN113228183A (en) Bonding assembly including multiple memory dies sharing peripheral circuitry on a support die and method of making the same
US11322483B1 (en) Three-dimensional memory device containing a shared word line driver across different tiers and methods for making the same
US11515227B2 (en) Semiconductor die including edge ring structures and methods for making the same
US11387166B2 (en) Three-dimensional memory device with variable width contact via structures and methods for making the same
US11127655B2 (en) Memory die containing through-memory-level via structure and methods for making the same
US11756877B2 (en) Three-dimensional memory device with via structures surrounded by perforated dielectric moat structure and methods of making the same
CN114730583A (en) Semiconductor die assembly including through-stack via structure bonding and method of making the same
KR102591927B1 (en) Three-dimensional memory die comprising stress-compensating slit trench structures or stress-absorbing seal ring structures and method of manufacturing the same
US11963354B2 (en) Three-dimensional memory device with dielectric or semiconductor wall support structures and method of forming the same
US11532570B2 (en) Three-dimensional memory device containing bridges for enhanced structural support and methods of forming the same
US11501821B2 (en) Three-dimensional memory device containing a shared word line driver across different tiers and methods for making the same
US11289388B2 (en) Semiconductor die including edge ring structures and methods for making the same
WO2021201934A1 (en) Semiconductor die including edge ring structures and methods for making the same

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant