KR20210053179A - Semiconductor device and method - Google Patents

Semiconductor device and method Download PDF

Info

Publication number
KR20210053179A
KR20210053179A KR1020200113327A KR20200113327A KR20210053179A KR 20210053179 A KR20210053179 A KR 20210053179A KR 1020200113327 A KR1020200113327 A KR 1020200113327A KR 20200113327 A KR20200113327 A KR 20200113327A KR 20210053179 A KR20210053179 A KR 20210053179A
Authority
KR
South Korea
Prior art keywords
fin
fins
gate
spacer material
region
Prior art date
Application number
KR1020200113327A
Other languages
Korean (ko)
Other versions
KR102442812B1 (en
Inventor
웨이-민 리우
슈에-창 성
이-치아 여
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/889,397 external-priority patent/US11348840B2/en
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20210053179A publication Critical patent/KR20210053179A/en
Application granted granted Critical
Publication of KR102442812B1 publication Critical patent/KR102442812B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28141Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects insulating part of the electrode is defined by a sidewall spacer, e.g. dummy spacer, or a similar technique, e.g. oxidation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

The present invention relates to a method which comprises the following steps of: forming a gate structure on pins protruding from a semiconductor substrate; forming an isolation area surrounding the pins; depositing a spacer layer on the gate structure and the pins, wherein the spacer layer fills areas extended from the gaps between adjacent pins among the pins; performing first etching on the spacer layer, wherein after the first etching, first remaining parts of the spacer layer within the internal areas extended from the gaps between the adjacent pins among the pings have a first thickness and second remaining parts of the spacer layer which is not present within the internal areas have a second thickness less than the first thickness; and forming an epitaxial source/drain area which is disposed adjacent to the gate structure and extended on the pins, wherein parts of the epitaxial source/drain area, which are within the internal areas, are separated from the first remaining parts of the spacer layer. According to the method of the present invention, additional problems caused by a decrease in minimum feature sizes can be solved.

Description

반도체 디바이스 및 방법{SEMICONDUCTOR DEVICE AND METHOD}Semiconductor device and method {SEMICONDUCTOR DEVICE AND METHOD}

이 출원은 "Higher Inner Initial Growth Height Epitaxial Source Drain"라는 제목으로 2019년 10월 30일자로 출원된 미국 가출원 제 62/927,864호의 우선권을 청구하며, 이 가출원은 전체가 참조로서 본 명세서에 통합된다.This application claims priority to U.S. Provisional Application No. 62/927,864, filed October 30, 2019, entitled "Higher Inner Initial Growth Height Epitaxial Source Drain," which is incorporated herein by reference in its entirety.

반도체 디바이스들은 예를 들어 개인용 컴퓨터들, 휴대 전화들, 디지털 카메라들, 및 다른 전자 장비들과 같은 다양한 전자 애플리케이션들에서 사용된다. 반도체 디바이스들은 통상적으로 반도체 기판 위에서 절연층들 또는 유전체층들, 도전층들, 및 반도체 재료 층들을 순차적으로 성막하고, 리소그래피를 이용하여 다양한 재료 층들을 패터닝하여 기판 상에서 회로 컴포넌트들 및 엘리먼트들을 형성함으로써 제조된다.Semiconductor devices are used in various electronic applications such as, for example, personal computers, cellular phones, digital cameras, and other electronic equipment. Semiconductor devices are typically manufactured by sequentially depositing insulating or dielectric layers, conductive layers, and layers of semiconductor material on a semiconductor substrate, and patterning various material layers using lithography to form circuit components and elements on the substrate. do.

반도체 산업은 최소 피처 크기의 계속적인 감소에 의해 계속해서 다양한 전자 컴포넌트들(예컨대, 트랜지스터, 다이오드, 저항기, 캐패시터 등)의 집적 밀도를 향상시켜왔으며, 이것은 주어진 면적 내로 보다 많은 컴포넌트들이 집적되도록 해준다. 그러나, 최소 피처 사이즈들이 감소함에 따라, 처리되어야하는 부가적인 문제들이 발생한다.The semiconductor industry has continued to increase the integration density of various electronic components (e.g., transistors, diodes, resistors, capacitors, etc.) by continually decreasing the minimum feature size, which allows more components to be integrated within a given area. However, as the minimum feature sizes decrease, additional problems arise that must be addressed.

본 개시물의 양상들은 첨부 도면들과 함께 판독될 때 아래의 상세한 설명으로부터 가장 잘 이해된다. 업계의 표준 관행에 따라, 다양한 피처들은 실척도로 작도되지 않았다는 것을 알아야 한다. 실제로, 다양한 피처들의 치수들은 논의의 명료성을 위해 임의적으로 증가되거나 또는 감소될 수 있다.
도 1은 몇몇 실시예에 따른 FinFET들의 일례를 3차원 도면으로 예시한다.
도 2, 도 3, 도 4, 도 5, 도 6, 도 7, 도 8a, 도 8b, 도 8c, 도 9a, 도 9b, 도 9c, 도 10a, 도 10b, 도 10c, 도 11a, 도 11b, 도 11c, 도 12, 도 13a, 도 13b, 도 14a, 도 14b, 도 15a, 도 15b, 도 16a, 도 16b, 도 16c, 도 17a, 도 17b, 도 18a 및 도 18b는 몇몇 실시예들에 따른 FinFET들의 제조에서의 중간 단계들의 단면도들이다.
Aspects of the present disclosure are best understood from the detailed description below when read in conjunction with the accompanying drawings. It should be noted that, in accordance with industry standard practice, various features are not drawn to scale. Indeed, the dimensions of the various features can be arbitrarily increased or decreased for clarity of discussion.
1 illustrates an example of FinFETs in a three-dimensional diagram according to some embodiments.
2, 3, 4, 5, 6, 7, 8a, 8b, 8c, 9a, 9b, 9c, 10a, 10b, 10c, 11a, 11b , FIGS. 11C, 12, 13A, 13B, 14A, 14B, 15A, 15B, 16A, 16B, 16C, 17A, 17B, 18A and 18B show some embodiments. Are cross-sectional views of intermediate steps in the fabrication of FinFETs according to.

아래의 개시내용은 발명의 상이한 피처들을 구현하기 위한 많은 상이한 실시예들 또는 예시들을 제공한다. 본 개시내용을 단순화하기 위해 컴포넌트들 및 배열들의 특정 예시들이 아래에서 설명된다. 물론, 이것들은 단지 예시들에 불과하며, 한정하는 것으로 의도된 것은 아니다. 예를 들어, 이후의 상세설명에서 제2 피처 상의 또는 제2 피처 위의 제1 피처의 형성은 제1 피처 및 제2 피처가 직접적으로 접촉하여 형성되는 실시예를 포함할 수 있으며, 또한 제1 피처 및 제2 피처가 직접적으로 접촉하지 않을 수 있도록 추가적인 피처들이 제1 피처와 제2 피처 사이에서 형성될 수 있는 실시예를 포함할 수 있다. 또한, 본 개시물은 상이한 예들에서 도면 번호들 및/또는 문자들을 반복할 수 있다. 이러한 반복은 간략화 및 명료화를 위한 것이지, 그러한 반복 그 자체가 개시된 다양한 실시예들 및/또는 구성 사이의 관계를 설명하는 것은 아니다.The disclosure below provides many different embodiments or examples for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. Of course, these are only examples and are not intended to be limiting. For example, in the following detailed description, the formation of the first feature on or on the second feature may include an embodiment in which the first feature and the second feature are formed by direct contact, and also the first An embodiment may be included in which additional features may be formed between the first feature and the second feature so that the feature and the second feature may not be in direct contact. Further, the present disclosure may repeat reference numbers and/or letters in different examples. This repetition is for the purpose of brevity and clarity, and such repetition itself does not describe the relationship between the various embodiments and/or configurations disclosed.

또한, "밑에", "아래에", "하부에", "위에", "상부에" 등과 같은 공간적으로 상대적인 용어들은 도면들에 예시되는 다른 엘리먼트(들) 또는 피처(들)에 대한 하나의 엘리먼트 또는 피처의 관계를 설명하기 위하여 설명의 용이성을 위해 본 명세서에서 사용될 수 있다. 공간적으로 상대적인 용어들은 도면들에 도시된 배향에 부가하여 사용시 또는 동작시 디바이스의 상이한 배향들을 포함하도록 의도된다. 장치는 다른 방식으로 배향될 수 있거나(90도 또는 다른 배향으로 회전될 수 있음), 본 명세서에서 사용된 공간적으로 상대적인 디스크립터는 그에 따라 유사하게 해석될 수 있다.In addition, spatially relative terms such as "below", "below", "lower", "above", "top", etc. may be used as one for the other element(s) or feature(s) illustrated in the figures. It may be used herein for ease of description to describe the relationship of elements or features. Spatially relative terms are intended to encompass different orientations of the device in use or in operation in addition to the orientation shown in the figures. The device may be oriented in other ways (rotated to 90 degrees or other orientations), or the spatially relative descriptors used herein may be interpreted similarly accordingly.

다양한 실시예들은 감소된 체적 및 감소된 단면적을 갖는 소스/드레인 영역들을 형성하기 위한 프로세스들을 제공한다. 소스/드레인 영역들은 핀들 위에 스페이서 재료를 성막하는 단계 및 스페이서 재료로 인접한 핀들 사이의 영역들을 충전(fill)하는 단계에 의해 형성될 수 있다. 에칭 프로세스는 인접한 핀들 사이의 스페이서 재료의 나머지 부분들이 인접한 핀들 외부의 스페이서 재료의 나머지 부분들보다 높게끔, 스페이서 재료를 에칭하도록 수행된다. 이것은 에피택셜 소스/드레인 영역들이 핀들의 외부 측벽들 상의 측방향 성장의 가장 낮은 지점보다 높은 가장 낮은 지점으로부터 핀들 사이에서 측방향으로 성장하게 할 수 있다. 본 명세서에 설명된 기법들을 사용하여, 기판 위의 더 높은 거리에서 병합되는 인접한 소스/드레인 영역들이 형성될 수 있으며, 이는 병합된 소스/드레인 영역의 단면적을 감소시킨다. 본 출원의 실시예들에 따라 제조되고 소스/드레인 영역들을 포함하는 반도체 디바이스들은 감소된 게이트-드레인 커패시턴스(Cgd), 감소된 RC 지연, 더 빠른 온/오프 스위칭, 및 증가된 디바이스 속도를 경험할 수 있다.Various embodiments provide processes for forming source/drain regions having a reduced volume and reduced cross-sectional area. The source/drain regions may be formed by depositing a spacer material over the fins and filling regions between adjacent fins with a spacer material. The etching process is performed to etch the spacer material such that the remaining portions of the spacer material between adjacent fins are higher than the remaining portions of the spacer material outside the adjacent fins. This may allow epitaxial source/drain regions to grow laterally between the fins from the lowest point higher than the lowest point of lateral growth on the outer sidewalls of the fins. Using the techniques described herein, adjacent source/drain regions that merge at higher distances above the substrate can be formed, which reduces the cross-sectional area of the merged source/drain regions. Semiconductor devices manufactured according to embodiments of the present application and including source/drain regions may experience reduced gate-drain capacitance (Cgd), reduced RC delay, faster on/off switching, and increased device speed. have.

도 1은 몇몇 실시예에 따른 FinFET들의 일례를 3차원 도면으로 예시한다. FinFET은 기판(50)(예를 들어, 반도체 기판) 상에 핀(52)을 포함한다. 격리 영역들(56)은 기판(50)에 배치되고, 핀(52)은 인접한 격리 영역들(56) 위에서 그들 사이로부터 돌출된다. 격리 영역들(56)이 기판(50)과 분리된 것으로 설명/예시되었지만, 본 명세서에서 사용되는 용어 "기판"은 격리 영역들을 포함하는 반도체 기판 또는 단지 반도체 기판만을 지칭하기 위해 사용될 수 있다. 추가적으로, 핀(52)이 기판(50)과 같은 단일의 연속 재료들로서 예시되었지만, 핀(52) 및/또는 기판(50)은 단일 재료 또는 복수의 재료들을 포함할 수 있다. 이러한 맥락에서, 핀(52)은 이웃하는 격리 영역들(56) 사이에서 연장되는 부분을 지칭한다.1 illustrates an example of FinFETs in a three-dimensional diagram according to some embodiments. The FinFET includes fins 52 on a substrate 50 (eg, a semiconductor substrate). Isolation regions 56 are disposed on the substrate 50 and a fin 52 protrudes from between them over adjacent isolation regions 56. Although the isolation regions 56 have been described/exemplified as being separated from the substrate 50, the term “substrate” as used herein may be used to refer to a semiconductor substrate including isolation regions or only a semiconductor substrate. Additionally, while pin 52 is illustrated as single continuous materials, such as substrate 50, pin 52 and/or substrate 50 may comprise a single material or multiple materials. In this context, the fin 52 refers to a portion extending between neighboring isolation regions 56.

게이트 유전체 층(92)은 측벽들을 따라 그리고 핀(52)의 상부면 위에 있고, 게이트 전극(94)은 게이트 유전체 층(92) 위에 있다. 소스/드레인 영역들(82)은 게이트 유전체 층(92) 및 게이트 전극(94)에 대하여 핀(52)의 양측에 배치된다. 도 1은 추후 도면들에서 사용되는 참조 단면도들을 추가로 예시한다. 단면 A-A는 게이트 전극(94)의 종축을 따라, 예를 들어 FinFET의 소스/드레인 영역들(82) 사이의 전류 흐름 방향에 직각인 방향으로 있다. 단면 B-B는 단면 A-A에 직각이고, 핀(52)의 종축을 따라, 예를 들어 FinFET의 소스/드레인 영역들(82) 사이의 전류 흐름의 방향으로 있다. 단면 C-C는 단면 A-A와 평행하고, FinFET의 소스/드레인 영역(92)을 통해 연장된다. 후속 도면들은 명확성을 위해 이들 참조 단면들을 참조한다.The gate dielectric layer 92 is along the sidewalls and over the top surface of the fin 52 and the gate electrode 94 is over the gate dielectric layer 92. Source/drain regions 82 are disposed on both sides of the fin 52 with respect to the gate dielectric layer 92 and the gate electrode 94. 1 further illustrates reference cross-sectional views used in later drawings. Sections A-A are along the longitudinal axis of the gate electrode 94, for example, in a direction perpendicular to the current flow direction between the source/drain regions 82 of the FinFET. Sections B-B are perpendicular to section A-A and are along the longitudinal axis of the fin 52, for example in the direction of current flow between the source/drain regions 82 of the FinFET. Sections C-C are parallel to sections A-A and extend through the source/drain regions 92 of the FinFET. Subsequent figures refer to these reference cross-sections for clarity.

본 명세서에서 논의되는 몇몇 실시예들은 게이트-라스트(gate-last) 프로세스를 사용하여 형성된 FinFET들의 맥락에서 논의된다. 다른 실시예들에서, 게이트-퍼스트(gate-first) 프로세스가 사용될 수 있다. 또한, 몇몇 실시예들은 평면 FET들과 같은 평면 디바이스들에서 사용되는 양상들을 고려한다.Some embodiments discussed herein are discussed in the context of FinFETs formed using a gate-last process. In other embodiments, a gate-first process may be used. Further, some embodiments contemplate aspects used in planar devices such as planar FETs.

도 2 내지 도 18b는 몇몇 실시예들에 따른 FinFET들의 제조에 있어서의 중간 단계들의 단면도들이다. 도 2 내지 도 7은 다중 핀들/FinFET들을 제외한, 도 1에 예시된 참조 단면 A-A'를 예시한다. 도 8a, 도 9a, 도 10a, 도 11a, 도 13a, 도 14a, 도 15a, 도 16a, 도 17a, 및 18a는 도 1에 예시된 참조 단면 A-A를 따라 예시되어 있다. 도 8b, 도 9b, 도 10b, 도 11b, 도 13b, 도 14b, 도 15b, 도 16b, 도 16c, 도 17b, 및 도 18b는 도 1에 예시된 유사한 단면 B-B를 따라 예시되어 있다. 도 8c, 도 9c, 도 10c, 도 11c, 및 도 12는 도 1에 예시된 참조 단면 C-C를 따라 예시되어 있다.2-18B are cross-sectional views of intermediate steps in manufacturing FinFETs in accordance with some embodiments. 2 to 7 illustrate the reference cross-section A-A' illustrated in FIG. 1 excluding multiple fins/FinFETs. 8A, 9A, 10A, 11A, 13A, 14A, 15A, 16A, 17A, and 18A are illustrated along the reference cross-section A-A illustrated in FIG. 1. 8B, 9B, 10B, 11B, 13B, 14B, 15B, 16B, 16C, 17B, and 18B are illustrated along the similar cross section B-B illustrated in FIG. 1. 8C, 9C, 10C, 11C, and 12 are illustrated along the reference cross-section C-C illustrated in FIG. 1.

도 2에서, 기판(50)이 제공된다. 기판(50)은 (예를 들어, p-타입 또는 n-타입 도펀트로) 도핑되거나 또는 도핑되지 않을 수 있는, 벌크 반도체, 반도체 온 절연체(SOI, semiconductor-on-insulator) 기판일 수 있다. 기판(50)은 실리콘 웨이퍼와 같은 웨이퍼일 수 있다. 일반적으로, SOI 기판은 절연체 층 상에 형성된 반도체 재료의 층이다. 절연체 층은 예를 들어, 매립 산화물(BOX, buried oxide) 층, 실리콘 산화물 층 등일 수 있다. 절연체 층은 일반적으로 실리콘 또는 유리 기판인 기판 상에 제공된다. 다층 또는 그래디언트 기판과 같은 다른 기판들이 또한 사용될 수 있다. 몇몇 실시예들에서, 기판(50)의 반도체 재료는 실리콘; 게르마늄; 실리콘 탄화물, 갈륨 비소, 갈륨 인화물, 인듐 인화물, 인듐 비화물, 및/또는 인듐 안티몬화물을 포함한 화합물 반도체; 실리콘-게르마늄, 갈륨 비화물 인화물, 알루미늄 인듐 비화물, 알루미늄 갈륨 비화물, 갈륨 인듐 비화물, 갈륨 인듐 인화물 및/또는 갈륨 인듐 비화물 인화물을 포함하는 합금 반도체; 또는 이들의 조합들을 포함할 수 있다.In Fig. 2, a substrate 50 is provided. The substrate 50 may be a bulk semiconductor, semiconductor-on-insulator (SOI) substrate, which may or may not be doped (eg, with a p-type or n-type dopant). The substrate 50 may be a wafer such as a silicon wafer. Generally, the SOI substrate is a layer of semiconductor material formed on an insulator layer. The insulator layer may be, for example, a buried oxide (BOX) layer, a silicon oxide layer, or the like. The insulator layer is provided on a substrate, which is generally a silicon or glass substrate. Other substrates such as multilayer or gradient substrates may also be used. In some embodiments, the semiconductor material of substrate 50 is silicon; germanium; Compound semiconductors including silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; Alloy semiconductors including silicon-germanium, gallium arsenide phosphide, aluminum indium arsenide, aluminum gallium arsenide, gallium indium arsenide, gallium indium phosphide and/or gallium indium arsenide phosphide; Or combinations thereof.

기판(50)은 영역(50N) 및 영역(50P)을 갖는다. 영역(50N)은 NMOS 트랜지스터들, 예를 들어 n-타입 FinFET들과 같은 n-타입 디바이스들을 형성하기 위한 것일 수 있다. 영역(50P)은 PMOS 트랜지스터들, 예를 들어 p-타입 FinFET들과 같은 p-타입 디바이스들을 형성하기 위한 것일 수 있다. 영역(50N)은 (디바이더(51)에 의해 예시된 바와 같이) 영역(50P)으로부터 물리적으로 분리될 수 있고, 영역(50N)과 영역(50P) 사이에 임의의 수의 디바이스 피처들(예를 들어, 다른 능동 디바이스들, 도핑된 영역들, 격리 구조물들 등)이 배치될 수 있다.The substrate 50 has a region 50N and a region 50P. Region 50N may be for forming NMOS transistors, for example n-type devices such as n-type FinFETs. Region 50P may be for forming PMOS transistors, for example p-type devices such as p-type FinFETs. Region 50N can be physically separated from region 50P (as illustrated by divider 51), and any number of device features (e.g., between region 50N and region 50P) For example, other active devices, doped regions, isolation structures, etc.) may be disposed.

도 3에서, 핀들(52)은 기판(50)에 형성된다. 핀들(52)은 반도체 스트립들이다. 몇몇 실시예들에서, 핀들(52)은 기판(50)에서 트렌치들을 에칭함으로써 기판(50)에 형성될 수 있다. 에칭은 반응성 이온 에칭(RIE, reactive ion etch), 중성 빔 에칭(NBE, neutral beam etch) 등, 또는 이들의 조합과 같은 임의의 허용가능한 에칭 프로세스일 수 있다. 에칭은 이방성일 수 있다. 몇몇 실시예들에서, 핀들(52)은 인접한 핀들(52)이 약 10 nm 내지 약 40 nm의 거리(W2)만큼 분리되도록 형성될 수 있다. 몇몇 실시예들에서, 핀들(52)은 약 5 nm 내지 약 30 nm의 폭(W2)을 갖도록 형성될 수 있다. 몇몇 실시예들에서, 핀들(52)은 약 15 nm 내지 약 50 nm의 피치(W3)를 갖도록 형성될 수 있다.In FIG. 3, fins 52 are formed on the substrate 50. The pins 52 are semiconductor strips. In some embodiments, fins 52 may be formed in substrate 50 by etching trenches in substrate 50. Etching may be any acceptable etching process such as reactive ion etch (RIE), neutral beam etch (NBE), or the like, or a combination thereof. Etching can be anisotropic. In some embodiments, the fins 52 may be formed such that adjacent fins 52 are separated by a distance W2 of about 10 nm to about 40 nm. In some embodiments, the fins 52 may be formed to have a width W2 of about 5 nm to about 30 nm. In some embodiments, the fins 52 may be formed to have a pitch W3 of about 15 nm to about 50 nm.

핀들(52)은 임의의 적합한 방법에 의해 패터닝될 수 있다. 예를 들어, 핀들(52)은 이중 패터닝 또는 다중 패터닝 프로세스들을 포함하는 하나 이상의 포토리소그래피 프로세스를 사용하여 패터닝될 수 있다. 일반적으로, 이중 패터닝 또는 다중 패터닝 프로세스는 포토리소그래피 및 자기 정렬 프로세스를 결합하여, 예를 들어 단일의 직접 포토리소그래피 프로세스를 사용하여 얻을 수 있는 것보다 더 작은 피치들을 갖는 패턴들이 생성되도록 허용한다. 예를 들어, 일 실시예에서, 희생 층이 기판 위에 형성되고 포토리소그래피 프로세스를 사용하여 패터닝된다. 스페이서들은 자기 정렬 프로세스를 사용하여 패터닝된 희생 층과 함께 형성된다. 희생 층은 그 후 제거되고, 나머지 스페이서들은 그 후 핀들을 패터닝하는데 사용될 수 있다. 몇몇 실시예들에서, 마스크(또는 다른 층)는 핀들(52) 상에 남아있을 수 있다.Pins 52 can be patterned by any suitable method. For example, the fins 52 may be patterned using one or more photolithographic processes including double patterning or multiple patterning processes. In general, a double patterning or multiple patterning process combines a photolithography and self-alignment process, allowing patterns with smaller pitches to be created than can be achieved using, for example, a single direct photolithography process. For example, in one embodiment, a sacrificial layer is formed over the substrate and patterned using a photolithography process. The spacers are formed with the patterned sacrificial layer using a self-aligning process. The sacrificial layer is then removed and the remaining spacers can then be used to pattern the fins. In some embodiments, a mask (or other layer) may remain on the fins 52.

도 4에서, 절연 재료(54)가 기판(50) 위에 그리고 이웃하는 핀들(52) 사이에 형성된다. 절연 재료(54)는 산화물, 예컨대 실리콘 산화물, 질화물 등, 또는 이들의 조합일 수 있으며, 고밀도 플라즈마 화학 기상 증착(HDP-CVD, high density plasma chemical vapor deposition), 유동성 CVD(FCVD, flowable CVD)(예를 들어, 원격 플라즈마 시스템에서의 CVD 기반 재료 증착, 및 산화물과 같은 다른 재료로 변환시키는 포스트(post) 경화) 등, 또는 이들의 조합에 의해 형성될 수 있다. 임의의 허용가능한 프로세스에 의해 형성된 다른 절연 재료들이 사용될 수 있다. 예시된 실시예에서, 절연 재료(54)는 FCVD 프로세스에 의해 형성된 실리콘 산화물이다. 일단 절연 재료가 형성되면 어닐링 프로세스가 수행될 수 있다. 몇몇 실시예들에서, 절연 재료(54)는 초과 절연 재료(54)가 핀들(52)을 커버하도록 형성된다. 절연 재료(54)는 단일 층으로서 예시되나, 몇몇 실시예들은 다중 층들을 이용할 수 있다. 예를 들어, 몇몇 실시예들에서 라이너(미도시)가 먼저 기판(50) 및 핀들(52)의 표면을 따라 형성될 수 있다. 그 후, 위에서 논의된 것들과 같은 충전 재료가 라이너 위에 형성될 수 있다.In FIG. 4, an insulating material 54 is formed over the substrate 50 and between neighboring fins 52. The insulating material 54 may be an oxide such as silicon oxide, nitride, or a combination thereof, and high density plasma chemical vapor deposition (HDP-CVD), flowable CVD (FCVD) ( For example, it may be formed by CVD-based material deposition in a remote plasma system, and post curing to convert to other materials such as oxides), or a combination thereof. Other insulating materials formed by any acceptable process may be used. In the illustrated embodiment, the insulating material 54 is a silicon oxide formed by an FCVD process. Once the insulating material is formed, an annealing process can be performed. In some embodiments, insulating material 54 is formed such that excess insulating material 54 covers fins 52. The insulating material 54 is illustrated as a single layer, but some embodiments may use multiple layers. For example, in some embodiments, a liner (not shown) may first be formed along the surfaces of the substrate 50 and the fins 52. Thereafter, fill materials such as those discussed above can be formed over the liner.

도 5에서, 핀들(52) 위의 초과 절연 재료(54)를 제거하기 위해 제거 프로세스가 절연 재료(54)에 적용된다. 몇몇 실시예들에서, 화학적 기계적 연마(CMP), 에치백 프로세스, 이들의 조합들 등과 같은 평탄화 프로세스가 이용될 수 있다. 평탄화 프로세스는 평탄화 프로세스가 완료된 후, 핀들(52)의 상부면들과 절연 재료(54)가 수평이 되도록 핀들(52)을 노출시킨다. 마스크가 핀들(52)에 남아있는 실시예들에서, 평탄화 프로세스는 평탄화 프로세스가 완료된 후 마스크 또는 핀들(52)의 상부면들 각각 및 절연 재료(54)가 수평이 되도록, 마스크를 노출시키거나 마스크를 제거할 수 있다.In FIG. 5, a removal process is applied to the insulating material 54 to remove excess insulating material 54 on the fins 52. In some embodiments, a planarization process such as chemical mechanical polishing (CMP), an etch back process, combinations thereof, and the like may be used. The planarization process exposes the fins 52 so that the top surfaces of the fins 52 and the insulating material 54 are horizontal after the planarization process is completed. In embodiments in which the mask remains on the fins 52, the planarization process exposes or masks the mask or mask so that each of the top surfaces of the fins 52 and the insulating material 54 are horizontal after the planarization process is complete. Can be removed.

도 6에서, 절연 재료(54)는 리세스되어 쉘로우 트렌치 격리(STI, shallow trench isolation) 영역들(56)을 형성한다. 절연 재료(54)는 영역(50N) 및 영역(50P)의 핀들(52)의 상부 부분들이 이웃한 STI 영역들(56) 사이로부터 돌출되도록 리세스된다. 또한, STI 영역들(56)의 상단면들은 예씨된 바와 같은 평평한 표면, 볼록한 표면, 오목한 표면(디싱(dishing)과 같은), 또는 이들의 조합을 가질 수 있다. STI 영역들(56)의 상단면들은 적절한 에칭에 의해 평평하게, 볼록하게, 및/또는 오목하게 형성될 수 있다. STI 영역들(56)은 절연 재료(54)의 재료에 대해 선택적인 것과 같은 허용가능한 에칭 프로세스(예를 들어, 핀들(52)의 재료보다 빠른 속도로 절연 재료(54)의 재료를 에칭함)를 사용하여 리세스될 수 있다. 예를 들어, 희석된 불화수소(dHF, dilute hydrofluoric) 산을 사용한 산화물 제거가 사용될 수 있다.In FIG. 6, insulating material 54 is recessed to form shallow trench isolation (STI) regions 56. The insulating material 54 is recessed so that the upper portions of the fins 52 of the region 50N and the region 50P protrude from between the neighboring STI regions 56. Further, the top surfaces of the STI regions 56 may have a flat surface, a convex surface, a concave surface (such as dishing), or a combination thereof as illustrated. The top surfaces of the STI regions 56 may be formed flat, convex, and/or concave by suitable etching. The STI regions 56 are an acceptable etching process, such as selective to the material of the insulating material 54 (e.g., etch the material of the insulating material 54 at a faster rate than the material of the fins 52). It can be recessed using. For example, oxide removal using dilute hydrofluoric (dHF) acid can be used.

도 2 내지 도 6과 관련하여 설명된 프로세스는 단지 핀들(52)이 어떻게 형성될 수 있는지의 일례일 뿐이다. 몇몇 실시예들에서, 핀들은 에피택셜 성장 프로세스에 의해 형성될 수 있다. 예를 들어, 유전체 층은 기판(50)의 상부면 위에 형성될 수 있고, 트렌치들은 유전체 층을 통해 에칭되어 아래 놓인 기판(50)을 노출시킬 수 있다. 호모에피택셜 구조물들은 트렌치들에서 에피택셜하게 성장될 수 있고, 유전체 층은 호모에피택셜 구조물이 핀들을 형성하기 위해 유전체 층으로부터 돌출되도록 리세스될 수 있다. 추가로, 몇몇 실시예들에서, 헤테로에피택셜 구조물들은 핀들(52)에 대해 사용될 수 있다. 예를 들어, 도 5의 핀들(52)은 리세스될 수 있고, 핀들(52)과 상이한 재료가 리세스된 핀들(52) 위에 에피택셜하게 성장될 수 있다. 이러한 실시예들에서, 핀들(52)은 리세스된 재료뿐만 아니라 리세스된 재료 위에 배치된 에피택셜하게 성장된 재료를 포함한다. 또 다른 추가 실시예들에서, 유전체 층은 기판(50)의 상부면 위에 형성될 수 있고, 트렌치들은 유전체 층을 통해 에칭될 수 있다. 그 후, 헤테로에피택셜 구조물들은 기판(50)과 상이한 재료를 사용하여 트렌치들에서 에피택셜하게 성장될 수 있고, 유전체 층은 헤테로에피택셜 구조물들이 핀들(52)을 형성하기 위해 유전체 층으로부터 돌출되도록 리세스될 수 있다. 호모에피택셜 또는 헤테로에피택셜 구조물들이 에피택셜하게 성장되는 몇몇 실시예들에서, 에피택셜하게 성장된 재료들은 성장 동안 인 시츄 도핑될 수 있으며, 이는 이전의 주입 및 후속 주입을 배제시킬 수 있지만, 인 시튜 및 주입 도핑이 함께 사용될 수 있다.The process described in connection with FIGS. 2-6 is merely an example of how the fins 52 can be formed. In some embodiments, fins may be formed by an epitaxial growth process. For example, a dielectric layer can be formed over the top surface of the substrate 50, and trenches can be etched through the dielectric layer to expose the underlying substrate 50. Homoepitaxial structures can be grown epitaxially in trenches, and the dielectric layer can be recessed such that the homoepitaxial structure protrudes from the dielectric layer to form fins. Additionally, in some embodiments, heteroepitaxial structures may be used for fins 52. For example, the fins 52 of FIG. 5 may be recessed, and a material different from the fins 52 may be epitaxially grown over the recessed fins 52. In these embodiments, the fins 52 comprise a recessed material as well as an epitaxially grown material disposed over the recessed material. In still further embodiments, a dielectric layer may be formed over the top surface of the substrate 50 and trenches may be etched through the dielectric layer. Thereafter, the heteroepitaxial structures can be grown epitaxially in the trenches using a different material than the substrate 50, and the dielectric layer is such that the heteroepitaxial structures protrude from the dielectric layer to form fins 52. Can be recessed. In some embodiments in which homoepitaxial or heteroepitaxial structures are epitaxially grown, the epitaxially grown materials may be doped in situ during growth, which may preclude previous and subsequent implants, but Situ and implant doping can be used together.

또한, 영역(50P)(예를 들어, PMOS 영역)의 재료와 상이한 영역(50N)(예를 들어, NMOS 영역)의 재료를 에피택셜하게 성장시키는 것이 유리할 수 있다. 다양한 실시예들에서, 핀들(52)의 상부 부분들은 실리콘 게르마늄(SixGe1-x, 여기서 x는 0 내지 1일 수 있음), 실리콘 탄화물, 순수한 또는 실질적으로 순수한 게르마늄, III-V족 화합물 반도체, II-VI족 화합물 반도체 등으로 형성될 수 있다. 예를 들어, III-V족 화합물 반도체를 형성하기 위해 이용가능한 재료는 인듐 비화물, 알루미늄 비화물, 갈륨 비화물, 인듐 인화물, 갈륨 질화물, 인듐 갈륨 비화물, 인듐 알루미늄 비화물, 갈륨 안티몬화물, 알루미늄 안티몬화물, 알루미늄 인화물, 갈륨 인화물 등을 포함하나, 이에 제한되는 것은 아니다.Further, it may be advantageous to epitaxially grow a material of the region 50N (eg, an NMOS region) that is different from the material of the region 50P (eg, a PMOS region). In various embodiments, the upper portions of the fins 52 are silicon germanium (Si x Ge 1-x , where x can be 0 to 1), silicon carbide, pure or substantially pure germanium, a group III-V compound. It may be formed of a semiconductor, a group II-VI compound semiconductor, or the like. For example, materials available to form III-V compound semiconductors include indium arsenide, aluminum arsenide, gallium arsenide, indium phosphide, gallium nitride, indium gallium arsenide, indium aluminum arsenide, gallium antimonide, Aluminum antimonide, aluminum phosphide, gallium phosphide, and the like, but are not limited thereto.

추가로 도 6에서, 적절한 웰들(미도시)이 핀들(52) 및/또는 기판(50)에 형성될 수 있다. 몇몇 실시예들에서, 영역(50N)에 P 웰이 형성될 수 있고, 영역(50P)에 N 웰이 형성될 수 있다. 몇몇 실시예들에서, 영역(50N) 및 영역(50P) 모두에 P 웰 또는 N 웰이 형성된다.Additionally in FIG. 6, suitable wells (not shown) may be formed in the fins 52 and/or the substrate 50. In some embodiments, a P well may be formed in the region 50N, and an N well may be formed in the region 50P. In some embodiments, a P well or an N well is formed in both the region 50N and the region 50P.

상이한 웰 타입들을 갖는 실시예들에서, 영역(50N) 및 영역(50P)에 대한 상이한 주입 단계들은 포토레지스트 또는 다른 마스크들(미도시)을 사용하여 달성될 수 있다. 예를 들어, 포토레지스트는 영역(50N)에서 핀들(52) 및 STI 영역(56) 위에 형성될 수 있다. 포토레지스트는 PMOS 영역과 같은 기판(50)의 영역(50P)을 노출시키도록 패터닝된다. 포토레지스트는 스핀-온 기법을 사용함으로써 형성될 수 있으며, 허용가능한 포토리소그래피 기법들을 사용하여 패터닝될 수 있다. 포토레지스트가 패터닝되면 영역(50P)에 n-타입 불순물 주입이 수행되고, 포토레지스트는 NMOS 영역과 같은 영역(50N)에 n-타입 불순물이 주입되는 것을 실질적으로 방지하는 마스크로서 작용할 수 있다. n-타입 불순물들은 약 1016 cm-3 내지 약 1018 cm-3와 같은, 1018 cm-3 이하의 농도로 영역에 주입된 인, 비소, 안티몬 등일 수 있다. 주입 후 포토레지스트는 예컨대 허용가능한 애싱 프로세스에 의해 제거된다.In embodiments with different well types, different implantation steps for region 50N and region 50P may be accomplished using photoresist or other masks (not shown). For example, a photoresist may be formed over the fins 52 and the STI region 56 in the region 50N. The photoresist is patterned to expose a region 50P of the substrate 50, such as a PMOS region. Photoresist can be formed by using a spin-on technique and patterned using acceptable photolithography techniques. When the photoresist is patterned, an n-type impurity is implanted into the region 50P, and the photoresist may serve as a mask that substantially prevents the n-type impurity from being implanted into the region 50N such as the NMOS region. The n-type impurities may be phosphorus, arsenic, antimony, etc. implanted into the region at a concentration of 10 18 cm -3 or less, such as from about 10 16 cm -3 to about 10 18 cm -3. After implantation the photoresist is removed, for example by an acceptable ashing process.

영역(50P)의 주입 후에, 포토레지스트가 영역(50P)의 핀들(52) 및 STI 영역들(56) 위에 형성된다. 포토레지스트는 NMOS 영역과 같은 기판(50)의 영역(50P)을 노출시키도록 패터닝된다. 포토레지스트는 스핀-온 기법을 사용함으로써 형성될 수 있으며, 허용가능한 포토리소그래피 기법들을 사용하여 패터닝될 수 있다. 포토레지스트가 패터닝되면, 영역(50N)에 p-타입 불순물 주입이 수행되고, 포토레지스트는 PMOS 영역과 같은 영역(50P)에 P-타입 불순물들이 주입되는 것을 실질적으로 방지하는 마스크로서 작용할 수 있다. p-타입 불순물들은 약 1016 cm-3 내지 약 1018 cm-3와 같은, 1018 cm-3 이하의 농도로 영역에 주입된 붕소, 붕소 불화물, 인듐 등일 수 있다. 주입 후, 포토레지스트는 예컨대 허용가능한 애싱 프로세스에 의해 제거될 수 있다.After implantation of the region 50P, a photoresist is formed over the fins 52 and the STI regions 56 of the region 50P. The photoresist is patterned to expose a region 50P of the substrate 50, such as an NMOS region. Photoresist can be formed by using a spin-on technique and patterned using acceptable photolithography techniques. When the photoresist is patterned, p-type impurities are implanted into the region 50N, and the photoresist may serve as a mask that substantially prevents P-type impurities from being implanted into the region 50P such as the PMOS region. The p-type impurities may be boron, boron fluoride, indium, etc. implanted into the region at a concentration of 10 18 cm -3 or less, such as from about 10 16 cm -3 to about 10 18 cm -3. After implantation, the photoresist can be removed, for example by an acceptable ashing process.

영역(50N) 및 영역(50P)의 주입 후, 주입 손상을 복구하고 주입된 p-타입 및/또는 n-타입 불순물들을 활성화시키기 위해 어닐링이 수행될 수 있다. 몇몇 실시예들에서, 에피택셜 핀들의 성장된 재료들은 성장 동안 인 시츄 도핑될 수 있으며, 이는 주입을 배제시킬 수 있지만, 인 시튜 및 주입 도핑이 함께 사용될 수 있다.After implantation of the region 50N and region 50P, annealing may be performed to repair the implantation damage and activate the implanted p-type and/or n-type impurities. In some embodiments, the grown materials of the epitaxial fins may be doped in situ during growth, which may preclude implantation, but in situ and implant doping may be used together.

도 7에서, 더미 유전체 층(60)이 핀들(52) 상에 형성된다. 더미 유전체 층(60)은 예를 들어, 실리콘 산화물, 실리콘 질화물, 이들의 조합 등일 수 있으며, 허용가능한 기법들에 따라 성막되거나 열적으로 성장될 수 있다. 더미 게이트 층(62)은 더미 유전체 층(60) 위에 형성되며, 마스크 층(64)은 더미 게이트 층(62) 위에 형성된다. 더미 게이트 층(62)은 더미 유전체 층(60) 위에 성막되고, 그 후 예컨대 CMP에 의해 평탄화될 수 있다. 마스크 층(64)은 더미 게이트 층(62) 위에 성막될 수 있다. 더미 게이트 층(62)은 도전성 또는 비 도전성 재료일 수 있으며, 비정질 실리콘, 다결정-실리콘(폴리실리콘), 다결정 실리콘-게르마늄(폴리-SiGe), 금속성 질화물들, 금속성 실리사이드들, 금속성 산화물들, 및 금속들을 포함하는 그룹으로부터 선택될 수 있다. 더미 게이트 층(62)은 물리 기상 증착(PVD), CVD, 스퍼터 증착, 또는 선택된 재료를 성막하기 위해 본 기술분야에 알려지고 사용되는 다른 기법들에 의해 성막될 수 있다. 더미 게이트 층(62)은 격리 영역들의 에칭으로부터 높은 에칭 선택도를 갖는 다른 재료들로 제조될 수 있다. 마스크 층(64)은 예를 들어, 실리콘 질화물, 실리콘 산질화물 등을 포함할 수 있다. 이 예에서, 단일 더미 게이트 층(62) 및 단일 마스크 층(64)은 영역(50N) 및 영역(50P)에 걸쳐 형성된다. 더미 유전체 층(60)은 단지 예시를 목적으로 핀들(52)만을 커버하는 것으로 도시되어 있음을 주목한다. 몇몇 실시예들에서, 더미 유전체 층(60)은 더미 유전체 층(60)이 더미 게이트 층(62)과 STI 영역들(56) 사이에서 연장하도록 STI 영역(56)을 커버하게끔 성막될 수 있다.In FIG. 7, a dummy dielectric layer 60 is formed on the fins 52. The dummy dielectric layer 60 may be, for example, silicon oxide, silicon nitride, a combination thereof, or the like, and may be deposited or thermally grown according to acceptable techniques. The dummy gate layer 62 is formed over the dummy dielectric layer 60, and the mask layer 64 is formed over the dummy gate layer 62. The dummy gate layer 62 is deposited over the dummy dielectric layer 60 and may then be planarized by, for example, CMP. The mask layer 64 may be formed on the dummy gate layer 62. The dummy gate layer 62 may be a conductive or non-conductive material, amorphous silicon, polycrystalline-silicon (polysilicon), polycrystalline silicon-germanium (poly-SiGe), metallic nitrides, metallic silicides, metallic oxides, and It can be selected from the group containing metals. The dummy gate layer 62 may be deposited by physical vapor deposition (PVD), CVD, sputter deposition, or other techniques known and used in the art to deposit a selected material. The dummy gate layer 62 may be made of other materials with high etch selectivity from etching of the isolation regions. The mask layer 64 may include, for example, silicon nitride, silicon oxynitride, or the like. In this example, a single dummy gate layer 62 and a single mask layer 64 are formed over region 50N and region 50P. Note that the dummy dielectric layer 60 is shown covering only the fins 52 for purposes of illustration only. In some embodiments, dummy dielectric layer 60 may be deposited to cover STI region 56 such that dummy dielectric layer 60 extends between dummy gate layer 62 and STI regions 56.

도 8a 내지 도 18b는 실시예 디바이스들의 제조에서 다양한 추가 단계들을 예시한다. 도 8a 내지 도 18b는 영역(50N) 또는 영역(50P)의 피처들을 예시한다. 예를 들어, 도 8a 내지 도 18b에 예시된 구조물들은 영역(50N) 및 영역(50P) 모두에 적용가능할 수 있다. 영역(50N) 및 영역(50P)의 구조물들에서의 차이들(만약 있다면)은 각각의 도면에 첨부된 텍스트에 설명되어 있다. 도 8a, 도 9a, 도 10a, 도 11a, 도 13a, 도 14a, 도 15a, 도 16a, 도 17a, 및 18a는 도 1에 예시된 참조 단면 A-A를 따라 예시되어 있다. 도 8b, 도 9b, 도 10b, 도 11b, 도 13b, 도 14b, 도 15b, 도 16b, 도 17b, 및 도 18b는 도 1에 예시된 참조 단면 B-B를 따라 예시되어 있다. 도 8c, 도 9c, 도 10c, 도 11c, 및 도 12는 도 1에 예시된 참조 단면 C-C를 따라 예시되어 있다. 명확성을 위해, 도 8c 내지 도 12에 도시된 피처들의 일부 치수들 또는 비율들은 다른 도면들에 도시된 것과 다를 수 있다.8A-18B illustrate various additional steps in the manufacture of embodiment devices. 8A to 18B illustrate features of the region 50N or region 50P. For example, the structures illustrated in FIGS. 8A to 18B may be applicable to both the region 50N and the region 50P. The differences (if any) in the structures of region 50N and region 50P are described in the text appended to the respective figures. 8A, 9A, 10A, 11A, 13A, 14A, 15A, 16A, 17A, and 18A are illustrated along the reference cross-section A-A illustrated in FIG. 1. 8B, 9B, 10B, 11B, 13B, 14B, 15B, 16B, 17B, and 18B are illustrated along the reference cross-section B-B illustrated in FIG. 1. 8C, 9C, 10C, 11C, and 12 are illustrated along the reference cross-section C-C illustrated in FIG. 1. For clarity, some dimensions or ratios of the features shown in FIGS. 8C-12 may differ from those shown in other figures.

도 8a, 도 8b 및 도 8c에서, 마스크 층(64)(도 7 참조)은 마스크들(74)을 형성하기 위해 허용가능한 포토리소그래피 및 에칭 기법들을 사용하여 패터닝될 수 있다. 마스크들(74)의 패턴은 그 후 더미 게이트 층(62)에 전사될 수 있다. 몇몇 실시예들(예시되지 않음)에서, 마스크들(74)의 패턴은 또한 더미 게이트들(72)을 형성하기 위하여 허용가능한 에칭 기법에 의해 더미 유전체 층(60)에 전사될 수 있다. 더미 게이트들(72)은 핀들(52)의 각각의 채널 영역들(58)을 커버한다. 마스크들(74)의 패턴은 인접한 더미 게이트들로부터 더미 게이트들(72) 각각을 물리적으로 분리하기 위해 사용될 수 있다. 더미 게이트들(72)은 또한 각각의 에피택셜 핀들(52)의 길이 방향에 실질적으로 수직인 길이 방향을 가질 수 있다.In FIGS. 8A, 8B and 8C, the mask layer 64 (see FIG. 7) may be patterned using acceptable photolithography and etching techniques to form the masks 74. The pattern of the masks 74 may then be transferred to the dummy gate layer 62. In some embodiments (not shown), the pattern of masks 74 may also be transferred to dummy dielectric layer 60 by an acceptable etching technique to form dummy gates 72. The dummy gates 72 cover respective channel regions 58 of the fins 52. The pattern of the masks 74 may be used to physically separate each of the dummy gates 72 from adjacent dummy gates. The dummy gates 72 may also have a length direction substantially perpendicular to the length direction of each of the epitaxial fins 52.

또한 도 8a 내지 도 8c에서, 더미 게이트들(72), 마스크들(74) 및/또는 핀들(52)의 노출된 표면들 상에 제1 스페이서 재료(78)가 형성된다. 제1 스페이서 재료(78)는 제1 스페이서들(80)을 형성하기 위해 사용된다(도 10b 내지 도 10c 참조). 몇몇 실시예들에서, 제1 스페이서 재료(78)는 산화물, 질화물, 실리콘 산질화물, 실리콘 산탄화질화물, 실리콘 산탄화물 등, 또는 이들의 조합과 같은 재료일 수 있다. 몇몇 실시예들에서, 제1 스페이서 재료(78)는 열 산화, CVD, PE-CVD, ALD, PVD, 스퍼터링 등과 같은 프로세스를 사용하여 형성될 수 있다. 도 8b에서, 제1 스페이서 재료(78)는 더미 게이트(72) 및 마스크(74) 위로 수직으로 그리고 핀(52) 위로 측방향으로 연장되는 것으로 도시되어 있다. 몇몇 실시예들에서, 제1 스페이서 재료(78)는 하나 이상의 재료의 다중 층들을 포함할 수 있다. 몇몇 실시예들에서, 제1 스페이서 층(78)은 약 2 nm 내지 약 6 nm의 두께를 갖도록 형성될 수 있다.Also in FIGS. 8A-8C, a first spacer material 78 is formed on the exposed surfaces of dummy gates 72, masks 74 and/or fins 52. The first spacer material 78 is used to form the first spacers 80 (see FIGS. 10B-10C ). In some embodiments, the first spacer material 78 may be a material such as oxide, nitride, silicon oxynitride, silicon oxycarbide, silicon oxycarbide, or a combination thereof. In some embodiments, the first spacer material 78 may be formed using a process such as thermal oxidation, CVD, PE-CVD, ALD, PVD, sputtering, or the like. In FIG. 8B, the first spacer material 78 is shown extending vertically over dummy gate 72 and mask 74 and laterally over fins 52. In some embodiments, the first spacer material 78 may include multiple layers of one or more materials. In some embodiments, the first spacer layer 78 may be formed to have a thickness of about 2 nm to about 6 nm.

제1 스페이서 재료(78)의 형성 후, 저농도 소스/드레인(LDD, lightly doped source/drain) 영역들(명확히 예시되지 않음)에 대한 주입이 수행될 수 있다. 도 6에서 위에서 논의된 주입들과 유사한, 상이한 디바이스 타입들을 갖는 실시예들에서, 영역(50P)을 노출시키면서, 영역(50N) 위에 포토레지스트와 같은 마스크가 형성될 수 있고, 영역(50P)에 노출된 핀들(52)에 적절한 타입(예를 들어, p-타입) 불순물들이 주입될 수 있다. 그 후 마스크는 제거될 수 있다. 후속하여, 영역(50N)을 노출시키면서, 영역(50P) 위에 포토레지스트와 같은 마스크가 형성될 수 있고, 영역(50N)에 노출된 핀들(52)에 적절한 타입의 불순물들(예를 들어, N-타입)이 주입될 수 있다. 그 후 마스크는 제거될 수 있다. n-타입 불순물들은 이전에 논의된 n-타입 불순물들 중 임의의 것일 수 있고, p-타입 불순물들은 이전에 논의된 p-타입 불순물들 중 임의의 것일 수 있다. 저농도로 도핑된 소스/드레인 영역들은 약 1015 cm-3 내지 약 1019 cm-3의 불순물들의 농도를 가질 수 있다. 어닐링은 주입 손상을 복구하고 주입된 불순물들을 활성화시키는데 사용될 수 있다.After the formation of the first spacer material 78, implantation of lightly doped source/drain (LDD) regions (not explicitly illustrated) may be performed. In embodiments with different device types, similar to the implants discussed above in FIG. 6, a mask, such as a photoresist, may be formed over region 50N, exposing region 50P, and in region 50P. Impurities of an appropriate type (eg, p-type) may be implanted into the exposed fins 52. The mask can then be removed. Subsequently, while exposing the region 50N, a mask such as a photoresist may be formed on the region 50P, and impurities of an appropriate type (eg, N. -Type) can be injected. The mask can then be removed. The n-type impurities may be any of the n-type impurities previously discussed, and the p-type impurities may be any of the previously discussed p-type impurities. The lightly doped source/drain regions may have a concentration of impurities of about 1015 cm -3 to about 1019 cm -3. Annealing can be used to repair implant damage and activate implanted impurities.

도 9a, 도 9b, 및 도 9c에서, 제2 스페이서 재료(79)가 제1 스페이서 재료(78) 상에 형성된다. 제2 스페이서 재료(79)는 제2 스페이서들(86)을 형성하기 위해 사용된다(도 10a 내지 도 10c 참조). 몇몇 실시예들에서, 제2 스페이서 재료(79)는 산화물, 질화물, 실리콘 산질화물, 실리콘 산탄화질화물, 실리콘 산탄화물 등, 또는 이들의 조합과 같은 재료일 수 있다. 제2 스페이서 재료(79)는 CVD, PE-CVD, ALD, PVD, 스퍼터링 등과 같은 프로세스를 사용하여 컨포멀하게 성막될 수 있다. 몇몇 실시예들에서, 제2 스페이서 재료(79)는 하나 이상의 재료의 다중 층들을 포함할 수 있다.9A, 9B, and 9C, a second spacer material 79 is formed on the first spacer material 78. The second spacer material 79 is used to form the second spacers 86 (see Figs. 10A-10C). In some embodiments, the second spacer material 79 may be a material such as oxide, nitride, silicon oxynitride, silicon oxycarbide, silicon oxycarbide, or a combination thereof. The second spacer material 79 may be conformally deposited using a process such as CVD, PE-CVD, ALD, PVD, sputtering, or the like. In some embodiments, the second spacer material 79 may include multiple layers of one or more materials.

몇몇 실시예들에서, 제2 스페이서 재료(79)는 인접한 핀들(52) 사이의 영역들(예를 들어, "내부” 영역들)이 제2 스페이서 재료(79)로 적어도 부분적으로 채워지도록 핀들(52) 위에 형성된다. 내부 영역들은 도 9c에 도시된 바와 같이 제2 스페이서 재료(79)로 완전히 채워질 수 있다. 이와 같이, 제2 스페이서 재료(79)는 인접한 핀들(52) 상의 제1 스페이서 재료(78) 사이의 분리 거리(W1')의 약 절반과 동일하거나 또는 분리 거리(W1')의 절반보다 큰 두께(T1)로 성막될 수 있다. 다른 실시예들에서, 제2 스페이서 재료(79)는 분리 거리(W1')의 절반 미만인 핀들(52) 상의 두께(T1)로 성막될 수 있다. 몇몇 실시예들에서, 제2 스페이서 층(79)은 약 3 nm 내지 약 20 nm의 성막 두께(T1)를 갖도록 형성될 수 있다. 몇몇 경우에, 내부 영역들을 채우는 제2 스페이서 재료(79)는 시임(seam)을 가질 수 있다.In some embodiments, the second spacer material 79 includes fins (eg, the “inner” regions) between adjacent fins 52 at least partially filled with the second spacer material 79. 52. The inner regions can be completely filled with the second spacer material 79 as shown in Fig. 9C. As such, the second spacer material 79 is formed of the first spacer material on the adjacent fins 52. It may be deposited with a thickness T1 equal to about half of the separation distance W1' between 78 or greater than half of the separation distance W1'. In other embodiments, the second spacer material 79 ) May be deposited to a thickness T1 on the fins 52 that is less than half of the separation distance W1'. In some embodiments, the second spacer layer 79 has a deposition thickness of about 3 nm to about 20 nm. It may be formed to have (T1) In some cases, the second spacer material 79 filling the inner regions may have a seam.

핀들(52) 사이의 제2 스페이서 재료(79)의 상부면은 평평하거나, 볼록하거나, 오목할 수 있으며, 이는 성막된 제2 스페이서 재료(79)의 분리 거리(W1') 및/또는 성막 두께(T1)에 의존할 수 있다. 예를 들어, 더 큰 핀 분리 거리(W1) 또는 더 작은 성막 두께(T1)는 핀들(52) 내의 제2 스페이서 재료(79)의 높이(H1)를 감소시킬 수 있다. 높이(H1)는 성막 두께(T1)보다 클 수 있다. 핀들(52) 사이의 제2 스페이서 재료(79)의 높이(H1)는 STI 영역들(56) 위에 돌출된 핀들(52)의 높이(H0)보다 크거나, 거의 동일하거나, 작을 수 있다. 핀들(52) 사이의 제2 스페이서 재료(79)의 높이(H1)는 약 3 nm 내지 약 60 nm일 수 있다. 제2 스페이서 재료(79)의 성막 두께(T1) 및/또는 높이(H1)를 제어함으로써, 에피택셜 소스/드레인 영역(82)(도 11C 참조)의 최소 내부 높이(IO) 및 높이 차이(DH)가 제어될 수 있다.The upper surface of the second spacer material 79 between the pins 52 may be flat, convex, or concave, which is the separation distance W1 ′ of the deposited second spacer material 79 and/or the film thickness You can rely on (T1). For example, a larger fin separation distance W1 or a smaller deposition thickness T1 may reduce the height H1 of the second spacer material 79 in the fins 52. The height H1 may be greater than the film formation thickness T1. The height H1 of the second spacer material 79 between the fins 52 may be greater, substantially the same, or less than the height H0 of the fins 52 protruding over the STI regions 56. The height H1 of the second spacer material 79 between the fins 52 may be about 3 nm to about 60 nm. By controlling the deposition thickness T1 and/or the height H1 of the second spacer material 79, the minimum inner height IO and the height difference DH of the epitaxial source/drain region 82 (see Fig. 11C) ) Can be controlled.

도 10a, 도 10b 및 도 10c로 돌아가, 몇몇 실시예들에 따라, 리세스들(84)이 핀들(52)에 형성된다. 도 10c에서, 더미 게이트 구조물 아래의 핀들(52)의 채널 영역들(58)(예를 들어, 리세스들(84)를 형성하기 위해 에칭되지 않는 채널 영역들(58))의 위치는 참조를 위해 도시된다. 리세스들(84)은 에칭 프로세스(85)를 사용하여 형성될 수 있으며, 이는 또한 제1 스페이서 재료(78)를 에칭하여 제1 스페이서들(80)을 형성하고 제2 스페이서 재료(79)를 에칭하여 제2 스페이서들(86)을 형성한다. 제1 스페이서들(80) 및 제2 스페이서들(86)은 본 명세서에서 집합적으로 "게이트 스페이서들"로 지칭될 수 있다. 제1 스페이서들(80) 및 제2 스페이서들(86), 더미 게이트들(72) 및 마스크들(74)은 본 명세서에서 집합적으로 "더미 게이트 구조물들"로 지칭될 수 있다. 몇몇 실시예들에서, 에칭 프로세스(85)는 하나 이상의 이방성 건식 에칭 단계들과 같은 하나 이상의 에칭 단계를 포함한다. 다른 실시예들에서, 에칭 프로세스(85)는 제1 스페이서 재료(78) 및 제2 스페이서 재료(79)를 에칭하는 제1 에칭 프로세스 및 리세스들(84)을 형성하는 제2 에칭 프로세스를 포함한다. 도 10b 내지 도 10c에 도시된 제1 스페이서 재료(78), 제2 스페이서 재료(79) 및 리세스들(84)의 예시적인 에칭은 예시를 위한 것이며, 에칭 프로세스(85)는 다른 실시예들에서 제1 스페이서 재료(78), 제2 스페이서 재료(79) 또는 리세스들(84)을 다르게 에칭할 수 있다. 예를 들어, 게이트 스페이서들의 표면들은 도 10c에서 편평한 것으로 도시되어 있지만, 다른 실시예들에서는 볼록하거나 오목할 수 있다.Returning to FIGS. 10A, 10B and 10C, recesses 84 are formed in fins 52, according to some embodiments. In FIG. 10C, the location of the channel regions 58 of the fins 52 under the dummy gate structure (e.g., the channel regions 58 that are not etched to form the recesses 84) are for reference. Is shown for. The recesses 84 may be formed using an etching process 85, which also etch the first spacer material 78 to form the first spacers 80 and the second spacer material 79. The second spacers 86 are formed by etching. The first spacers 80 and the second spacers 86 may be collectively referred to herein as “gate spacers”. The first spacers 80 and the second spacers 86, the dummy gates 72 and the masks 74 may be collectively referred to herein as “dummy gate structures”. In some embodiments, etching process 85 includes one or more etching steps, such as one or more anisotropic dry etching steps. In other embodiments, the etch process 85 includes a first etch process to etch the first spacer material 78 and the second spacer material 79 and a second etch process to form the recesses 84. do. The exemplary etching of the first spacer material 78, the second spacer material 79 and the recesses 84 shown in FIGS. 10B-10C is for illustration purposes, and the etching process 85 is in other embodiments. The first spacer material 78, the second spacer material 79, or the recesses 84 may be etched differently. For example, the surfaces of the gate spacers are shown as flat in FIG. 10C, but may be convex or concave in other embodiments.

몇몇 실시예들에서, 에칭 프로세스(85)는 게이트 스페이서들(예를 들어, 제1 스페이서들(80) 및/또는 제2 스페이서들(86))의 상이한 영역들이 게이트 스페이서들의 다른 영역들보다 STI 영역들(56) 위로 더 높게 연장되도록, 제1 스페이서 재료(78) 또는 제2 스페이서 재료(79)의 부분들을 상이한 양으로 에칭할 수 있다. 예를 들어, 에칭 프로세스(85) 후, 인접한 핀들(52) 사이에서 연장되는 게이트 스페이서들의 영역들은 인접한 핀들(52) 사이에 있지 않은 영역들보다 STI 영역들(56) 위에 더 큰 높이를 가질 수 있다. 이것은 도 10c에 도시되어 있는데, 여기서 게이트 스페이서들의 "내부 영역들"(예를 들어, 핀들(52) 사이의 영역들)이 핀들(52)에 인접한 높이(H2)를 갖고, 게이트 스페이서들의 "외부 영역"(예를 들어, 핀들(52) 사이에 있지 않은 영역들)은 높이(H2)보다 작은, 핀들(52)에 인접한 높이(H3)를 갖는다. 일부 경우에, 핀들(52)으로부터 더 멀리 있는 게이트 스페이서들의 부분들은 높이(H3)보다 작은 높이(H3')를 가질 수 있다. 이러한 방식으로, 게이트 스페이서들은 외부 영역들 내에서보다 내부 영역들 내에서 더 큰 수직 두께(예를 들어, 게이트 스페이서들의 하부면과 상부면 사이의 수직 거리)를 갖는다. 몇몇 실시예들에서, 내부 영역들 내의 게이트 스페이서들의 STI 영역들(56) 위의 높이(H2)는 약 5nm 내지 약 40nm 일 수 있고, 외부 영역들 내의 게이트 스페이서들의 STI 영역들(56) 위의 높이(H3)(또는 H3')는 약 0 nm 내지 약 30 nm 일 수 있다. 높이들(H2 및 H3) 간의 높이차(H4)는 약 0 nm 내지 약 40 nm일 수 있다. 높이(H3)는 STI 영역들(56)으로부터 돌출된 에칭된 핀들(52)의 높이(H0')보다 크거나, 작거나, 거의 동일할 수 있고, 높이(H2)는 높이(H0')보다 크거나, 거의 동일할 수 있다.In some embodiments, the etching process 85 may cause different regions of the gate spacers (e.g., first spacers 80 and/or second spacers 86) to be STI than other regions of the gate spacers. Different amounts of portions of the first spacer material 78 or the second spacer material 79 can be etched to extend higher over the regions 56. For example, after the etching process 85, regions of the gate spacers extending between adjacent fins 52 may have a greater height above the STI regions 56 than regions not between adjacent fins 52. have. This is shown in Figure 10c, where the "inner regions" of the gate spacers (e.g., regions between fins 52) have a height H2 adjacent to the fins 52, and the "outer regions" of the gate spacers. The region" (eg, regions that are not between the fins 52) has a height H3 adjacent to the fins 52, which is less than the height H2. In some cases, portions of the gate spacers further away from the fins 52 may have a height H3' that is smaller than the height H3. In this way, the gate spacers have a greater vertical thickness (eg, a vertical distance between the lower and upper surfaces of the gate spacers) within the inner regions than within the outer regions. In some embodiments, the height H2 above the STI regions 56 of the gate spacers in the inner regions may be from about 5 nm to about 40 nm, and above the STI regions 56 of the gate spacers in the outer regions. The height H3 (or H3') may be about 0 nm to about 30 nm. The height difference H4 between the heights H2 and H3 may be about 0 nm to about 40 nm. The height H3 may be greater than, less than, or substantially the same as the height H0' of the etched fins 52 protruding from the STI regions 56, and the height H2 is less than the height H0'. It can be large, or about the same.

게이트 스페이서들의 내부 영역들의 높이(H2)는 도 9c에 도시된 바와 같이, 인접한 핀들(52) 사이의 내부 영역들을 충전하는(fill)(또는 부분적으로 충전하는) 제2 스페이서 재료(79)로 인해 게이트 스페이서들의 외부 영역들의 높이(H3)보다 클 수 있다. 내부 영역들 내에 성막된 제2 스페이서 재료(79)는 노출된 상부면들을 갖고, 핀들(52)의 외부 측벽들은 노출된 상부면들 및 노출된 측면들을 모두 갖는다. 따라서, 에칭 프로세스(85)는 내부 영역들보다 더 큰 전체 속도로 외부 영역들에서 제1 스페이서 재료(78) 및 제2 스페이서 재료(79)를 에칭한다. 이는 에칭 프로세스 후 내부 영역들이 외부 영역들보다 더 많은 게이트 스페이서 재료를 남게 할 수 있다. 추가적으로, 인접한 핀들(52)의 존재를 가두는 것은 내부 영역들에서 에천트 이동성을 감소시켜, 내부 영역들의 에칭 속도를 더욱 감소시킬 수 있다.The height H2 of the inner regions of the gate spacers is due to the second spacer material 79 that fills (or partially fills) the inner regions between adjacent fins 52, as shown in FIG. 9C. It may be greater than the height H3 of the outer regions of the gate spacers. The second spacer material 79 deposited in the inner regions has exposed top surfaces, and the outer sidewalls of the fins 52 have both exposed top surfaces and exposed sides. Accordingly, the etching process 85 etches the first spacer material 78 and the second spacer material 79 in the outer regions at a greater overall rate than the inner regions. This may cause the inner regions to leave more gate spacer material than the outer regions after the etching process. Additionally, confining the presence of adjacent fins 52 may reduce etchant mobility in the inner regions, further reducing the etch rate of the inner regions.

이러한 방식으로, 게이트 스페이서들의 높이(H2), 높이(H3), 및/또는 높이 차이(H4)는 구조물의 기하학적 구조 또는 토폴로지를 제어함으로써, 예컨대 인접한 핀들(52) 사이의 분리 거리(W1')를 제어함으로써, 제1 스페이서 재료(78) 또는 제2 스페이서 재료(79)의 두께, 내부 영역들에서의 제2 스페이서 재료(79)의 높이(H1) 등을 제어함으로써 제어될 수 있다. 높이들은 또한 에칭 프로세스(85)의 프로세스 파라미터들을 제어함으로써 제어될 수 있다. 프로세스 파라미터들은 예를 들어 프로세스 가스 혼합물, 전압 바이어스, RF 전력, 프로세스 온도, 프로세스 압력, 기타 파라미터들, 또는 이들의 조합을 포함할 수 있다. 몇몇 실시예들에서, 리세스들(84)에 형성된 에피택셜 소스/드레인 영역들(82)(도 11b 내지 도 11c 참조)의 형상, 체적, 면적, 사이즈, 병합 높이, 또는 기타 특징들은 이러한 방식으로 에칭 프로세스(85)를 제어함으로써 제어될 수 있다.In this way, the height H2, height H3, and/or height difference H4 of the gate spacers can be controlled by controlling the geometry or topology of the structure, for example the separation distance W1' between adjacent fins 52 By controlling, it can be controlled by controlling the thickness of the first spacer material 78 or the second spacer material 79, the height H1 of the second spacer material 79 in the inner regions, and the like. Heights can also be controlled by controlling the process parameters of etching process 85. Process parameters may include, for example, process gas mixture, voltage bias, RF power, process temperature, process pressure, other parameters, or combinations thereof. In some embodiments, the shape, volume, area, size, merge height, or other features of the epitaxial source/drain regions 82 (see FIGS. 11B-11C) formed in the recesses 84 may be in this manner. By controlling the etching process 85 can be controlled.

도 11a, 도 11b 및 도 11c는 몇몇 실시예들에 따라 핀들(52)에 에피택셜 소스/드레인 영역들(82)을 형성하는 것을 예시한다. 명확성을 위해, 도 11a 내지 도 11c에 도시된 피처들의 일부 치수들 또는 비율들은 다른 도면들에 도시된 것과 다를 수 있다. 영역(50N)의 에피택셜 소스/드레인 영역들(82), 예를 들어 NMOS 영역은 영역(50P), 예를 들어, PMOS 영역을 마스킹하고 영역(50N)의 핀들(52)의 소스/드레인 영역들을 에칭하여 핀들(52)에 리세스들을 형성함으로써 형성될 수 있다. 그 후, 영역(50N)의 에피택셜 소스/드레인 영역들(82)은 핀들(52)의 노출된 부분들로부터 리세스들(84)에서 에피택셜 성장된다. 영역(50P)의 에피택셜 소스/드레인 영역들(82), 예를 들어 PMOS 영역은 영역(50N), 예를 들어, NMOS 영역을 마스킹하고 영역(50P)의 핀들(52)의 소스/드레인 영역들을 에칭하여 핀들(52)에 리세스들을 형성함으로써 형성될 수 있다. 그 후, 영역(50P)의 에피택셜 소스/드레인 영역들(82)은 핀들(52)의 노출된 부분들로부터 리세스들(84)에서 에피택셜 성장된다. 에피택셜 소스/드레인 영역들(82)은 CVD, 금속 유기 CVD(MOCVD, metal-organic CVD), 분자 빔 에피택시(MBE, molecular beam epitaxy), 액상 에피택시(LPE, liquid phase epitaxy), 기상 에피택시(VPE, vapor phase epitaxy), 선택적 에피택셜 성장(SEG, selective epitaxial growth) 등, 또는 이들의 조합과 같은 적합한 프로세스를 사용하여 에피택셜하게 성장될 수 있다.11A, 11B, and 11C illustrate forming epitaxial source/drain regions 82 on fins 52 according to some embodiments. For clarity, some dimensions or ratios of the features shown in FIGS. 11A-11C may be different from those shown in other figures. The epitaxial source/drain regions 82 of the region 50N, e.g., the NMOS region, masks the region 50P, e.g., the PMOS region, and the source/drain regions of the pins 52 of the region 50N. Can be formed by etching them to form recesses in the fins 52. Thereafter, the epitaxial source/drain regions 82 of the region 50N are epitaxially grown in the recesses 84 from the exposed portions of the fins 52. The epitaxial source/drain regions 82 of the region 50P, e.g., the PMOS region, mask the region 50N, e.g., the NMOS region, and the source/drain regions of the pins 52 of the region 50P. Can be formed by etching them to form recesses in the fins 52. Thereafter, the epitaxial source/drain regions 82 of the region 50P are epitaxially grown in the recesses 84 from the exposed portions of the fins 52. The epitaxial source/drain regions 82 are CVD, metal-organic CVD (MOCVD), molecular beam epitaxy (MBE), liquid phase epitaxy (LPE), and vapor phase epitaxy. It can be grown epitaxially using a suitable process such as vapor phase epitaxy (VPE), selective epitaxial growth (SEG), or the like, or a combination thereof.

에피택셜 소스/드레인 영역들(82)은 n-타입 FinFET들 또는 p-타입 FinFET들에 적합한 것과 같은 임의의 허용가능한 재료를 포함할 수 있다. 예를 들어, 핀(52)이 실리콘인 경우, 영역(50N)내의 에피택셜 소스/드레인 영역들(82)은 실리콘, 실리콘 탄화물, 인 도핑된 실리콘 탄화물, 실리콘 인화물 등과 같이 채널 영역(58)에 인장 변형을 가하는 재료들을 포함할 수 있다. 핀(52)이 실리콘인 경우, 영역(50P)내의 에피택셜 소스/드레인 영역들(82)은 실리콘-게르마늄, 붕소 도핑된 실리콘-게르마늄, 게르마늄, 게르마늄 주석 등과 같은 채널 영역(58)에 압축 변형을 가하는 재료들을 포함할 수 있다.The epitaxial source/drain regions 82 may comprise any acceptable material such as suitable for n-type FinFETs or p-type FinFETs. For example, when the fin 52 is silicon, the epitaxial source/drain regions 82 in the region 50N are in the channel region 58 such as silicon, silicon carbide, phosphorus-doped silicon carbide, silicon phosphide, etc. Materials that apply tensile strain may be included. When the fin 52 is silicon, the epitaxial source/drain regions 82 in the region 50P are compressively deformed in the channel region 58 such as silicon-germanium, boron-doped silicon-germanium, germanium, germanium tin, etc. It may include materials to apply.

에피택셜 소스/드레인 영역들(82)은 핀들(52)의 각각의 표면들로부터 상승된 표면들을 가질 수 있고, 패싯(facet)들을 가질 수 있다. 예를 들어, 에피택셜 소스/드레인 영역들(82)의 표면들은 (111) 결정 배향을 갖는 패싯들, 다른 결정 배향들의 패싯들, 또는 다르게 배향된 패싯들의 조합들을 가질 수 있다. 도 11c에 예시된 바와 같이, 인접한 핀들(52)에 형성된 에피택셜 재료는 결정 평면들을 따라 핀들(52)의 측벽들 너머 측방향으로 외측으로 확장될 수 있고, 내부 영역들에서 병합되어 다수의 인접한 핀들(52) 위로 연장되는 연속적인 에피택셜 소스/드레인 영역(82)을 형성할 수 있다. 예를 들어, 인접한 핀들(52)로부터 성장된 에피택셜 재료는 STI 영역(56) 위의 병합 높이(MH)에서 내부 영역에 병합될 수 있다. 이러한 방식으로, 에피택셜 소스/드레인 영역들(82)은 내부 영역들 내의 인접한 핀들(52) 사이에서 연장되는 하부 내부 표면(83A)과 핀들(52)으로부터 외부 영역들로 연장되는 하부 외부 표면(83B)을 가질 수 있다. 일부 경우에, 표면들(83A 및 83B)은 예컨대 {111} 패싯들 또는 다른 패싯들을 갖도록 패싯 처리될 수 있다.The epitaxial source/drain regions 82 may have surfaces raised from respective surfaces of the fins 52 and may have facets. For example, the surfaces of the epitaxial source/drain regions 82 may have facets having a (111) crystal orientation, facets of different crystal orientations, or combinations of differently oriented facets. As illustrated in FIG. 11C, the epitaxial material formed on the adjacent fins 52 may extend outwardly in the lateral direction beyond the sidewalls of the fins 52 along the crystal planes, and merge in the inner regions to form a plurality of adjacent fins. A continuous epitaxial source/drain region 82 extending over the fins 52 may be formed. For example, epitaxial material grown from adjacent fins 52 may be incorporated into the inner region at a merge height MH above the STI region 56. In this way, the epitaxial source/drain regions 82 have a lower inner surface 83A extending between adjacent fins 52 in the inner regions and a lower outer surface extending from the fins 52 to the outer regions ( 83B). In some cases, surfaces 83A and 83B may be faceted to have {111} facets or other facets, for example.

몇몇 실시예들에서, 에피택셜 재료의 측방향 성장은 게이트 스페이서들의 재료에 의해 차단된다. 예를 들어, 내부 영역들의 측방향 성장은 내부 영역들의 게이트 스페이서들의 높이(H2) 아래에서 차단될 수 있고, 외부 영역들의 측방향 성장은 외부 영역들의 게이트 스페이서들의 높이(H3) 아래에서 차단될 수 있다. 이러한 방식으로, 내부 영역들로 연장되는 에피택셜 소스/드레인 영역들(82)의 하부 내부 표면(83A)은 내부 영역들의 게이트 스페이서들의 높이(H2)와 거의 동일한 STI 영역들(56) 위의 최소 내부 높이(IH)를 가질 수 있다. 또한, 외부 영역들로 연장되는 에피택셜 소스/드레인 영역들(82)의 하부 외부 표면(83B)은 외부 영역들의 게이트 스페이서들의 높이(H3)와 거의 동일한 STI 영역들(56) 위의 최소 내부 높이(OH)를 가질 수 있다. 상기 설명된 게이트 스페이서들의 높이 차이(H4)로 인해, 높이(IH)는 높이(OH)보다 클 수 있다. 몇몇 실시예들에서, 최소 내부 높이(IH)는 약 5 nm 내지 약 40 nm 일 수 있고, 최소 외부 높이(OH)는 약 0 nm 내지 약 30 nm 일 수 있다. 높이들(IH 및 OH) 간의 높이차(DH)는 약 5 nm 내지 약 40 nm일 수 있다.In some embodiments, the lateral growth of the epitaxial material is blocked by the material of the gate spacers. For example, the lateral growth of the inner regions may be blocked below the height H2 of the gate spacers of the inner regions, and the lateral growth of the outer regions may be blocked below the height H3 of the gate spacers of the outer regions. have. In this way, the lower inner surface 83A of the epitaxial source/drain regions 82 extending to the inner regions is the minimum above the STI regions 56 that are approximately equal to the height H2 of the gate spacers of the inner regions. It may have an inner height (IH). In addition, the lower outer surface 83B of the epitaxial source/drain regions 82 extending to the outer regions is the minimum inner height above the STI regions 56 that are substantially equal to the height H3 of the gate spacers of the outer regions. It can have (OH). Due to the height difference H4 of the gate spacers described above, the height IH may be greater than the height OH. In some embodiments, the minimum inner height (IH) may be about 5 nm to about 40 nm, and the minimum outer height (OH) may be about 0 nm to about 30 nm. The height difference DH between the heights IH and OH may be about 5 nm to about 40 nm.

몇몇 실시예들에서, 에피택셜 소스/드레인 영역(82)의 병합 높이(MH)는 에피택셜 소스/드레인 영역(82)의 최소 내부 높이(IH)를 제어함으로써 제어될 수 있으며, 이는 내부 영역들의 게이트 스페이서들의 높이(H2)를 제어함으로써 제어될 수 있다. 게이트 스페이서들의 높이(H2)는 전술한 바와 같이 제어될 수 있다. 몇몇 실시예들에서, 병합 높이(HM)는 약 5 nm 내지 약 70 nm일 수 있다. 병합 높이(MH)는 에피택셜 소스/드레인 영역들(82)의 측방향 높이(LH)보다 위, 아래 또는 대략 같은 높이이도록 제어될 수 있으며, 이는 외부 영역으로 측방향으로 가장 멀리 연장되는 에피택셜 소스/드레인 영역들(82)의 부분의 STI 영역들(56) 위의 높이를 지정한다. 몇몇 실시예들에서, 병합 높이(LH)는 약 30 nm 내지 약 50 nm일 수 있다. 몇몇 실시예들에서, 병합 높이(MH)는 에피택셜 소스/드레인 영역(82)의 중간 높이(예를 들어, 전체 수직 두께의 절반에서의 높이)보다 위, 아래 또는 대략 같은 높이이도록 제어될 수 있으며, 이는 일부 경우에 측방향 높이(LH)와 대략 동일할 수 있다.In some embodiments, the merge height MH of the epitaxial source/drain region 82 may be controlled by controlling the minimum inner height IH of the epitaxial source/drain region 82, which is It can be controlled by controlling the height H2 of the gate spacers. The height H2 of the gate spacers may be controlled as described above. In some embodiments, the merge height HM may be between about 5 nm and about 70 nm. The merge height MH may be controlled to be above, below, or approximately the same height than the lateral height LH of the epitaxial source/drain regions 82, which is the epitaxial that extends farthest laterally to the outer region. Specifies the height above the STI regions 56 of the portion of the source/drain regions 82. In some embodiments, the merge height LH may be between about 30 nm and about 50 nm. In some embodiments, the merge height MH may be controlled to be above, below, or approximately equal to the intermediate height of the epitaxial source/drain region 82 (e.g., the height at half the total vertical thickness). And, in some cases, it may be approximately equal to the lateral height LH.

병합 높이(MH)를 제어함으로써, 에피택셜 소스/드레인 영역들(82)의 단면적은 제어될 수 있다. 예를 들어, 더 큰 MH는 에피택셜 소스/드레인 영역들(82)의 더 작은 단면적에 대응할 수 있다. 추가로, 에피택셜 소스/드레인 영역들(82)의 단면적은 높이 차이(DH)를 제어함으로써 제어될 수 있다. 예를 들어, 더 큰 DH는 에피택셜 소스/드레인 영역들(82)의 더 작은 단면적에 대응할 수 있다. 에피택셜 소스/드레인 영역들(82)의 단면적을 감소시킴으로써, FinFET 디바이스의 기생 게이트-드레인 커패시턴스(Cgd)가 감소될 수 있으며, 이는 FinFET 디바이스의 성능을 향상시킬 수 있다. 예를 들어, FinFET 디바이스의 RC 지연은 감소될 수 있고, FinFET 디바이스의 응답 속도는 향상될 수 있다. 이러한 방식으로, 높이 차이(DH)를 증가시키는 것은 기생 커패시턴스(Cgd)를 감소시킬 수 있다. 몇몇 실시예들에서, 0이 아닌 DH를 갖는 에피택셜 소스/드레인 영역들(82)의 단면적은 DH = 0인 기준 에피택셜 소스/드레인 영역의 단면적의 약 0 % 내지 약 28 %로 감소될 수 있다.By controlling the merge height MH, the cross-sectional area of the epitaxial source/drain regions 82 can be controlled. For example, a larger MH may correspond to a smaller cross-sectional area of the epitaxial source/drain regions 82. Additionally, the cross-sectional area of the epitaxial source/drain regions 82 can be controlled by controlling the height difference DH. For example, a larger DH may correspond to a smaller cross-sectional area of the epitaxial source/drain regions 82. By reducing the cross-sectional area of the epitaxial source/drain regions 82, the parasitic gate-drain capacitance (Cgd) of the FinFET device can be reduced, which can improve the performance of the FinFET device. For example, the RC delay of the FinFET device can be reduced, and the response speed of the FinFET device can be improved. In this way, increasing the height difference DH can reduce the parasitic capacitance Cgd. In some embodiments, the cross-sectional area of the epitaxial source/drain regions 82 having a non-zero DH may be reduced from about 0% to about 28% of the cross-sectional area of the reference epitaxial source/drain region with DH = 0. have.

몇몇 실시예들에서, 에피택셜 소스/드레인 영역(82)은 2 개 이상의 핀들(52)에서 성장된 병합된 에피택셜 재료로 형성될 수 있다. 예시적인 다중 핀 실시예가 도 12에 도시되어 있지만, 에피택셜 소스/드레인 영역(82)은 도시된 것보다 더 많거나 더 적은 핀들(52) 위에 형성될 수 있다. 도 12에 도시된 바와 같이, 각각의 인접한 핀들(52)의 쌍 사이의 영역은 "내부” 영역이다. 본 명세서에 설명된 기법들은 이 실시예 및 다른 다중 핀 실시예들에서 에피택셜 소스/드레인 영역들(82)의 단면적을 감소시키는 데 사용될 수 있다.In some embodiments, epitaxial source/drain region 82 may be formed of a merged epitaxial material grown on two or more fins 52. Although an exemplary multi-fin embodiment is shown in FIG. 12, epitaxial source/drain regions 82 may be formed over more or fewer fins 52 than shown. 12, the area between each pair of adjacent pins 52 is an “inner” area. The techniques described herein are the epitaxial source/drain in this and other multi-pin embodiments. It can be used to reduce the cross-sectional area of the regions 82.

에피택셜 소스/드레인 영역들(82) 및/또는 핀들(52)은 도펀트들이 주입되어 소스/드레인 영역을 형성할 수 있는데, 이는 저농도로 도핑된 소스/드레인 영역들을 형성하기 위해 앞서 논의된 프로세스와 유사하게 어닐링이 이어진다. 소스/드레인 영역들은 약 1019 cm-3 내지 약 1021 cm-3의 불순물 농도를 가질 수 있다. 소스/드레인 영역들에 대한 n-타입 및/또는 p-타입 불순물들은 이전에 논의된 불순물들 중 임의 것일 수 있다. 몇몇 실시예들에서, 에피택셜 소스/드레인 영역들(82)은 성장 동안에 인 시츄 도핑될 수 있다. 몇몇 실시예들에서, 에피택셜 소스/드레인 영역들(82)의 프로파일은 더 높은 프리즘들 사이에 샌드위칭된 더 짧은 프리즘들을 포함할 수 있는, 기판(50)을 향하는 프리즘 어레이이다.The epitaxial source/drain regions 82 and/or fins 52 may be implanted with dopants to form a source/drain region, which is similar to the process discussed above to form lightly doped source/drain regions. Similarly, annealing follows. The source/drain regions may have an impurity concentration of about 10 19 cm -3 to about 10 21 cm -3. The n-type and/or p-type impurities for the source/drain regions may be any of the previously discussed impurities. In some embodiments, epitaxial source/drain regions 82 may be doped in situ during growth. In some embodiments, the profile of epitaxial source/drain regions 82 is an array of prisms facing the substrate 50, which may include shorter prisms sandwiched between higher prisms.

도 13a 및 도 13b에서, 제1 층간 유전체(ILD, interlayer dielectric)(88)가 구조물 위에 성막된다. 제1 ILD(88)는 유전체 재료로 형성될 수 있고, CVD, 플라즈마 강화 CVD(PECVD) 또는 FCVD와 같은 임의의 적합한 방법에 의해 성막될 수 있다. 유전체 재료들은 포스포-실리케이트 유리(PSG, phospho-silicate glass), 보로-실리케이트 유리(BSG, boro-silicate glass), 붕소 도핑된 포스포-실리케이트 유리(BPSG, boron-doped phospho-silicate glass), 비 도핑된 실리케이트 유리(USG, undoped silicate glass) 등을 포함할 수 있다. 임의의 허용가능한 프로세스에 의해 형성된 다른 절연 재료들이 사용될 수 있다. 몇몇 실시예들에서, 콘택 에칭 스탑 층(CESL, contact etch stop layer)(87)은 제1 ILD(88)와 에피택셜 소스/드레인 영역들(82), 마스크들(74), 및 게이트 스페이서들 사이에 배치된다. CESL(87)은 위에 놓인 제1 ILD(88)의 재료와 상이한 에칭 속도를 갖는 실리콘 질화물, 실리콘 산화물, 실리콘 산질화물 등과 같은 유전체 재료를 포함할 수 있다.13A and 13B, a first interlayer dielectric (ILD) 88 is deposited over the structure. The first ILD 88 may be formed of a dielectric material and may be deposited by any suitable method such as CVD, plasma enhanced CVD (PECVD) or FCVD. Dielectric materials include phospho-silicate glass (PSG), boro-silicate glass (BSG), boron-doped phospho-silicate glass (BPSG), It may include undoped silicate glass (USG). Other insulating materials formed by any acceptable process may be used. In some embodiments, the contact etch stop layer (CESL) 87 includes the first ILD 88 and epitaxial source/drain regions 82, masks 74, and gate spacers. Are placed in between. The CESL 87 may include a dielectric material such as silicon nitride, silicon oxide, silicon oxynitride, or the like having an etching rate different from that of the overlying first ILD 88.

도 14a 및 도 14b에서, CMP와 같은 평탄화 프로세스가 제1 ILD(88)의 상부면을 더미 게이트들(72) 또는 마스크들(74)의 상부면들과 수평하게 하기 위해 수행될 수 있다. 평탄화 프로세스는 또한 더미 게이트들(72) 상의 마스크들(74) 및 마스크들(74)의 측벽들을 따른 제1 스페이서들(80) 및 제2 스페이서들(86)의 부분들을 제거할 수 있다. 평탄화 프로세스 후, 더미 게이트들(72), 제1 스페이서들(86), 제2 스페이서들(86), 및 제1 ILD (88)의 상부면들은 같은 높이이다. 따라서, 더미 게이트들(72)의 상부면들은 제1 ILD(88)를 통해 노출된다. 몇몇 실시예들에서, 마스크들(74)은 남아있을 수 있으며, 이 경우 평탄화 프로세스는 마스크들(74)의 상부면들과 제1 ILD(88)의 상부면을 같은 높이가 되게 한다.In FIGS. 14A and 14B, a planarization process such as CMP may be performed to level the top surface of the first ILD 88 with the top surfaces of the dummy gates 72 or masks 74. The planarization process may also remove portions of the first spacers 80 and the second spacers 86 along the sidewalls of the masks 74 and the masks 74 on the dummy gates 72. After the planarization process, the dummy gates 72, the first spacers 86, the second spacers 86, and the top surfaces of the first ILD 88 are of the same height. Accordingly, upper surfaces of the dummy gates 72 are exposed through the first ILD 88. In some embodiments, the masks 74 may remain, in which case the planarization process causes the top surfaces of the masks 74 and the top surface of the first ILD 88 to be flush.

도 15a 및 도 15b에서, 더미 게이트들(72) 및 존재한다면 마스크들(74)이 에칭 단계(들)에서 제거되어, 리세스들(90)이 형성된다. 리세스들(90) 내의 더미 유전체 층(60)의 부분들은 또한 제거될 수 있다. 몇몇 실시예들에서, 더미 게이트들(72)만이 제거되며, 더미 유전체 층(60)은 남아 있고 리세스들(90)에 의해 노출된다. 몇몇 실시예들에서, 더미 유전체 층(60)은 다이의 제1 영역(예를 들어, 코어 로직 영역)의 리세스들(90)로부터 제거되고, 다이의 제2 영역(예를 들어, 입력/출력 영역)의 리세스들(90)에 남아있다. 몇몇 실시예들에서, 더미 게이트들(72)은 이방성 건식 에칭 프로세스에 의해 제거된다. 예를 들어, 에칭 프로세스는 제1 ILD(88) 또는 게이트 스페이서들을 에칭하지 않고 더미 게이트들(72)을 선택적으로 에칭하는 반응 가스(들)를 사용하는 건식 에칭 프로세스를 포함할 수 있다. 각각의 리세스(90)는 각각의 핀(52)의 채널 영역(58)을 노출시키고 그리고/또는 그 위에 놓인다. 각각의 채널 영역(58)은 에피택셜 소스/드레인 영역(82)의 이웃한 쌍들 사이에 배치된다. 제거 동안, 더미 게이트들(72)이 에칭될 때 더미 유전체 층(60)은 에칭 스탑 층으로서 사용될 수 있다. 그 후, 더미 유전체 층(60)은 더미 게이트들(72)의 제거 후에 옵션적으로 제거될 수 있다.In FIGS. 15A and 15B, dummy gates 72 and masks 74, if present, are removed in the etching step(s) to form recesses 90. Portions of dummy dielectric layer 60 in recesses 90 may also be removed. In some embodiments, only dummy gates 72 are removed, and dummy dielectric layer 60 remains and is exposed by recesses 90. In some embodiments, the dummy dielectric layer 60 is removed from the recesses 90 in the first region of the die (e.g., the core logic region), and the second region of the die (e.g., the input/ Output area) of the recesses 90. In some embodiments, dummy gates 72 are removed by an anisotropic dry etching process. For example, the etching process may include a dry etching process using reactive gas(s) that selectively etch dummy gates 72 without etching the first ILD 88 or the gate spacers. Each recess 90 exposes and/or overlies the channel region 58 of each fin 52. Each channel region 58 is disposed between adjacent pairs of epitaxial source/drain regions 82. During removal, the dummy dielectric layer 60 can be used as an etch stop layer when the dummy gates 72 are etched. Thereafter, the dummy dielectric layer 60 may be optionally removed after the dummy gates 72 are removed.

도 16a 및 도 16b에서, 게이트 유전체 층들(92) 및 게이트 전극들(94)은 대체 게이트들을 위해 형성된다. 도 16c는 도 16b의 영역(89)의 상세도를 예시한다. 게이트 유전체 층(92)은 리세스들(90) 내에, 예컨대 핀들(52)의 상부면들 및 측벽들 상에 그리고 게이트 스페이서들의 측벽들 상에 컨포멀하게 성막된다. 게이트 유전체 층들(92)은 또한 제1 ILD(88)의 상부면 상에 형성될 수 있다. 몇몇 실시예들에 따르면, 게이트 유전체 층들(92)은 실리콘 산화물, 실리콘 질화물, 또는 이들의 다중층들을 포함한다. 몇몇 실시예들에서, 게이트 유전체 층들(92)은 하이-k 유전체 재료를 포함하고, 이들 실시예들에서, 게이트 유전체 층들(92)은 약 7.0보다 큰 k 값을 가질 수 있고,하프늄, 알루미늄, 지르코늄, 란탄, 망간, 바륨, 티타늄, 납, 및 이들의 조합들의 금속 산화물 또는 실리케이트를 포함할 수 있다. 게이트 유전체 층들(92)의 형성 방법들은 분자 빔 증착(MBD, molecular beam deposition), ALD, PECVD 등을 포함할 수 있다. 더미 유전체 층(60)의 부분들이 리세스들(90)에 남아있는 실시예들에서, 게이트 유전체 층들(92)은 더미 유전체 층(60)의 재료(예를 들어, 실리콘 산화물)를 포함한다.16A and 16B, gate dielectric layers 92 and gate electrodes 94 are formed for replacement gates. 16C illustrates a detailed view of the region 89 of FIG. 16B. A gate dielectric layer 92 is conformally deposited in the recesses 90, such as on the top surfaces and sidewalls of the fins 52 and on the sidewalls of the gate spacers. Gate dielectric layers 92 may also be formed on the top surface of the first ILD 88. According to some embodiments, the gate dielectric layers 92 include silicon oxide, silicon nitride, or multiple layers thereof. In some embodiments, the gate dielectric layers 92 comprise a high-k dielectric material, and in these embodiments, the gate dielectric layers 92 may have a k value greater than about 7.0, hafnium, aluminum, Metal oxides or silicates of zirconium, lanthanum, manganese, barium, titanium, lead, and combinations thereof. Methods of forming the gate dielectric layers 92 may include molecular beam deposition (MBD), ALD, PECVD, or the like. In embodiments where portions of the dummy dielectric layer 60 remain in the recesses 90, the gate dielectric layers 92 comprise the material of the dummy dielectric layer 60 (eg, silicon oxide).

게이트 전극들(94)은 게이트 유전체 층들(92) 위에 각각 성막되고, 리세스들(90)의 나머지 부분들을 충전한다. 게이트 전극들(94)은 티타늄 질화물, 티타늄 산화물, 탄탈 질화물, 탄탈 탄화물, 코발트, 루테늄, 알루미늄, 텅스텐, 이들의 조합들, 또는 이들의 다층들과 같은 금속 함유 재료를 포함할 수 있다. 예를 들어, 단일 층 게이트 전극(94)이 도 16b에 예시되어 있지만, 게이트 전극(94)은 도 16c에 의해 예시된 바와 같이 임의의 수의 라이너 층들(94A), 임의의 수의 일함수 튜닝 층들(94B), 및 충전 재료(102C)를 포함할 수 있다. 리세스들(90)를 충전한 후, CMP와 같은 평탄화 프로세스가 수행되어 게이트 유전체 층들(92)의 초과 부분들 및 게이트 전극들(94)의 재료를 제거할 수 있으며, 초과 부분들은 ILD(88)의 상부면 위에 있다. 따라서 게이트 전극들(94) 및 게이트 유전체 층들(92)의 재료의 나머지 부분들은 결과적인 FinFET들의 대체 게이트들을 형성한다. 게이트 전극들(94) 및 게이트 유전체 층들(92)은 총칭하여 "게이트 스택"으로 지칭될 수 있다. 게이트 및 게이트 스택들은 핀들(52)의 채널 영역(58)의 측벽들을 따라 연장될 수 있다.Gate electrodes 94 are deposited on the gate dielectric layers 92, respectively, and fill the remaining portions of the recesses 90. The gate electrodes 94 may include a metal containing material such as titanium nitride, titanium oxide, tantalum nitride, tantalum carbide, cobalt, ruthenium, aluminum, tungsten, combinations thereof, or multiple layers thereof. For example, a single layer gate electrode 94 is illustrated in FIG. 16B, but the gate electrode 94 has any number of liner layers 94A, any number of work function tuning as illustrated by FIG. 16C. Layers 94B, and a fill material 102C. After filling the recesses 90, a planarization process such as CMP may be performed to remove excess portions of the gate dielectric layers 92 and material of the gate electrodes 94, the excess portions being ILD 88 ) On the top surface. Thus, the remaining portions of the material of the gate electrodes 94 and the gate dielectric layers 92 form the replacement gates of the resulting FinFETs. The gate electrodes 94 and the gate dielectric layers 92 may be collectively referred to as a “gate stack”. The gate and gate stacks may extend along sidewalls of the channel region 58 of the fins 52.

영역(50N) 및 영역(50P)에서 게이트 유전체 층(92)의 형성은 각각의 영역의 게이트 유전체 층들(92)이 동일한 재료들로 형성되도록 동시에 발생할 수 있고, 게이트 전극들(94)의 형성은 각각의 영역의 게이트 전극들(94)이 동일한 재료들로 형성되도록 동시에 발생할 수 있다. 몇몇 실시예들에서, 각각의 영역의 게이트 유전체 층들(92)은 게이트 유전체 층들(92)이 상이한 재료들일 수 있도록 별개의 프로세스들에 의해 형성될 수 있고, 그리고/또는 각각의 영역의 게이트 전극들(94)은 게이트 전극들(94)이 상이한 재료들일 수 있도록 별개의 프로세스들에 의해 형성될 수 있다. 다양한 마스킹 단계들이 사용되어 별개의 프로세스를 사용할 때 적절한 영역들을 마스킹하고 노출시킬 수 있다.The formation of the gate dielectric layer 92 in the region 50N and the region 50P can occur simultaneously so that the gate dielectric layers 92 of each region are formed of the same materials, and the formation of the gate electrodes 94 It can occur simultaneously so that the gate electrodes 94 of each region are formed of the same materials. In some embodiments, the gate dielectric layers 92 in each region may be formed by separate processes such that the gate dielectric layers 92 may be of different materials, and/or the gate electrodes in each region. 94 may be formed by separate processes such that the gate electrodes 94 may be of different materials. Various masking steps can be used to mask and expose appropriate areas when using a separate process.

도 27a 및 도 27b에서, 제2 ILD(108)는 제1 ILD(88) 위에 성막된다. 몇몇 실시예들에서, 제2 ILD(108)는 우동성 CVD 방법에 의해 형성된 유동성 막이다. 몇몇 실시예들에서, 제2 ILD(108)는 PSG, BSG, BPSG, USG 등과 같은 유전체 재료로 형성되고, CVD 및 PECVD와 같은 임의의 적합한 방법에 의해 성막될 수 있다. 몇몇 실시예들에 따라, 제2 ILD(108)의 형성 전에, 게이트 스택(게이트 유전체 층(92) 및 대응하는 위에 놓인 게이트 전극(94)을 포함함)이 리세스되어, 도 17a 및 도 17b에 예시된 바와 같이 리세스가 게이트 스택 바로 위에 그리고 제2 스페이서들(86)의 대향 부분들 사이에 형성된다. 실리콘 질화물, 실리콘 산질화물 등과 같은 유전체 재료의 하나 이상의 층을 포함하는 게이트 마스크(96)가 리세스에 충전된 다음, 평탄화 프로세스가 수행되어 제1 ILD(88) 위로 연장되는 유전체 재료의 초과 부분들을 제거한다. 후속하여 형성된 게이트 콘택들(도 18a 및 도 18b 참조)은 게이트 마스크(96)를 관통하여 리세스된 게이트 전극(94)의 상부면과 접촉한다.27A and 27B, the second ILD 108 is deposited over the first ILD 88. In some embodiments, the second ILD 108 is a flowable film formed by a dominant CVD method. In some embodiments, the second ILD 108 is formed of a dielectric material such as PSG, BSG, BPSG, USG, and the like, and may be deposited by any suitable method such as CVD and PECVD. In accordance with some embodiments, prior to formation of the second ILD 108, the gate stack (including the gate dielectric layer 92 and the corresponding overlying gate electrode 94) is recessed, such that FIGS. 17A and 17B. A recess is formed directly above the gate stack and between opposite portions of the second spacers 86 as illustrated in FIG. A gate mask 96 comprising one or more layers of dielectric material such as silicon nitride, silicon oxynitride, etc. is filled in the recess, and then a planarization process is performed to remove excess portions of the dielectric material extending over the first ILD 88. Remove. Subsequently formed gate contacts (see FIGS. 18A and 18B) pass through the gate mask 96 and contact the recessed upper surface of the gate electrode 94.

도 18a 내지 도 18d에서, 몇몇 실시예들에 따르면, 게이트 콘택들(110) 및 소스/드레인 콘택들(112)은 제2 ILD(108) 및 제1 ILD(88)를 통해 형성된다. 소스/드레인 콘택들(112)용 개구들은 제1 및 제2 ILD들(88 및 108)을 통해 형성되고, 게이트 콘택(110)용 개구들은 제2 ILD(108) 및 게이트 마스크(96)를 통해 형성된다. 개구들은 허용가능한 포토리소그래피 및 에칭 기법들을 사용하여 형성될 수 있다. 확산 배리어 층, 접착 층 등과 같은 라이너 및 도전성 재료가 개구들에 형성된다. 라이너는 티타늄, 티타늄 질화물, 탄탈륨, 탄탈륨 질화물 등을 포함할 수 있다. 도전성 재료는 구리, 구리 합금, 은, 금, 텅스텐, 코발트, 알루미늄, 니켈 등일 수 있다. ILD(108)의 표면으로부터 초과 재료를 제거하기 위해 CMP와 같은 평탄화 프로세스가 수행될 수 있다. 나머지 라이너 및 도전성 재료는 개구들에 소스/드레인 콘택들(112) 및 게이트 콘택들(110)을 형성한다. 어닐링 프로세스가 수행되어 에피택셜 소스/드레인 영역들(82)과 소스/드레인 콘택들(112) 사이의 계면에서 실리사이드를 형성할 수 있다. 소스/드레인 콘택들(112)은 에피택셜 소스/드레인 영역들(82)에 물리적 및 전기적으로 커플링되고, 게이트 콘택들(110)은 게이트 전극들(106)에 물리적 및 전기적으로 커플링된다. 소스/드레인 콘택들(112) 및 게이트 콘택들(110)은 상이한 프로세스들에서 형성될 수 있거나 또는 동일한 프로세스에서 형성될 수 있다. 동일한 단면으로 형성되는 것으로 도시되어 있지만, 소스/드레인 콘택들(112) 및 게이트 콘택들(110) 각각은 콘택들의 단락을 방지할 수 있는 상이한 단면들로 형성될 수 있음을 이해해야 한다.18A to 18D, gate contacts 110 and source/drain contacts 112 are formed through the second ILD 108 and the first ILD 88, according to some embodiments. The openings for the source/drain contacts 112 are formed through the first and second ILDs 88 and 108, and the openings for the gate contact 110 are formed through the second ILD 108 and the gate mask 96. Is formed. The openings can be formed using acceptable photolithography and etching techniques. A liner and conductive material such as a diffusion barrier layer, an adhesive layer, and the like are formed in the openings. The liner may include titanium, titanium nitride, tantalum, tantalum nitride, and the like. The conductive material may be copper, copper alloy, silver, gold, tungsten, cobalt, aluminum, nickel, or the like. A planarization process such as CMP may be performed to remove excess material from the surface of the ILD 108. The remaining liner and conductive material form source/drain contacts 112 and gate contacts 110 in the openings. An annealing process may be performed to form silicide at the interface between the epitaxial source/drain regions 82 and the source/drain contacts 112. The source/drain contacts 112 are physically and electrically coupled to the epitaxial source/drain regions 82, and the gate contacts 110 are physically and electrically coupled to the gate electrodes 106. The source/drain contacts 112 and gate contacts 110 may be formed in different processes or may be formed in the same process. Although shown as being formed with the same cross-section, it should be understood that each of the source/drain contacts 112 and the gate contacts 110 may be formed with different cross-sections that can prevent shorting of the contacts.

개시된 FinFET 실시예들은 또한 나노구조물들(예를 들어, 나노시트, 나노와이어, 게이트-올-어라운드 등) 전계 효과 트랜지스터(NSFET)들과 같은 나노구조 디바이스들에 적용될 수 있다. NSFET 실시예에서, 핀들은 채널 층들과 희생 층들의 교대 층들의 스택을 패터닝함으로써 형성된다. 더미 게이트 스택들 및 에피택셜 소스/드레인 영역들은 전술한 것과 유사한 방식으로 형성된다. 더미 게이트 스택들이 제거된 후, 희생 층들은 채널 영역들에서 부분적으로 또는 완전히 제거될 수 있다. 대체 게이트 구조물들은 상기 설명된 것과 유사한 방식으로 형성되고, NSFET 디바이스들의 채널 영역에서 채널 층들을 부분적으로 또는 완전히 둘러쌀 것이다. 게이트 구조물들 및 소스/드레인들에 대한 ILD들 및 콘택들은 위에서 설명된 것과 유사한 방식으로 형성된다. 나노구조 디바이스는 미국 특허 출원 공개 번호 2016/0365414에 개시된 바와 같이 형성될 수 있으며, 이는 그 전체가 본원에 참조로 포함된다.The disclosed FinFET embodiments can also be applied to nanostructured devices such as nanostructures (eg, nanosheets, nanowires, gate-all-around, etc.) field effect transistors (NSFETs). In an NSFET embodiment, fins are formed by patterning a stack of alternating layers of channel layers and sacrificial layers. The dummy gate stacks and epitaxial source/drain regions are formed in a manner similar to that described above. After the dummy gate stacks are removed, the sacrificial layers may be partially or completely removed in the channel regions. The replacement gate structures are formed in a manner similar to that described above and will partially or completely surround the channel layers in the channel region of the NSFET devices. ILDs and contacts to the gate structures and sources/drains are formed in a similar manner to that described above. Nanostructured devices may be formed as disclosed in US Patent Application Publication No. 2016/0365414, which is incorporated herein by reference in its entirety.

본 명세서에 설명된 실시예들은 이점을 얻을 수 있다. 본 명세서에 설명된 기법들은 감소된 부피 및 감소된 단면적을 갖는 에피택셜 소스/드레인 영역들의 형성을 설명한다. 예를 들어, 본 명세서에 설명된 기술법들을 사용하여, 인접한 핀들 상에 형성된 에피택셜 재료는 더 높은 지점에서 병합될 수 있으며, 이는 병합된 에피택셜 소스/드레인 영역의 전체 단면적을 감소시킨다. 병합 높이 및 단면적은 인접한 핀들 사이에 성막된 게이트 스페이서 재료의 양을 제어함으로써 그리고 게이트 스페이서 재료로부터 게이트 스페이서들을 형성하는 에칭 프로세스의 파라미터들을 제어함으로써, 원하는 애플리케이션에 따라 제어될 수 있다. 에피택셜 소스/드레인 영역의 단면적을 감소시킴으로써, 기생 커패시턴스들(예를 들어, 게이트-드레인 커패시턴스(Cgd))이 감소될 수 있으며, 이는 RC 지연을 감소시키고 더 빠른 온/오프 스위칭 및 링-오실레이터(RO, ring-oscillator) 디바이스의 속도와 같은 부스팅된 디바이스 속도를 허용할 수 있다.The embodiments described herein can benefit. The techniques described herein describe the formation of epitaxial source/drain regions with reduced volume and reduced cross-sectional area. For example, using the techniques described herein, epitaxial material formed on adjacent fins can be merged at a higher point, which reduces the overall cross-sectional area of the merged epitaxial source/drain region. The merge height and cross-sectional area can be controlled depending on the desired application by controlling the amount of gate spacer material deposited between adjacent fins and by controlling the parameters of the etching process to form the gate spacers from the gate spacer material. By reducing the cross-sectional area of the epitaxial source/drain region, parasitic capacitances (e.g., gate-to-drain capacitance (Cgd)) can be reduced, which reduces RC delay and allows faster on/off switching and ring-oscillator. (RO, ring-oscillator) You can tolerate a boosted device speed, such as that of the device.

몇몇 실시예들에 따라, 디바이스는: 기판으로부터 연장되는 제1 핀 및 제2 핀 ― 제1 핀은 제1 리세스를 포 함하고, 제2 핀은 제2 리세스를 포함함 ― ; 제1 핀을 둘러싸고 제2 핀을 둘러싸는 격리 영역; 제1 핀 및 제2 핀 위의 게이트 스택; 격리 영역 위에 있고 제1 핀 및 상기 제2 핀을 둘러싸는 스페이서 재료 ― 제1 핀의 제1 면으로부터 제2 핀까지 연장되는 스페이서 재료의 제1 부분은 제1 수직 두께를 갖고, 제1 면의 반대편에 있는 제1 핀의 제2 면에 인접한 스페이서 재료의 제2 부분은 제1 수직 두께 미만인 제2 수직 두께를 가짐 ― ; 및 제1 리세스 내의 그리고 제2 리세스 내의 소스/드레인 영역 ― 소스/드레인 영역은 게이트 스택에 인접하고, 소스/드레인 영역은 스페이서 재료의 제1 부분 위로 연장되는 제1 하부면 및 스페이서 재료의 제2 부분 위로 연장되는 제2 하부면을 포함하고, 제2 하부면의 하단부는 제1 하부면의 하단부보다 격리 영역에 더 가까움 ― In accordance with some embodiments, the device includes: a first pin and a second pin extending from the substrate, the first pin including a first recess and the second pin including a second recess; An isolation region surrounding the first fin and surrounding the second fin; A gate stack over the first fin and the second fin; A spacer material over the isolation region and surrounding the first fin and the second fin, wherein the first portion of the spacer material extending from the first side of the first fin to the second fin has a first vertical thickness, The second portion of the spacer material adjacent the second side of the opposite first fin has a second vertical thickness less than the first vertical thickness; And source/drain regions in the first recess and in the second recess, the source/drain regions adjacent to the gate stack, and the source/drain regions of the spacer material and a first lower surface extending over the first portion of the spacer material. It includes a second lower surface extending over the second portion, and the lower end of the second lower surface is closer to the isolation area than the lower end of the first lower surface ―

을 포함한다. 실시예에서, 제1 하부면 및 제2 하부면은 패싯 처리된다(faceted). 실시예에서, 제1 하부면의 상단부는 제2 하부면의 상단부보다 격리 영역으로부터 더 멀리 있다. 실시예에서, 제1 하부면의 상단부는 격리 영역으로부터 5 nm 내지 70 nm의 범위에 있다. 실시예에서, 제1 수직 두께와 제2 수직 두께 간의 차이는 5 nm 내지 40 nm의 범위에 있다. 실시예에서, 소스/드레인 영역은 스페이서 재료의 제1 부분의 측벽 상에서 연장된다. 실시예에서, 제1 핀의 제2 면에 인접한, 스페이서 재료의 제2 부분의 측벽에는 소스/드레인 영역이 없다. 실시예에서, 스페이서 재료는 제1 유전체 재료의 제1 층 및 제2 유전체 재료의 제2 층을 포함한다. 실시예에서, 스페이서 재료의 제1 부분은 제1 리세스의 하부면 및 제2 리세스의 하부면 위에 돌출된다.Includes. In an embodiment, the first lower surface and the second lower surface are faceted. In an embodiment, the upper end of the first lower surface is further away from the isolation area than the upper end of the second lower surface. In an embodiment, the upper end of the first lower surface is in a range of 5 nm to 70 nm from the isolation region. In an embodiment, the difference between the first vertical thickness and the second vertical thickness is in the range of 5 nm to 40 nm. In an embodiment, the source/drain regions extend on the sidewalls of the first portion of the spacer material. In an embodiment, there are no source/drain regions in the sidewall of the second portion of the spacer material, adjacent the second side of the first fin. In an embodiment, the spacer material includes a first layer of a first dielectric material and a second layer of a second dielectric material. In an embodiment, the first portion of the spacer material protrudes over the lower surface of the first recess and the lower surface of the second recess.

몇몇 실시예들에 따라, 구조물은: 반도체 기판 위의 제1 핀; 반도체 기판 위의 제2 핀 ― 제2 핀은 제1 핀에 인접함 ― ; 제1 핀 및 제2 핀을 둘러싸는 격리 영역; 격리 영역 위의 게이트 스페이서 재료 ― 제1 핀의 제1 면과 제2 핀의 제1 면 사이의 게이트 스페이서 재료는 제1 핀의 제1 면의 반대편에 있는 제1 핀의 제2 면 상에서 게이트 스페이서 재료보다 격리 영역 위로 더 멀리 연장되고, 제1 핀의 제1 면 및 제2 핀의 제1 면은 서로 마주봄 ― ; 제1 핀 및 제2 핀의 측벽들을 따라 제1 핀 및 제2 핀의 상부면들 위에 있는 게이트 구조물; 및 게이트 구조물에 인접한 제1 핀 및 제2 핀 상의 소스/드레인 영역 ― 소스/드레인 영역은 제1 핀의 제1 면 상의 하향 제1 패싯 및 제1 핀의 제2 면 상의 하향 제2 패싯을 포함하고, 제1 핀의 제1 면 상의 소스/드레인 영역의 제1 부분은 게이트 스페이서 재료의 측벽 상에서 연장되고, 제1 핀의 제2 면 상의 소스/드레인 영역의 제2 부분은 게이트 스페이서 재료의 상부면 위에서 연장되고, 제1 부분 및 제2 부분은 격리 영역 위의 동일한 높이임 ― 을 포함한다. 실시예에서, 제1 패싯의 하단부는 제2 패싯의 하단부보다 격리 영역 위로 더 멀리 있다. 실시예에서, 제1 패싯의 상단부는 제2 패싯의 상단부보다 격리 영역 위로 더 멀리 있다. 실시예에서, 제2 거리는 0이다 실시예에서, 소스/드레인 영역의 상부면은 평평하다. 실시예에서, 제1 패싯 및 제2 패싯은 (111) 결정 배향을 갖다. 실시예에서, 구조물은 제2 핀의 제1 면 상의 하향 제3 패싯을 더 포함하고, 제3 패싯은 제1 패싯에서 끝난다.In accordance with some embodiments, the structure includes: a first fin over a semiconductor substrate; A second fin on the semiconductor substrate, the second fin adjacent to the first fin; An isolation region surrounding the first fin and the second fin; Gate spacer material over the isolation region-the gate spacer material between the first side of the first fin and the first side of the second fin is the gate spacer on the second side of the first fin opposite the first side of the first fin. Extending farther above the isolation area than the material, and the first side of the first fin and the first side of the second fin face each other—; A gate structure on top surfaces of the first fin and the second fin along sidewalls of the first fin and the second fin; And source/drain regions on the first fin and the second fin adjacent to the gate structure-the source/drain regions include a downward first facet on the first surface of the first fin and a downward second facet on the second surface of the first fin. And, a first portion of the source/drain region on the first side of the first fin extends on the sidewall of the gate spacer material, and the second portion of the source/drain region on the second side of the first fin is an upper portion of the gate spacer material. Extending above the plane, and including the first portion and the second portion being the same height above the isolation area. In an embodiment, the lower end of the first facet is farther above the isolation area than the lower end of the second facet. In an embodiment, the upper end of the first facet is farther above the isolation area than the upper end of the second facet. In an embodiment, the second distance is zero. In an embodiment, the top surface of the source/drain region is flat. In an embodiment, the first and second facets have a (111) crystal orientation. In an embodiment, the structure further comprises a downward third facet on the first side of the second fin, and the third facet ends at the first facet.

몇몇 실시예들에 따라, 방법은: 반도체 기판으로부터 돌출되는 복수의 핀들을 형성하는 단계; 복수의 핀들 위에 게이트 구조물을 형성하는 단계; 복수의 핀들을 둘러싸는 격리 영역을 형성하는 단계; 게이트 구조물 위에 그리고 복수의 핀들 위에 스페이서 층을 성막하는 단계 ― 스페이서 층은 복수의 핀들 중의 인접한 핀들의 쌍들 사이에서 연장되는 영역들을 충전(fill)함 ― ; 스페이서 층에 제1 에칭 프로세스를 수행하는 단계 ― 제1 에칭 프로세스를 수행한 후, 복수의 핀들 중의 인접한 핀들의 쌍들 사이에서 연장되는 내부 영역들 내에 있는 스페이서 층의 제1 나머지 부분들은 제1 두께를 갖고, 내부 영역들 내에 있지 않은 스페이서 층의 제2 나머지 부분들은 제1 두께보다 작은 제2 두께를 가짐 ― ; 및 게이트 구조물에 인접하고 복수의 핀들 위에서 연장되는 에피택셜 소스/드레인 영역을 형성하는 단계 ― 내부 영역들 내의 에피택셜 소스/드레인 영역의 부분들은 스페이서 층의 제1 나머지 부분들로부터 분리됨 ― 을 포함한다. 실시예에서, 방법은 복수의 핀들의 각각의 개별적 핀 내에 리세스를 형성하기 위하여 복수의 핀들에 제2 에칭 프로세스를 수행하는 단계를 더 포함한다. 실시예에서, 에피택셜 소스/드레인 영역은 스페이서 층의 제2 나머지 부분들보다 격리 영역에 더 가까운 하부면을 갖는다. 실시예에서, 스페이서 층을 성막하는 단계는, 제1 유전체 층을 성막하는 단계, 및 그 후 제1 유전체 층 상에 제2 유전체 층을 컨포멀하게 성막하는 단계를 포함한다.In accordance with some embodiments, a method includes: forming a plurality of fins protruding from a semiconductor substrate; Forming a gate structure over the plurality of fins; Forming an isolation region surrounding the plurality of fins; Depositing a spacer layer over the gate structure and over the plurality of fins, the spacer layer filling regions extending between pairs of adjacent fins of the plurality of fins; Performing a first etch process on the spacer layer-After performing the first etch process, the first remaining portions of the spacer layer in the inner regions extending between pairs of adjacent fins of the plurality of fins have a first thickness. And the second remaining portions of the spacer layer not within the inner regions have a second thickness less than the first thickness -; And forming an epitaxial source/drain region adjacent to the gate structure and extending over the plurality of fins, wherein portions of the epitaxial source/drain regions within the inner regions are separated from the first remaining portions of the spacer layer. . In an embodiment, the method further includes performing a second etching process on the plurality of fins to form a recess in each individual fin of the plurality of fins. In an embodiment, the epitaxial source/drain region has a lower surface closer to the isolation region than the second remaining portions of the spacer layer. In an embodiment, depositing the spacer layer includes depositing a first dielectric layer, and then conformally depositing a second dielectric layer on the first dielectric layer.

전술한 내용은 본 기술분야의 당업자들이 본 개시물의 양상들을 더 잘 이해할 수 있도록 몇몇 실시예들의 피처들을 약술하였다. 본 기술분야의 당업자들은 본 명세서에서 소개한 실시예들의 동일한 목적들을 수행하고 그리고/또는 동일한 장점들을 달성하기 위한 다른 프로세스들 및 구조들을 설계하거나 또는 수정하기 위한 기초로서 본 개시내용을 자신들이 손쉽게 이용할 수 있다는 것을 인식해야 한다. 본 기술분야의 당업자들은 또한 이와 같은 등가적 구성들은 본 개시물의 사상과 범위를 벗어나지 않으며, 본 개시물의 사상과 범위를 벗어나지 않고 당업자들이 다양한 변경들, 대체들, 및 개조들을 본 발명에서 행할 수 있음을 알아야 한다.The foregoing has outlined features of some embodiments to enable those skilled in the art to better understand aspects of the present disclosure. Those skilled in the art will readily use the present disclosure as a basis for designing or modifying other processes and structures to perform the same purposes and/or achieve the same advantages of the embodiments introduced herein. Be aware that you can. Those skilled in the art may also make various changes, substitutions, and modifications in the present invention without departing from the spirit and scope of the present disclosure, and such equivalent configurations do not depart from the spirit and scope of the present disclosure. You should know.

실시예들Examples

실시예 1. 디바이스에 있어서,Example 1. In the device,

기판으로부터 연장되는 제1 핀 및 제2 핀 ― 상기 제1 핀은 제1 리세스를 포 함하고, 상기 제2 핀은 제2 리세스를 포함함 ― ;A first pin and a second pin extending from the substrate, the first pin including a first recess, and the second pin including a second recess;

상기 제1 핀을 둘러싸고 상기 제2 핀을 둘러싸는 격리 영역;An isolation region surrounding the first fin and surrounding the second fin;

상기 제1 핀 및 상기 제2 핀 위의 게이트 스택;A gate stack over the first fin and the second fin;

상기 격리 영역 위에 있고 상기 제1 핀 및 상기 제2 핀을 둘러싸는 스페이서 재료 ― 상기 제1 핀의 제1 면으로부터 상기 제2 핀까지 연장되는 상기 스페이서 재료의 제1 부분은 제1 수직 두께를 갖고, 상기 제1 면의 반대편에 있는 상기 제1 핀의 제2 면에 인접한 상기 스페이서 재료의 제2 부분은 상기 제1 수직 두께 미만인 제2 수직 두께를 가짐 ― ; 및A spacer material over the isolation region and surrounding the first fin and the second fin, the first portion of the spacer material extending from the first side of the first fin to the second fin having a first vertical thickness , A second portion of the spacer material adjacent a second surface of the first fin opposite the first surface has a second vertical thickness less than the first vertical thickness; And

상기 제1 리세스 내의 그리고 상기 제2 리세스 내의 소스/드레인 영역 ― 상기 소스/드레인 영역은 상기 게이트 스택에 인접하고, 상기 소스/드레인 영역은 상기 스페이서 재료의 상기 제1 부분 위로 연장되는 제1 하부면 및 상기 스페이서 재료의 상기 제2 부분 위로 연장되는 제2 하부면을 포함하고, 상기 제2 하부면의 하단부는 상기 제1 하부면의 하단부보다 상기 격리 영역에 더 가까움 ―A source/drain region in the first recess and in the second recess, wherein the source/drain region is adjacent to the gate stack, and the source/drain region is a first extending over the first portion of the spacer material. A lower surface and a second lower surface extending over the second portion of the spacer material, and a lower end of the second lower surface is closer to the isolation region than a lower end of the first lower surface ―

을 포함하는, 디바이스.Including, a device.

실시예 2. 실시예 1에 있어서,Example 2. In Example 1,

상기 제1 하부면 및 상기 제2 하부면은 패싯 처리되는(faceted) 것인, 디바이스.The device, wherein the first lower surface and the second lower surface are faceted.

실시예 3. 실시예 1에 있어서,Example 3. In Example 1,

상기 제1 하부면의 상단부는 상기 제2 하부면의 상단부보다 상기 격리 영역으로부터 더 멀리 있는 것인, 디바이스.Wherein the upper end of the first lower surface is further away from the isolation region than the upper end of the second lower surface.

실시예 4. 실시예 1에 있어서,Example 4. In Example 1,

상기 제1 하부면의 상단부는 상기 격리 영역으로부터 5 nm 내지 70 nm의 범위에 있는 것인, 디바이스.Wherein the upper end of the first lower surface is in a range of 5 nm to 70 nm from the isolation region.

실시예 5. 실시예 1에 있어서,Example 5. In Example 1,

상기 제1 수직 두께와 상기 제2 수직 두께 간의 차이는 5 nm 내지 40 nm의 범위에 있는 것인, 디바이스.Wherein the difference between the first vertical thickness and the second vertical thickness is in the range of 5 nm to 40 nm.

실시예 6. 실시예 1에 있어서,Example 6. In Example 1,

상기 소스/드레인 영역은 상기 스페이서 재료의 상기 제1 부분의 측벽 상에서 연장되는 것인, 디바이스.Wherein the source/drain regions extend on sidewalls of the first portion of the spacer material.

실시예 7. 실시예 6에 있어서,Example 7. In Example 6,

상기 제1 핀의 상기 제2 면에 인접한, 상기 스페이서 재료의 상기 제2 부분의 측벽에는 상기 소스/드레인 영역이 없는 것인, 디바이스.Wherein the source/drain regions are absent from a sidewall of the second portion of the spacer material adjacent the second side of the first fin.

실시예 8. 실시예 1에 있어서,Example 8. In Example 1,

상기 스페이서 재료는 제1 유전체 재료의 제1 층 및 제2 유전체 재료의 제2 층을 포함하는 것인, 디바이스.Wherein the spacer material comprises a first layer of a first dielectric material and a second layer of a second dielectric material.

실시예 9. 실시예 1에 있어서,Example 9. In Example 1,

상기 스페이서 재료의 상기 제1 부분은 상기 제1 리세스의 하부면 및 상기 제2 리세스의 하부면 위에 돌출되는 것인, 디바이스.Wherein the first portion of the spacer material protrudes over a lower surface of the first recess and a lower surface of the second recess.

실시예 10. 구조물에 있어서,Example 10. In the structure,

반도체 기판 위의 제1 핀;A first fin on the semiconductor substrate;

상기 반도체 기판 위의 제2 핀 ― 상기 제2 핀은 상기 제1 핀에 인접함 ― ;A second fin on the semiconductor substrate, the second fin adjacent to the first fin;

상기 제1 핀 및 상기 제2 핀을 둘러싸는 격리 영역;An isolation region surrounding the first fin and the second fin;

상기 격리 영역 위의 게이트 스페이서 재료 ― 상기 제1 핀의 제1 면과 상기 제2 핀의 제1 면 사이의 상기 게이트 스페이서 재료는 상기 제1 핀의 상기 제1 면의 반대편에 있는 상기 제1 핀의 제2 면 상에서 상기 게이트 스페이서 재료보다 상기 격리 영역 위로 더 멀리 연장되고, 상기 제1 핀의 상기 제1 면 및 상기 제2 핀의 상기 제1 면은 서로 마주봄 ― ;A gate spacer material over the isolation region, wherein the gate spacer material between the first side of the first fin and the first side of the second fin is the first fin opposite the first side of the first fin Extending farther above the isolation region than the gate spacer material on a second side of the first fin and the first side of the second fin facing each other;

상기 제1 핀 및 상기 제2 핀의 측벽들을 따라 상기 제1 핀 및 상기 제2 핀의 상부면들 위에 있는 게이트 구조물; 및A gate structure on top surfaces of the first fin and the second fin along sidewalls of the first fin and the second fin; And

상기 게이트 구조물에 인접한 상기 제1 핀 및 상기 제2 핀 상의 소스/드레인 영역 ― 상기 소스/드레인 영역은 상기 제1 핀의 상기 제1 면 상의 하향 제1 패싯 및 상기 제1 핀의 상기 제2 면 상의 하향 제2 패싯을 포함하고, 상기 제1 핀의 상기 제1 면 상의 상기 소스/드레인 영역의 제1 부분은 상기 게이트 스페이서 재료의 측벽 상에서 연장되고, 상기 제1 핀의 상기 제2 면 상의 상기 소스/드레인 영역의 제2 부분은 상기 게이트 스페이서 재료의 상부면 위에서 연장되고, 상기 제1 부분 및 상기 제2 부분은 상기 격리 영역 위의 동일한 높이임 ―Source/drain regions on the first fin and the second fin adjacent to the gate structure-the source/drain regions are a downward first facet on the first surface of the first fin and the second surface of the first fin And a downward second facet of the first fin, wherein a first portion of the source/drain region on the first surface of the first fin extends on a sidewall of the gate spacer material, and the second surface of the first fin A second portion of the source/drain region extends above the top surface of the gate spacer material, and the first portion and the second portion are the same height above the isolation region-

을 포함하는, 구조물.Containing, structure.

실시예 11. 실시예 10에 있어서,Example 11. In Example 10,

상기 제1 패싯의 하단부는 상기 제2 패싯의 하단부보다 상기 격리 영역 위로 더 멀리 있는 것인, 구조물.Wherein the lower end of the first facet is farther above the isolation area than the lower end of the second facet.

실시예 12. 실시예 10에 있어서,Example 12. In Example 10,

상기 제1 패싯의 상단부는 상기 제2 패싯의 상단부보다 상기 격리 영역 위로 더 멀리 있는 것인, 구조물.Wherein the upper end of the first facet is farther above the isolation area than the upper end of the second facet.

실시예 13. 실시예 10에 있어서,Example 13. In Example 10,

제2 거리는 0인 것인, 구조물.The structure, wherein the second distance is zero.

실시예 14. 실시예 10에 있어서,Example 14. In Example 10,

상기 소스/드레인 영역의 상부면은 평평한 것인, 구조물.Wherein the top surface of the source/drain region is flat.

실시예 15. 실시예 10에 있어서,Example 15. In Example 10,

상기 제1 패싯 및 상기 제2 패싯은 (111) 결정 배향을 갖는 것인, 구조물.Wherein the first facet and the second facet have a (111) crystal orientation.

실시예 16. 실시예 10에 있어서,Example 16. In Example 10,

상기 제2 핀의 상기 제1 면 상의 하향 제3 패싯을 더 포함하고, 상기 제3 패싯은 상기 제1 패싯에서 끝나는 것인, 구조물.And a third facet downward on the first surface of the second fin, wherein the third facet ends at the first facet.

실시예 17. 방법에 있어서,Example 17. In the method,

반도체 기판으로부터 돌출되는 복수의 핀들을 형성하는 단계;Forming a plurality of fins protruding from the semiconductor substrate;

상기 복수의 핀들 위에 게이트 구조물을 형성하는 단계;Forming a gate structure on the plurality of fins;

상기 복수의 핀들을 둘러싸는 격리 영역을 형성하는 단계;Forming an isolation region surrounding the plurality of fins;

상기 게이트 구조물 위에 그리고 상기 복수의 핀들 위에 스페이서 층을 성막하는 단계 ― 상기 스페이서 층은 상기 복수의 핀들 중의 인접한 핀들의 쌍들 사이에서 연장되는 영역들을 충전(fill)함 ― ;Depositing a spacer layer over the gate structure and over the plurality of fins, the spacer layer filling regions extending between pairs of adjacent fins of the plurality of fins;

상기 스페이서 층에 제1 에칭 프로세스를 수행하는 단계 ― 상기 제1 에칭 프로세스를 수행한 후, 상기 복수의 핀들 중의 인접한 핀들의 쌍들 사이에서 연장되는 내부 영역들 내에 있는 상기 스페이서 층의 제1 나머지 부분들은 제1 두께를 갖고, 상기 내부 영역들 내에 있지 않은 상기 스페이서 층의 제2 나머지 부분들은 상기 제1 두께보다 작은 제2 두께를 가짐 ― ; 및Performing a first etching process on the spacer layer- After performing the first etching process, the first remaining portions of the spacer layer in inner regions extending between pairs of adjacent fins of the plurality of fins are The second remaining portions of the spacer layer having a first thickness and not within the inner regions have a second thickness less than the first thickness -; And

상기 게이트 구조물에 인접하고 상기 복수의 핀들 위에서 연장되는 에피택셜 소스/드레인 영역을 형성하는 단계 ― 상기 내부 영역들 내의 상기 에피택셜 소스/드레인 영역의 부분들은 상기 스페이서 층의 상기 제1 나머지 부분들로부터 분리됨 ―Forming an epitaxial source/drain region adjacent to the gate structure and extending over the plurality of fins-portions of the epitaxial source/drain regions within the inner regions are from the first remaining portions of the spacer layer Separated ―

를 포함하는, 방법.Including, the method.

실시예 18. 실시예 17에 있어서,Example 18. In Example 17,

상기 복수의 핀들의 각각의 개별적 핀 내에 리세스를 형성하기 위하여 상기 복수의 핀들에 제2 에칭 프로세스를 수행하는 단계를 더 포함하는, 방법.And performing a second etching process on the plurality of fins to form a recess in each individual fin of the plurality of fins.

실시예 19. 실시예 17에 있어서,Example 19. In Example 17,

상기 에피택셜 소스/드레인 영역은 상기 스페이서 층의 상기 제2 나머지 부분들보다 상기 격리 영역에 더 가까운 하부면을 갖는 것인, 방법.Wherein the epitaxial source/drain region has a lower surface closer to the isolation region than the second remaining portions of the spacer layer.

실시예 20. 실시예 17에 있어서,Example 20. In Example 17,

상기 스페이서 층을 성막하는 단계는, 제1 유전체 층을 성막하는 단계, 및 그 후 상기 제1 유전체 층 상에 제2 유전체 층을 컨포멀하게 성막하는 단계를 포함하는 것인, 방법.Wherein depositing the spacer layer comprises depositing a first dielectric layer, and then conformally depositing a second dielectric layer on the first dielectric layer.

Claims (10)

디바이스에 있어서,
기판으로부터 연장되는 제1 핀 및 제2 핀 ― 상기 제1 핀은 제1 리세스를 포 함하고, 상기 제2 핀은 제2 리세스를 포함함 ― ;
상기 제1 핀을 둘러싸고 상기 제2 핀을 둘러싸는 격리 영역;
상기 제1 핀 및 상기 제2 핀 위의 게이트 스택;
상기 격리 영역 위에 있고 상기 제1 핀 및 상기 제2 핀을 둘러싸는 스페이서 재료 ― 상기 제1 핀의 제1 면으로부터 상기 제2 핀까지 연장되는 상기 스페이서 재료의 제1 부분은 제1 수직 두께를 갖고, 상기 제1 면의 반대편에 있는 상기 제1 핀의 제2 면에 인접한 상기 스페이서 재료의 제2 부분은 상기 제1 수직 두께 미만인 제2 수직 두께를 가짐 ― ; 및
상기 제1 리세스 내의 그리고 상기 제2 리세스 내의 소스/드레인 영역 ― 상기 소스/드레인 영역은 상기 게이트 스택에 인접하고, 상기 소스/드레인 영역은 상기 스페이서 재료의 상기 제1 부분 위로 연장되는 제1 하부면 및 상기 스페이서 재료의 상기 제2 부분 위로 연장되는 제2 하부면을 포함하고, 상기 제2 하부면의 하단부는 상기 제1 하부면의 하단부보다 상기 격리 영역에 더 가까움 ―
을 포함하는, 디바이스.
In the device,
A first pin and a second pin extending from the substrate, the first pin including a first recess, and the second pin including a second recess;
An isolation region surrounding the first fin and surrounding the second fin;
A gate stack over the first fin and the second fin;
A spacer material over the isolation region and surrounding the first fin and the second fin, the first portion of the spacer material extending from the first side of the first fin to the second fin having a first vertical thickness , A second portion of the spacer material adjacent a second surface of the first fin opposite the first surface has a second vertical thickness less than the first vertical thickness; And
A source/drain region in the first recess and in the second recess, wherein the source/drain region is adjacent to the gate stack, and the source/drain region is a first extending over the first portion of the spacer material. A lower surface and a second lower surface extending over the second portion of the spacer material, and a lower end of the second lower surface is closer to the isolation region than a lower end of the first lower surface ―
Including, a device.
제1항에 있어서,
상기 제1 하부면 및 상기 제2 하부면은 패싯 처리되는(faceted) 것인, 디바이스.
The method of claim 1,
The device, wherein the first lower surface and the second lower surface are faceted.
제1항에 있어서,
상기 제1 하부면의 상단부는 상기 제2 하부면의 상단부보다 상기 격리 영역으로부터 더 멀리 있는 것인, 디바이스.
The method of claim 1,
The device of claim 1, wherein an upper end of the first lower surface is further away from the isolation region than an upper end of the second lower surface.
제1항에 있어서,
상기 제1 하부면의 상단부는 상기 격리 영역으로부터 5 nm 내지 70 nm의 범위에 있는 것인, 디바이스.
The method of claim 1,
Wherein the upper end of the first lower surface is in a range of 5 nm to 70 nm from the isolation region.
제1항에 있어서,
상기 제1 수직 두께와 상기 제2 수직 두께 간의 차이는 5 nm 내지 40 nm의 범위에 있는 것인, 디바이스.
The method of claim 1,
Wherein the difference between the first vertical thickness and the second vertical thickness is in the range of 5 nm to 40 nm.
제1항에 있어서,
상기 소스/드레인 영역은 상기 스페이서 재료의 상기 제1 부분의 측벽 상에서 연장되는 것인, 디바이스.
The method of claim 1,
Wherein the source/drain regions extend on sidewalls of the first portion of the spacer material.
제1항에 있어서,
상기 스페이서 재료는 제1 유전체 재료의 제1 층 및 제2 유전체 재료의 제2 층을 포함하는 것인, 디바이스.
The method of claim 1,
Wherein the spacer material comprises a first layer of a first dielectric material and a second layer of a second dielectric material.
제1항에 있어서,
상기 스페이서 재료의 상기 제1 부분은 상기 제1 리세스의 하부면 및 상기 제2 리세스의 하부면 위에 돌출되는 것인, 디바이스.
The method of claim 1,
Wherein the first portion of the spacer material protrudes over a lower surface of the first recess and a lower surface of the second recess.
구조물에 있어서,
반도체 기판 위의 제1 핀;
상기 반도체 기판 위의 제2 핀 ― 상기 제2 핀은 상기 제1 핀에 인접함 ― ;
상기 제1 핀 및 상기 제2 핀을 둘러싸는 격리 영역;
상기 격리 영역 위의 게이트 스페이서 재료 ― 상기 제1 핀의 제1 면과 상기 제2 핀의 제1 면 사이의 상기 게이트 스페이서 재료는 상기 제1 핀의 상기 제1 면의 반대편에 있는 상기 제1 핀의 제2 면 상에서 상기 게이트 스페이서 재료보다 상기 격리 영역 위로 더 멀리 연장되고, 상기 제1 핀의 상기 제1 면 및 상기 제2 핀의 상기 제1 면은 서로 마주봄 ― ;
상기 제1 핀 및 상기 제2 핀의 측벽들을 따라 상기 제1 핀 및 상기 제2 핀의 상부면들 위에 있는 게이트 구조물; 및
상기 게이트 구조물에 인접한 상기 제1 핀 및 상기 제2 핀 상의 소스/드레인 영역 ― 상기 소스/드레인 영역은 상기 제1 핀의 상기 제1 면 상의 하향 제1 패싯 및 상기 제1 핀의 상기 제2 면 상의 하향 제2 패싯을 포함하고, 상기 제1 핀의 상기 제1 면 상의 상기 소스/드레인 영역의 제1 부분은 상기 게이트 스페이서 재료의 측벽 상에서 연장되고, 상기 제1 핀의 상기 제2 면 상의 상기 소스/드레인 영역의 제2 부분은 상기 게이트 스페이서 재료의 상부면 위에서 연장되고, 상기 제1 부분 및 상기 제2 부분은 상기 격리 영역 위의 동일한 높이임 ―
을 포함하는, 구조물.
In the structure,
A first fin on the semiconductor substrate;
A second fin on the semiconductor substrate, the second fin adjacent to the first fin;
An isolation region surrounding the first fin and the second fin;
A gate spacer material over the isolation region, wherein the gate spacer material between the first side of the first fin and the first side of the second fin is the first fin opposite the first side of the first fin Extending farther above the isolation region than the gate spacer material on a second side of the first fin and the first side of the second fin facing each other;
A gate structure on top surfaces of the first fin and the second fin along sidewalls of the first fin and the second fin; And
Source/drain regions on the first fin and the second fin adjacent to the gate structure-the source/drain regions are a downward first facet on the first surface of the first fin and the second surface of the first fin And a downward second facet of the first fin, wherein a first portion of the source/drain region on the first surface of the first fin extends on a sidewall of the gate spacer material, and the second surface of the first fin A second portion of the source/drain region extends above the top surface of the gate spacer material, and the first portion and the second portion are the same height above the isolation region-
Containing, structure.
방법에 있어서,
반도체 기판으로부터 돌출되는 복수의 핀들을 형성하는 단계;
상기 복수의 핀들 위에 게이트 구조물을 형성하는 단계;
상기 복수의 핀들을 둘러싸는 격리 영역을 형성하는 단계;
상기 게이트 구조물 위에 그리고 상기 복수의 핀들 위에 스페이서 층을 성막하는 단계 ― 상기 스페이서 층은 상기 복수의 핀들 중의 인접한 핀들의 쌍들 사이에서 연장되는 영역들을 충전(fill)함 ― ;
상기 스페이서 층에 제1 에칭 프로세스를 수행하는 단계 ― 상기 제1 에칭 프로세스를 수행한 후, 상기 복수의 핀들 중의 인접한 핀들의 쌍들 사이에서 연장되는 내부 영역들 내에 있는 상기 스페이서 층의 제1 나머지 부분들은 제1 두께를 갖고, 상기 내부 영역들 내에 있지 않은 상기 스페이서 층의 제2 나머지 부분들은 상기 제1 두께보다 작은 제2 두께를 가짐 ― ; 및
상기 게이트 구조물에 인접하고 상기 복수의 핀들 위에서 연장되는 에피택셜 소스/드레인 영역을 형성하는 단계 ― 상기 내부 영역들 내의 상기 에피택셜 소스/드레인 영역의 부분들은 상기 스페이서 층의 상기 제1 나머지 부분들로부터 분리됨 ―
를 포함하는, 방법.
In the way,
Forming a plurality of fins protruding from the semiconductor substrate;
Forming a gate structure on the plurality of fins;
Forming an isolation region surrounding the plurality of fins;
Depositing a spacer layer over the gate structure and over the plurality of fins, the spacer layer filling regions extending between pairs of adjacent fins of the plurality of fins;
Performing a first etching process on the spacer layer- After performing the first etching process, the first remaining portions of the spacer layer in inner regions extending between pairs of adjacent fins of the plurality of fins are The second remaining portions of the spacer layer having a first thickness and not within the inner regions have a second thickness less than the first thickness -; And
Forming an epitaxial source/drain region adjacent to the gate structure and extending over the plurality of fins-portions of the epitaxial source/drain regions within the inner regions are from the first remaining portions of the spacer layer Separated ―
Including, the method.
KR1020200113327A 2019-10-30 2020-09-04 Semiconductor device and method KR102442812B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962927864P 2019-10-30 2019-10-30
US62/927,864 2019-10-30
US16/889,397 US11348840B2 (en) 2019-10-30 2020-06-01 Semiconductor device and method
US16/889,397 2020-06-01

Publications (2)

Publication Number Publication Date
KR20210053179A true KR20210053179A (en) 2021-05-11
KR102442812B1 KR102442812B1 (en) 2022-09-13

Family

ID=75687962

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200113327A KR102442812B1 (en) 2019-10-30 2020-09-04 Semiconductor device and method

Country Status (2)

Country Link
KR (1) KR102442812B1 (en)
TW (1) TWI770648B (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160125208A (en) * 2015-04-21 2016-10-31 삼성전자주식회사 Semiconductor device having fin active regions and method of fabricating the same
KR20170121667A (en) * 2016-04-25 2017-11-02 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Finfets and methods of forming finfets
KR20190003298A (en) * 2017-06-30 2019-01-09 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Intermetallic doping film with diffusion in source/drain

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9647071B2 (en) * 2015-06-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. FINFET structures and methods of forming the same
US10680084B2 (en) * 2017-11-10 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial structures for fin-like field effect transistors

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160125208A (en) * 2015-04-21 2016-10-31 삼성전자주식회사 Semiconductor device having fin active regions and method of fabricating the same
KR20170121667A (en) * 2016-04-25 2017-11-02 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Finfets and methods of forming finfets
KR20190003298A (en) * 2017-06-30 2019-01-09 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Intermetallic doping film with diffusion in source/drain

Also Published As

Publication number Publication date
TW202135311A (en) 2021-09-16
TWI770648B (en) 2022-07-11
KR102442812B1 (en) 2022-09-13

Similar Documents

Publication Publication Date Title
KR102341589B1 (en) Semiconductor device and method
US11031298B2 (en) Semiconductor device and method
US20230387246A1 (en) Methods of forming gate structures with uniform gate length
US20230369129A1 (en) Semiconductor Device and Method
US20220352371A1 (en) Semiconductor Device and Method
US20210351081A1 (en) FinFET Device and Method
KR102464045B1 (en) Semiconductor device and method
US20230387275A1 (en) Method of Gap Filling for Semiconductor Device
US11532628B2 (en) Semiconductor device and method
US12009406B2 (en) FinFET device and method
KR102502370B1 (en) Semiconductor device and method
US20230378001A1 (en) Semiconductor device and method
US20230207396A1 (en) Source/Drain Structures and Method of Forming
CN114551578A (en) Semiconductor device and method of forming the same
CN113113408A (en) Semiconductor device with a plurality of semiconductor chips
KR102442812B1 (en) Semiconductor device and method
KR102669042B1 (en) Source/drain regions and methods of forming same
US20220359066A1 (en) Semiconductor Device and Method
US20230155005A1 (en) Semiconductor device and method
US20240321958A1 (en) Semiconductor Devices and Methods of Designing and Forming the Same

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant