KR20200120496A - Integrated circuit including power gating cell - Google Patents

Integrated circuit including power gating cell Download PDF

Info

Publication number
KR20200120496A
KR20200120496A KR1020200023012A KR20200023012A KR20200120496A KR 20200120496 A KR20200120496 A KR 20200120496A KR 1020200023012 A KR1020200023012 A KR 1020200023012A KR 20200023012 A KR20200023012 A KR 20200023012A KR 20200120496 A KR20200120496 A KR 20200120496A
Authority
KR
South Korea
Prior art keywords
power
type transistor
power line
mos region
cell
Prior art date
Application number
KR1020200023012A
Other languages
Korean (ko)
Inventor
박찬희
김종우
김민수
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to US16/845,135 priority Critical patent/US11082044B2/en
Priority to CN202010283086.9A priority patent/CN111817699A/en
Publication of KR20200120496A publication Critical patent/KR20200120496A/en

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability

Abstract

According to the present disclosure, disclosed is an integrated circuit. The integrated circuit includes a power gating circuit receiving a power voltage from a first power line and outputting a first driving voltage to the first virtual power line, and a logic circuit connected to a first virtual power line to receive power from the power gating circuit. The power gating circuit includes a P-type transistor and a first N-type transistor connected in parallel to each other between the first power line and the first virtual power line.

Description

파워 게이팅 셀을 포함하는 집적 회로{INTEGRATED CIRCUIT INCLUDING POWER GATING CELL}Integrated circuit including power gating cell {INTEGRATED CIRCUIT INCLUDING POWER GATING CELL}

본 개시의 기술적 사상은 집적 회로에 관한 것으로서, 더욱 상세하게는, 파워 게이팅 셀을 포함하는 집적 회로에 관한 것이다.The technical idea of the present disclosure relates to an integrated circuit, and more particularly, to an integrated circuit including a power gating cell.

집적 회로 설계에 있어서 전력 소모를 감소시키기 위하여 파워 게이팅 회로가 활용되고 있다. 파워 게이팅 회로는 슬립 모드(sleep mode)로 동작할 때 로직 회로 블록로 공급되는 전력을 차단함으로써 누설 전류를 감소시킬 수 있다. 다만, 파워 게이팅 회로는 로직 회로 블록의 내부 상태나 레지스터 값 등을 유지하기 위해 파워-온 모드에서의 동작 전압 보다 낮은 리텐션 전압을 제공하는 리텐션 모드를 제공하도록 요구될 수 있다.In integrated circuit design, a power gating circuit is used to reduce power consumption. The power gating circuit can reduce leakage current by cutting off power supplied to the logic circuit block when operating in a sleep mode. However, the power gating circuit may be required to provide a retention mode that provides a retention voltage lower than an operating voltage in a power-on mode in order to maintain an internal state of a logic circuit block or a register value.

본 개시의 기술적 사상이 해결하고자 하는 과제는 파워 게이팅 회로를 포함하는 집적 회로, 상기 집적 회로를 설계하는 방법 및 상기 집적 회로를 설계하기 위한 컴퓨팅 시스템을 제공하는 데에 있다.A problem to be solved by the technical idea of the present disclosure is to provide an integrated circuit including a power gating circuit, a method of designing the integrated circuit, and a computing system for designing the integrated circuit.

본 개시의 기술적 사상에 따른 집적 회로는, 집적 회로는 제1 전원 라인으로부터 전원 전압을 수신하고 제1 가상 전원 라인으로 제1 구동 전압을 출력하는 파워 게이팅 회로, 및 제1 가상 전원 라인에 연결되어 파워 게이팅 회로로부터 전력을 수신하는 로직 회로를 포함하고, 파워 게이팅 회로는 제1 전원 라인 및 제1 가상 전원 라인 사이에 서로 병렬로 연결되는 P형 트랜지스터 및 제1 N형 트랜지스터를 포함할 수 있다.In the integrated circuit according to the technical idea of the present disclosure, the integrated circuit is connected to a power gating circuit for receiving a power voltage from a first power line and outputting a first driving voltage to a first virtual power line, and a first virtual power line. A logic circuit receiving power from the power gating circuit may be included, and the power gating circuit may include a P-type transistor and a first N-type transistor connected in parallel to each other between the first power line and the first virtual power line.

본 개시의 기술적 사상에 따른 집적 회로는 제1 전원 라인으로부터 전원 전압을 수신하고 제1 가상 전원 라인을 통해 제1 구동 전압을 로직 셀로 제공하는 제1 파워 게이팅 셀을 포함하는 집적 회로에 있어서, 제1 파워 게이팅 셀은 제1 전원 라인 및 제1 가상 전원 라인에 사이에 연결되는 P형 트랜지스터가 형성되는 P-MOS 영역, 제1 전원 라인 및 제1 가상 전원 라인에 사이에 연결되는 제1 N형 트랜지스터가 형성되는 제1 N-MOS 영역, 및 제1 전원 라인 및 제1 가상 전원 라인에 사이에 연결되는 제2 N형 트랜지스터가 형성되는 제2 N-MOS 영역을 포함하고, P-MOS 영역은 N형 불순물로 도핑되고 제1 방향으로 연장되는 N웰을 포함할 수 있다.An integrated circuit according to the inventive concept of the present disclosure is an integrated circuit including a first power gating cell that receives a power voltage from a first power line and provides a first driving voltage to a logic cell through a first virtual power line, 1 The power gating cell is a P-MOS region in which a P-type transistor connected to the first power line and the first virtual power line is formed, and a first N-type connected to the first power line and the first virtual power line. A first N-MOS region in which a transistor is formed, and a second N-MOS region in which a second N-type transistor connected between the first power line and the first virtual power line is formed, and the P-MOS region An N-well doped with an N-type impurity and extending in the first direction may be included.

본 개시의 기술적 사상에 따른 집적 회로는 접지 라인으로부터 접지 전압을 수신하고, 가상 접지 라인을 통해 구동 전압을 로직 셀로 제공하는 제1 파워 게이팅 셀을 포함하는 집적 회로에 있어서, 제1 파워 게이팅 셀은 접지 라인 및 가상 접지 라인에 사이에 연결되는 N형 트랜지스터가 형성되는 N-MOS 영역, 접지 라인 및 가상 접지 라인에 사이에 연결되는 제1 P형 트랜지스터가 형성되는 제1 P-MOS 영역, 및 접지 라인 및 가상 접지 라인에 사이에 연결되는 제2 P형 트랜지스터가 형성되는 제2 P-MOS 영역을 포함하고, 제1 P-MOS 영역은 N형 불순물로 도핑되고, 제1 방향으로 연장되는 N웰에 형성될 수 있다.In the integrated circuit according to the technical idea of the present disclosure, in an integrated circuit including a first power gating cell that receives a ground voltage from a ground line and provides a driving voltage to a logic cell through a virtual ground line, the first power gating cell is An N-MOS region in which an N-type transistor connected to the ground line and a virtual ground line is formed, a first P-MOS region in which a first P-type transistor connected to the ground line and the virtual ground line is formed, and a ground Includes a second P-MOS region in which a second P-type transistor connected between the line and the virtual ground line is formed, and the first P-MOS region is doped with an N-type impurity, and an N-well extending in a first direction Can be formed in

본 개시의 기술적 사상에 따른 집적 회로는 제1 전원 라인 및 제1 가상 전원 라인 사이에 연결되고 서로 문턱 전압이 다른 복수의 N형 트랜지스터들을 포함하는 파워 게이팅 회로를 이용하여 다양한 크기의 구동 전압을 로직 회로에 제공할 수 있다. 또한, 본 개시의 기술적 사상에 따른 집적 회로는 제2 전원 라인 및 제2 가상 전원 라인 사이에 연결되고 서로 문턱 전압이 다른 복수의 P형 트랜지스터들을 포함하는 파워 게이팅 회로를 이용하여 다양한 크기의 구동 전압을 로직 회로에 제공할 수 있다. 따라서, 본 개시에 따른 집적 회로는 다양한 크기의 구동 전압을 제공하는 리텐션 모드들을 수행할 수 있다.The integrated circuit according to the technical idea of the present disclosure uses a power gating circuit including a plurality of N-type transistors connected between a first power line and a first virtual power line and having different threshold voltages to control driving voltages of various sizes. Can be provided to the circuit. In addition, the integrated circuit according to the technical idea of the present disclosure uses a power gating circuit including a plurality of P-type transistors connected between the second power line and the second virtual power line and having different threshold voltages to drive voltages of various sizes. Can be provided to the logic circuit. Accordingly, the integrated circuit according to the present disclosure may perform retention modes that provide driving voltages of various sizes.

도 1은 본 개시의 예시적 실시 예에 따른 파워 게이팅 회로를 포함하는 집적 회로를 나타내는 블록도이다.
도 2는 본 개시의 예시적 실시 예에 따른 파워 게이팅 회로를 포함하는 집적 회로를 나타내는 회로도이다.
도 3a 내지 도 3d는 도 2의 파워 게이팅 회로의 동작에 따라 로직 회로에 제공되는 전압을 설명하기 위한 도면이다.
도 4a는 본 개시의 예시적 실시 예에 따른 파워 게이팅 회로를 포함하는 집적 회로를 나타내는 회로도이다.
도 4b는 본 개시의 예시적 실시 예에 따른 파워 게이팅 회로의 동작에 따라 로직 회로에 제공되는 전압을 설명하기 위한 도면이다.
도 5는 본 개시의 예시적 실시 예에 따른 파워 게이팅 회로를 포함하는 집적 회로를 나타내는 회로도이다.
도 6은 본 개시의 예시적 실시 예에 따른 파워 게이팅 회로를 포함하는 집적 회로를 나타내는 블록도이다.
도 7은 본 개시의 예시적 실시 예에 따른 파워 게이팅 회로를 포함하는 집적 회로를 나타내는 회로도이다.
도 8은 본 개시의 예시적 실시 예에 따른 파워 게이팅 회로를 포함하는 집적 회로를 나타내는 블록도이다.
도 9는 본 개시의 예시적 실시 예에 따른 파워 게이팅 회로를 포함하는 집적 회로를 나타내는 회로도이다.
도 10은 본 개시의 예시적 실시 예에 따른 집적 회로에 배치되는 파워 게이팅 회로에 포함되는 헤더 셀을 설명하기 위한 레이아웃도이다.
도 11은 본 개시의 예시적 실시 예에 따른 집적 회로에 배치되는 파워 게이팅 셀에 포함되는 풋터 셀을 설명하기 위한 레이아웃도이다.
도 12는 본 개시의 예시적 실시 예에 따른 집적 회로에 배치되는 파워 게이팅 회로에 포함되는 헤더 셀을 설명하기 위한 레이아웃도이다.
도 13은 본 개시의 예시적 실시 예에 따른 집적 회로에 배치되는 파워 게이팅 셀에 포함되는 헤더 셀 및 풋터 셀을 설명하기 위한 레이아웃도이다.
도 14는 본 개시의 예시적 실시 예에 따라 집적 회로를 제조하기 위한 방법을 나타내는 순서도이다.
도 15는 본 개시의 예시적 실시 예에 따른 프로그램을 저장하는 메모리를 포함하는 컴퓨팅 시스템을 나타내는 블록도이다.
1 is a block diagram showing an integrated circuit including a power gating circuit according to an exemplary embodiment of the present disclosure.
2 is a circuit diagram showing an integrated circuit including a power gating circuit according to an exemplary embodiment of the present disclosure.
3A to 3D are diagrams for explaining a voltage provided to a logic circuit according to an operation of the power gating circuit of FIG. 2.
4A is a circuit diagram of an integrated circuit including a power gating circuit according to an exemplary embodiment of the present disclosure.
4B is a diagram for describing a voltage provided to a logic circuit according to an operation of a power gating circuit according to an exemplary embodiment of the present disclosure.
5 is a circuit diagram illustrating an integrated circuit including a power gating circuit according to an exemplary embodiment of the present disclosure.
6 is a block diagram illustrating an integrated circuit including a power gating circuit according to an exemplary embodiment of the present disclosure.
7 is a circuit diagram illustrating an integrated circuit including a power gating circuit according to an exemplary embodiment of the present disclosure.
8 is a block diagram showing an integrated circuit including a power gating circuit according to an exemplary embodiment of the present disclosure.
9 is a circuit diagram illustrating an integrated circuit including a power gating circuit according to an exemplary embodiment of the present disclosure.
10 is a layout diagram illustrating a header cell included in a power gating circuit disposed in an integrated circuit according to an exemplary embodiment of the present disclosure.
11 is a layout diagram illustrating a footer cell included in a power gating cell disposed in an integrated circuit according to an exemplary embodiment of the present disclosure.
12 is a layout diagram illustrating a header cell included in a power gating circuit disposed in an integrated circuit according to an exemplary embodiment of the present disclosure.
13 is a layout diagram illustrating a header cell and a footer cell included in a power gating cell disposed in an integrated circuit according to an exemplary embodiment of the present disclosure.
14 is a flow chart showing a method for manufacturing an integrated circuit according to an exemplary embodiment of the present disclosure.
Fig. 15 is a block diagram illustrating a computing system including a memory storing a program according to an exemplary embodiment of the present disclosure.

도 1은 본 개시의 예시적 실시 예에 따른 파워 게이팅 회로를 포함하는 집적 회로를 나타내는 블록도이다. 1 is a block diagram showing an integrated circuit including a power gating circuit according to an exemplary embodiment of the present disclosure.

도 1을 참조하면, 집적 회로(10)는 로직 회로(200) 및 로직 회로(200)로 전력을 제공하는 파워 게이팅 회로(100)를 포함할 수 있다. 로직 회로(200)는 제1 가상 전원 라인(VVDD) 및 제2 전원 라인(RGND)과 연결되고, 제1 가상 전원 라인(VVDD) 및 제2 전원 라인(RGND)을 통해 전력을 제공받을 수 있다. 예시적인 실시 예에서, 제2 전원 라인(RGND)은 접지 라인일 수 있고, 로직 회로(200)는 제2 전원 라인(RGND)을 통해 접지 전압(GND)이 인가될 수 있다.Referring to FIG. 1, the integrated circuit 10 may include a logic circuit 200 and a power gating circuit 100 that provides power to the logic circuit 200. The logic circuit 200 is connected to the first virtual power line VVDD and the second power line RGND, and may receive power through the first virtual power line VVDD and the second power line RGND. . In an exemplary embodiment, the second power line RGND may be a ground line, and the logic circuit 200 may be applied with the ground voltage GND through the second power line RGND.

예시적인 실시 예에서, 집적 회로(10)는 시스템-온-칩(System-On-Chip; SOC)일 수 있다. 예를 들어, 집적 회로(10)는 모바일 SOC, 어플리케이션 프로세서(Application Processor), 미디어 프로세서(Media Processor), 마이크로프로세서, 중앙 처리 장치(Central Processing Unit, CPU), 또는 이와 유사한 장치일 수 있다.In an exemplary embodiment, the integrated circuit 10 may be a System-On-Chip (SOC). For example, the integrated circuit 10 may be a mobile SOC, an application processor, a media processor, a microprocessor, a central processing unit (CPU), or a similar device.

파워 게이팅 회로(100)는 전원 전압(VDD)을 제공하는 제1 전원 라인(RVDD)에 연결될 수 있다. 파워 게이팅 회로(100)는 제어 신호(IN)에 응답하여 제1 가상 전원 라인(VVDD)에 제1 전원 라인(RVDD)을 선택적으로 연결함으로써, 로직 회로(200)에 제공되는 제1 구동 전압을 조절하고 로직 회로(200)의 파워 모드를 조절할 수 있다. The power gating circuit 100 may be connected to a first power line RVDD providing a power voltage VDD. The power gating circuit 100 selectively connects the first power line RVDD to the first virtual power line VVDD in response to the control signal IN, thereby providing a first driving voltage to the logic circuit 200. And control the power mode of the logic circuit 200.

예를 들어, 파워 게이팅 회로(100)는 파워-온 모드에서는 제1 전원 라인(RVDD)과 제1 가상 전원 라인(VVDD)를 연결함으로써 로직 회로(200)에 전원 전압(VDD)을 제공 할 수 있고, 리텐션 모드에서는 제1 전원 라인(RVDD)과 제1 가상 전원 라인(VVDD)를 연결하되 로직 회로(200)에 전원 전압(VDD)보다 낮은 레벨의 하이 리텐션 전압(VR)을 제공 할 수 있다. 반면, 파워 게이팅 회로(100)는 파워-오프 모드에서는 제1 전원 라인(RVDD)과 제1 가상 전원 라인(VVDD)을 서로 차단함으로써 제1 가상 전원 라인(VVDD)을 플로팅시킬 수 있다. For example, the power gating circuit 100 may provide the power voltage VDD to the logic circuit 200 by connecting the first power line RVDD and the first virtual power line VVDD in the power-on mode. In the retention mode, the first power line RVDD and the first virtual power line VVDD are connected, but a high retention voltage VR of a level lower than the power voltage VDD is provided to the logic circuit 200. I can. On the other hand, the power gating circuit 100 may float the first virtual power line VVDD by blocking the first power line RVDD and the first virtual power line VVDD from each other in the power-off mode.

집적 회로(10)는 전력 관리 회로를 더 포함할 수 있고, 제어 신호(IN)는 파워 게이팅 회로(100)의 외부의 전력 관리 회로로부터 제공될 수 있다. 전력 관리 회로는 파워 모드에 따라 로직 회로(200)에 제공되는 전압 레벨이 달라지도록 파워 게이팅 회로(100)에 제어 신호(IN)를 인가할 수 있다. The integrated circuit 10 may further include a power management circuit, and the control signal IN may be provided from a power management circuit external to the power gating circuit 100. The power management circuit may apply a control signal IN to the power gating circuit 100 so that the voltage level provided to the logic circuit 200 varies according to the power mode.

로직 회로(200)는 제1 가상 전원 라인(VVDD)을 통하여 전력을 선택적으로 공급받을 수 있다. 로직 회로(200)는 전력 모드에 따라 다른 레벨의 제1 구동 전압이 제공될 수 있다. 예를 들어, 로직 회로(200)는 파워-온 모드에서는 전원 전압(VDD)을 제공 받고, 리텐션 모드에서는 하이 리텐션 전압(VR)을 제공 받고, 파워-오프 모드에서는 전원이 차단될 수 있다. 도 1에서는 하나의 하이 리텐션 전압(VR)만이 도시되었으나, 본 개시에 따른 집적 회로(10)는 복수의 리텐션 모드들을 포함할 수도 있고, 서로 다른 전압 레벨의 하이 리텐션 전압들을 로직 회로(200)로 제공할 수도 있다.The logic circuit 200 may selectively receive power through the first virtual power line VVDD. The logic circuit 200 may be provided with a first driving voltage of a different level according to the power mode. For example, the logic circuit 200 may be provided with a power supply voltage VDD in a power-on mode, a high retention voltage VR in a retention mode, and power may be cut off in a power-off mode. . Although only one high retention voltage VR is shown in FIG. 1, the integrated circuit 10 according to the present disclosure may include a plurality of retention modes, and high retention voltages of different voltage levels are applied to a logic circuit ( 200) can also be provided.

로직 회로(200)는 제1 가상 전원 라인(VVDD)에 연결된 임의의 회로를 포함할 수 있다. 예를 들어, 로직 회로(200)는 인버터, NAND 게이트, AND 게이트, NOR 게이트, OR 게이트, XOR 게이트, XNOR 게이트, 멀티플렉서, 가산기, 래치, 플립플롭 등으로 구현될 수 있다.The logic circuit 200 may include an arbitrary circuit connected to the first virtual power line VVDD. For example, the logic circuit 200 may be implemented as an inverter, a NAND gate, an AND gate, a NOR gate, an OR gate, an XOR gate, an XNOR gate, a multiplexer, an adder, a latch, a flip-flop, or the like.

도 2는 본 개시의 예시적 실시 예에 따른 파워 게이팅 회로를 포함하는 집적 회로를 나타내는 회로도이다.2 is a circuit diagram showing an integrated circuit including a power gating circuit according to an exemplary embodiment of the present disclosure.

도 2를 참조하면, 파워 게이팅 회로(100)는 제1 전원 라인(RVDD) 및 제1 가상 전원 라인(VVDD) 사이에 연결되는 헤더(Header) 트랜지스터 부(110) 및 헤더 트랜지스터 부(110)로 스위칭 신호들(CS_P, CS_N1, CS_N2)을 제공하는 제어 회로(120)를 포함할 수 있다. 제어 회로(120)는 제어 신호(IN)에 응답하여 스위칭 신호들(CS_P, CS_N1, CS_N2)을 생성할 수 있다. 다만, 도 2에 도시된 것과 달리 파워 게이팅 회로(100)는 제어 회로(120)를 포함하지 않을 수도 있고, 헤더 트랜지스터 부(110)는 파워 게이팅 회로(100)의 외부로부터 직접 스위칭 신호들(CS_P, CS_N1, CS_N2)을 수신할 수도 있다.Referring to FIG. 2, the power gating circuit 100 includes a header transistor unit 110 and a header transistor unit 110 connected between a first power line RVDD and a first virtual power line VVDD. It may include a control circuit 120 providing switching signals CS_P, CS_N1, CS_N2. The control circuit 120 may generate switching signals CS_P, CS_N1, and CS_N2 in response to the control signal IN. However, unlike the one shown in FIG. 2, the power gating circuit 100 may not include the control circuit 120, and the header transistor unit 110 includes switching signals CS_P directly from the outside of the power gating circuit 100. , CS_N1, CS_N2) may be received.

헤더 트랜지스터 부(110)는 제1 전원 라인(RVDD)과 제1 가상 전원 라인(VVDD) 사이에 연결되고 서로 병렬로 연결되는 P형 트랜지스터(PT), 제1 N형 트랜지스터(NT1) 및 제2 N형 트랜지스터(NT2)를 포함할 수 있다. 도 2의 P형 트랜지스터(PT), 제1 N형 트랜지스터(NT1) 및 제2 N형 트랜지스터(NT2) 각각은 등가 트랜지스터로 나타낸 것일 수 있고, P형 트랜지스터(PT), 제1 N형 트랜지스터(NT1) 및 제2 N형 트랜지스터(NT2) 각각은 복수의 트랜지스터들을 포함할 수도 있다. The header transistor unit 110 includes a P-type transistor PT, a first N-type transistor NT1, and a second P-type transistor PT connected between the first power line RVDD and the first virtual power line VVDD and connected in parallel. It may include an N-type transistor NT2. Each of the P-type transistor PT, the first N-type transistor NT1, and the second N-type transistor NT2 of FIG. 2 may be represented by an equivalent transistor, and the P-type transistor PT and the first N-type transistor ( Each of the NT1) and the second N-type transistor NT2 may include a plurality of transistors.

제1 N형 트랜지스터(NT1)는 제1 문턱 전압(VTH_N1)을 가질 수 있고, 제2 N형 트랜지스터(NT2)는 제2 문턱 전압(VTH_N2)를 가질 수 있다. 예시적인 실시 예에서, 제1 문턱 전압(VTH_N1)은 제2 문턱 전압(VTH_N2)보다 작을 수 있다. The first N-type transistor NT1 may have a first threshold voltage VTH_N1, and the second N-type transistor NT2 may have a second threshold voltage VTH_N2. In an exemplary embodiment, the first threshold voltage VTH_N1 may be smaller than the second threshold voltage VTH_N2.

제어 회로(120)은 제어 신호(IN)에 응답하여 헤더 트랜지스터 부(110)에 포함된 트랜지스터들을 선택적으로 턴-온시킬 수 있다. 예시적인 실시 예에서, 제어 신호(IN)는 2-bit 신호일 수 있다. 제어 회로(120)는 제어 신호(IN)에 응답하여, P형 트랜지스터(PT)를 스위칭하는 제1 스위칭 신호(CS_P), 제1 N형 트랜지스터(NT1)를 스위칭 하는 제2 스위칭 신호(CS_N1) 및 제2 N형 트랜지스터(NT2)를 스위칭하는 제3 스위칭 신호(CS_N2)를 생성할 수 있다. The control circuit 120 may selectively turn on transistors included in the header transistor unit 110 in response to the control signal IN. In an exemplary embodiment, the control signal IN may be a 2-bit signal. The control circuit 120 includes a first switching signal CS_P for switching the P-type transistor PT and a second switching signal CS_N1 for switching the first N-type transistor NT1 in response to the control signal IN. And a third switching signal CS_N2 for switching the second N-type transistor NT2.

헤더 트랜지스터 부(110)에 포함된 P형 트랜지스터(PT), 제1 N형 트랜지스터(NT1) 및 제2 N형 트랜지스터(NT2) 각각의 동작에 따라 로직 회로(200)에 연결되는 제1 가상 전원 라인(VVDD)의 전압이 달라질 수 있고, 로직 회로(200)의 파워 모드가 달라질 수 있다. 예시적인 실시 예에서, 로직 회로(200)는 인버터를 포함할 수 있다. 다만, 도 2에 도시된 바와 달리 로직 회로(200)는 인버터 외의 로직 회로를 포함할 수도 있다. A first virtual power supply connected to the logic circuit 200 according to the operation of the P-type transistor PT, the first N-type transistor NT1, and the second N-type transistor NT2 included in the header transistor unit 110 The voltage of the line VVDD may vary, and the power mode of the logic circuit 200 may vary. In an exemplary embodiment, the logic circuit 200 may include an inverter. However, unlike FIG. 2, the logic circuit 200 may include a logic circuit other than an inverter.

본 개시의 예시적 실시 예에 따른 파워 게이팅 회로(100)는 P형 트랜지스터(PT), 제1 N형 트랜지스터(NT1) 및 제2 N형 트랜지스터(NT2) 중 선택된 트랜지스터를 턴-온시킴으로써 로직 회로(200)에 제공되는 제1 구동 전압의 크기를 조절할 수 있다. 로직 회로(200)의 파워 모드 및 로직 회로(200)에 제공되는 전압의 크기에 대한 설명은 도 3a 내지 도 3d에서 후술하겠다.The power gating circuit 100 according to an exemplary embodiment of the present disclosure is a logic circuit by turning on a transistor selected from among a P-type transistor PT, a first N-type transistor NT1, and a second N-type transistor NT2. The magnitude of the first driving voltage provided to 200 may be adjusted. A description of the power mode of the logic circuit 200 and the magnitude of the voltage provided to the logic circuit 200 will be described later in FIGS. 3A to 3D.

도 3a 내지 도 3d는 도 2의 파워 게이팅 회로의 동작에 따라 로직 회로에 제공되는 전압을 설명하기 위한 도면이다. 도 3a 내지 도 3d 각각은 로직 회로(200)가 파워-온 모드, 제1 리텐션 모드, 제2 리텐션 모드 및 파워-오프 모드 각각으로 동작할 때를 설명하기 위한 도면이다. 3A to 3D are diagrams for explaining a voltage provided to a logic circuit according to an operation of the power gating circuit of FIG. 2. Each of FIGS. 3A to 3D is a diagram for explaining a time when the logic circuit 200 operates in a power-on mode, a first retention mode, a second retention mode, and a power-off mode.

도 3a를 참조하면, 파워-온 모드에서, 제어 회로(120)는 P형 트랜지스터(PT)를 턴-온 시키기 위한 스위칭 신호들(CS_P, CS_N1, CS_N2)을 생성할 수 있다. 예를 들어, 제어 회로(120)는 로직 로우 레벨의 제1 스위칭 신호(CS_P), 로직 로우 레벨의 제2 스위칭 신호(CS_N1), 및 로직 로우 레벨의 제3 스위칭 신호(CS_N2)를 생성할 수 있다. P형 트랜지스터(PT), 제1 N형 트랜지스터(NT1) 및 제2 N형 트랜지스터(NT2) 중 P형 트랜지스터(PT)만이 턴-온되어, P형 트랜지스터(PT)를 통해 전류가 흐르게 되고, 제1 가상 전원 라인(VVDD)의 전압 레벨이 제1 전원 라인(RVDD)의 전원 전압(VDD)과 동일해질 수 있다. Referring to FIG. 3A, in the power-on mode, the control circuit 120 may generate switching signals CS_P, CS_N1, and CS_N2 for turning on the P-type transistor PT. For example, the control circuit 120 may generate a logic low level first switching signal CS_P, a logic low level second switching signal CS_N1, and a logic low level third switching signal CS_N2. have. Of the P-type transistor PT, the first N-type transistor NT1, and the second N-type transistor NT2, only the P-type transistor PT is turned on, and current flows through the P-type transistor PT. The voltage level of the first virtual power line VVDD may be the same as the power voltage VDD of the first power line RVDD.

도 3b를 참조하면, 제1 리텐션 모드에서, 제어 회로(120)는 제1 N형 트랜지스터(NT1)를 턴-온 시키기 위한 스위칭 신호들(CS_P, CS_N1, CS_N2)을 생성할 수 있다. 예를 들어, 제어 회로(120)는 로직 하이 레벨의 제1 스위칭 신호(CS_P), 로직 하이 레벨의 제2 스위칭 신호(CS_N1), 및 로직 로우 레벨의 제3 스위칭 신호(CS_N2)를 생성할 수 있다. Referring to FIG. 3B, in the first retention mode, the control circuit 120 may generate switching signals CS_P, CS_N1, and CS_N2 for turning on the first N-type transistor NT1. For example, the control circuit 120 may generate a logic high level first switching signal CS_P, a logic high level second switching signal CS_N1, and a logic low level third switching signal CS_N2. have.

P형 트랜지스터(PT), 제1 N형 트랜지스터(NT1) 및 제2 N형 트랜지스터(NT2) 중 제1 N형 트랜지스터(NT1)만이 턴-온되어, 제1 N형 트랜지스터(NT1)를 통해 전류가 흐르게 되고, 제1 가상 전원 라인(VVDD)은 제1 하이 리텐션 전압(VR1)의 전압 레벨을 가질 수 있다. 제1 N형 트랜지스터(NT1)가 턴-온이 되는 경우에는 제1 N형 트랜지스터(NT1)의 제1 문턱 전압(VTH_N1)으로 인하여 제1 가상 전원 라인(VVDD)은 제1 전원 라인(RVDD)의 전원 전압(VDD)보다 제1 문턱 전압(VTH_N1)만큼 낮은 제1 하이 리텐션 전압(VR1)을 가질 수 있다.Of the P-type transistor PT, the first N-type transistor NT1, and the second N-type transistor NT2, only the first N-type transistor NT1 is turned on, and current through the first N-type transistor NT1 is turned on. Is flowed, and the first virtual power line VVDD may have a voltage level of the first high retention voltage VR1. When the first N-type transistor NT1 is turned on, the first virtual power line VVDD is the first power line RVDD due to the first threshold voltage VTH_N1 of the first N-type transistor NT1. The first high retention voltage VR1 may be lower by the first threshold voltage VTH_N1 than the power voltage VDD of.

도 3c를 참조하면, 제2 리텐션 모드에서, 제어 회로(120)는 제2 N형 트랜지스터(NT2)를 턴-온 시키기 위한 스위칭 신호들(CS_P, CS_N1, CS_N2)을 생성할 수 있다. 예를 들어, 제어 회로(120)는 로직 하이 레벨의 제1 스위칭 신호(CS_P), 로직 로우 레벨의 제2 스위칭 신호(CS_N1), 및 로직 하이 레벨의 제3 스위칭 신호(CS_N2)를 생성할 수 있다. Referring to FIG. 3C, in the second retention mode, the control circuit 120 may generate switching signals CS_P, CS_N1, and CS_N2 for turning on the second N-type transistor NT2. For example, the control circuit 120 may generate a logic high level first switching signal CS_P, a logic low level second switching signal CS_N1, and a logic high level third switching signal CS_N2. have.

P형 트랜지스터(PT), 제1 N형 트랜지스터(NT1) 및 제2 N형 트랜지스터(NT2) 중 제2 N형 트랜지스터(NT2)만이 턴-온되어, 제2 N형 트랜지스터(NT2)를 통해 전류가 흐르고, 제1 가상 전원 라인(VVDD)은 제2 하이 리텐션 전압(VR2)의 전압 레벨을 가질 수 있다. 제2 N형 트랜지스터(NT2)가 턴-온이 되는 경우에는 제2 N형 트랜지스터(NT2)의 제2 문턱 전압(VTH_N2)으로 인하여 제1 가상 전원 라인(VVDD)은 제1 전원 라인(RVDD)의 전원 전압(VDD)보다 제2 문턱 전압(VTH_N2)만큼 낮은 제2 하이 리텐션 전압(VR2)을 가질 수 있다.Of the P-type transistor PT, the first N-type transistor NT1, and the second N-type transistor NT2, only the second N-type transistor NT2 is turned on, and current through the second N-type transistor NT2 Flows, and the first virtual power line VVDD may have a voltage level of the second high retention voltage VR2. When the second N-type transistor NT2 is turned on, the first virtual power line VVDD becomes the first power line RVDD due to the second threshold voltage VTH_N2 of the second N-type transistor NT2. The second high retention voltage VR2 may be lower by the second threshold voltage VTH_N2 than the power voltage VDD of.

예시적인 실시 예에서, 제2 문턱 전압(VTH_N2)은 제1 문턱 전압(VTH_N1) 보다 클 수 있다. 따라서, 제2 하이 리텐션 전압(VR2)은 제1 하이 리텐션 전압(VR1)보다 낮은 전압 레벨을 가질 수 있다. In an exemplary embodiment, the second threshold voltage VTH_N2 may be greater than the first threshold voltage VTH_N1. Accordingly, the second high retention voltage VR2 may have a lower voltage level than the first high retention voltage VR1.

도 3d를 참조하면, 파워-오프 모드에서, 제어 회로(120)는 P형 트랜지스터(PT), 제1 N형 트랜지스터(NT1) 및 제2 N형 트랜지스터(NT2)를 모두 턴-오프 시키기 위한 스위칭 신호들(CS_P, CS_N1, CS_N2)을 생성할 수 있다. 예를 들어, 제어 회로(120)는 로직 하이 레벨의 제1 스위칭 신호(CS_P), 로직 로우 레벨의 제2 스위칭 신호(CS_N1), 및 로직 로우 레벨의 제3 스위칭 신호(CS_N2)를 생성할 수 있다. P형 트랜지스터(PT), 제1 N형 트랜지스터(NT1) 및 제2 N형 트랜지스터(NT2) 모두가 턴-오프됨으로써, 제1 가상 전원 라인(VVDD)은 제1 전원 라인(RVDD)으로부터 차단되고, 플로팅될 수 있다. Referring to FIG. 3D, in the power-off mode, the control circuit 120 is switched to turn off all of the P-type transistor PT, the first N-type transistor NT1, and the second N-type transistor NT2. Signals CS_P, CS_N1, and CS_N2 may be generated. For example, the control circuit 120 may generate a logic high level first switching signal CS_P, a logic low level second switching signal CS_N1, and a logic low level third switching signal CS_N2. have. Since all of the P-type transistor PT, the first N-type transistor NT1, and the second N-type transistor NT2 are turned off, the first virtual power line VVDD is cut off from the first power line RVDD. , Can be plotted.

도 3a 내지 도 3d를 참조하면, 본 개시의 예시적 실시 예에 따른 파워 게이팅 회로(100)는 파워 모드에 따라 로직 회로(200)에 연결되는 제1 가상 전원 라인(VVDD)의 전압 크기를 조절할 수 있다. 따라서, 집적 회로(10)는 파워-온 모드 및 파워-오프 모드 외에 추가로 다양한 리텐션 모드(예를 들어, 제1 리텐션 모드 및 제2 리텐션 모드)를 수행할 수 있다. 3A to 3D, the power gating circuit 100 according to an exemplary embodiment of the present disclosure adjusts the voltage level of the first virtual power line VVDD connected to the logic circuit 200 according to the power mode. I can. Accordingly, the integrated circuit 10 may perform various retention modes (eg, a first retention mode and a second retention mode) in addition to the power-on mode and the power-off mode.

도 4a는 본 개시의 예시적 실시 예에 따른 파워 게이팅 회로를 포함하는 집적 회로를 나타내는 회로도이다. 도 4b는 본 개시의 예시적 실시 예에 따른 파워 게이팅 회로의 동작에 따라 로직 회로에 제공되는 전압을 설명하기 위한 도면이다.4A is a circuit diagram of an integrated circuit including a power gating circuit according to an exemplary embodiment of the present disclosure. 4B is a diagram for describing a voltage provided to a logic circuit according to an operation of a power gating circuit according to an exemplary embodiment of the present disclosure.

도 4a를 참조하면, 집적 회로(10a)는 로직 회로(200) 및 로직 회로(200)로 전력을 제공하는 파워 게이팅 회로(100a)를 포함할 수 있다. 파워 게이팅 회로(100a)는 제어 신호(INa)에 응답하여 로직 회로(200)의 파워 모드를 조절할 수 있고, 로직 회로(200)에 다양한 크기의 전압을 제공할 수 있다. Referring to FIG. 4A, the integrated circuit 10a may include a logic circuit 200 and a power gating circuit 100a that provides power to the logic circuit 200. The power gating circuit 100a may adjust the power mode of the logic circuit 200 in response to the control signal INa, and may provide voltages of various sizes to the logic circuit 200.

로직 회로(200)는 제1 가상 전원 라인(VVDD) 및 제2 전원 라인(RGND)과 연결되고, 제1 가상 전원 라인(VVDD) 및 제2 전원 라인(RGND)을 통해 전력을 제공받을 수 있다. 예시적인 실시 예에서, 제2 전원 라인(RGND)은 접지 라인일 수 있다.The logic circuit 200 is connected to the first virtual power line VVDD and the second power line RGND, and may receive power through the first virtual power line VVDD and the second power line RGND. . In an exemplary embodiment, the second power line RGND may be a ground line.

파워 게이팅 회로(100a)는 제1 전원 라인(RVDD) 및 제1 가상 전원 라인(VVDD) 사이에 연결되는 헤더 트랜지스터 부(110a) 및 헤더 트랜지스터 부(110a)로 스위칭 신호들(CS_P, CS_N1, CS_N2, CS_N3)을 제공하는 제어 회로(120a)를 포함할 수 있다. 제어 회로(120a)는 제어 신호(INa)에 응답하여 스위칭 신호들(CS_P, CS_N1, CS_N2, CS_N3)을 생성할 수 있다. The power gating circuit 100a is a header transistor unit 110a and a header transistor unit 110a connected between the first power line RVDD and the first virtual power line VVDD, and the switching signals CS_P, CS_N1, CS_N2 , CS_N3) may include a control circuit (120a) providing. The control circuit 120a may generate switching signals CS_P, CS_N1, CS_N2, and CS_N3 in response to the control signal INa.

헤더 트랜지스터 부(110a)는 제1 전원 라인(RVDD)과 제1 가상 전원 라인(VVDD) 사이에 연결되고 서로 병렬로 연결되는 P형 트랜지스터(PT), 제1 N형 트랜지스터(NT1), 제2 N형 트랜지스터(NT2), 및 제3 N형 트랜지스터(NT3)를 포함할 수 있다. 예시적인 실시 예에서, 제3 N형 트랜지스터(NT3)는 복수의 트랜지스터들을 포함할 수 있고, 도 4a에서는 제3 N형 트랜지스터(NT3)를 등가 트랜지스터로 나타낸 것일 수 있다. The header transistor unit 110a is connected between the first power line RVDD and the first virtual power line VVDD, and the P-type transistor PT, the first N-type transistor NT1, and the second It may include an N-type transistor NT2 and a third N-type transistor NT3. In an exemplary embodiment, the third N-type transistor NT3 may include a plurality of transistors, and in FIG. 4A, the third N-type transistor NT3 may be represented as an equivalent transistor.

제3 N형 트랜지스터(NT3)는 제3 문턱 전압(VTH_N3)을 가질 수 있다. 예시적인 실시 예에서, 제1 문턱 전압(VTH_N1)은 제2 문턱 전압(VTH_N2)보다 작을 수 있고, 제2 문턱 전압(VTH_N2)은 제3 문턱 전압(VTH_N3)보다 작을 수 있다. The third N-type transistor NT3 may have a third threshold voltage VTH_N3. In an exemplary embodiment, the first threshold voltage VTH_N1 may be smaller than the second threshold voltage VTH_N2, and the second threshold voltage VTH_N2 may be smaller than the third threshold voltage VTH_N3.

제어 회로(120a)는 제어 신호(INa)에 응답하여 헤더 트랜지스터 부(110a)에 포함된 트랜지스터들을 선택적으로 턴-온시킬 수 있다. 예시적인 실시 예에서, 제어 신호(INa)는 2-bit 신호일 수 있다. 제어 회로(120a)는 제어 신호(INa)에 응답하여, P형 트랜지스터(PT)를 스위칭하는 제1 스위칭 신호(CS_P), 제1 N형 트랜지스터(NT1)를 스위칭 하는 제2 스위칭 신호(CS_N1), 제2 N형 트랜지스터(NT2)를 스위칭하는 제3 스위칭 신호(CS_N2) 및 제3 N형 트랜지스터(NT3)를 스위칭하는 제4 스위칭 신호(CS_N3)를 생성할 수 있다. 다만, 제어 신호(INa)가 2-bit 신호인 예는 하나의 실시 예로, 본 개시에 따른 집적 회로(10a)는 이에 한정되지 않으며, 제어 신호(INa)는 다양하게 구현될 수 있다.The control circuit 120a may selectively turn on transistors included in the header transistor unit 110a in response to the control signal INa. In an exemplary embodiment, the control signal INa may be a 2-bit signal. The control circuit 120a includes a first switching signal CS_P for switching the P-type transistor PT and a second switching signal CS_N1 for switching the first N-type transistor NT1 in response to the control signal INa. , A third switching signal CS_N2 for switching the second N-type transistor NT2 and a fourth switching signal CS_N3 for switching the third N-type transistor NT3 may be generated. However, an example in which the control signal INa is a 2-bit signal is an example, and the integrated circuit 10a according to the present disclosure is not limited thereto, and the control signal INa may be variously implemented.

도 4a 및 도 4b를 참조하면, 헤더 트랜지스터 부(110a)에 포함된 P형 트랜지스터(PT), 제1 N형 트랜지스터(NT1), 제2 N형 트랜지스터(NT2) 및 제3 N형 트랜지스터(NT3) 각각의 동작에 따라 로직 회로(200)에 연결되는 제1 가상 전원 라인(VVDD)의 전압이 달라질 수 있고, 로직 회로(200)의 파워 모드가 달라질 수 있다. 4A and 4B, a P-type transistor PT, a first N-type transistor NT1, a second N-type transistor NT2, and a third N-type transistor NT3 included in the header transistor unit 110a. ) The voltage of the first virtual power line VVDD connected to the logic circuit 200 may vary according to each operation, and the power mode of the logic circuit 200 may vary.

예를 들어, 파워-온 모드에서는, P형 트랜지스터(PT)만이 턴-온 될 수 있고, 제1 N형 트랜지스터(NT1), 제2 N형 트랜지스터(NT2) 및 제3 N형 트랜지스터(NT3)는 턴-오프될 수 있다. 따라서, 제1 가상 전원 라인(VVDD)에 전원 전압(VDD)이 인가될 수 있다. For example, in the power-on mode, only the P-type transistor PT can be turned on, and the first N-type transistor NT1, the second N-type transistor NT2, and the third N-type transistor NT3 Can be turned off. Accordingly, the power voltage VDD may be applied to the first virtual power line VVDD.

제1 리텐션 모드에서는, 제1 N형 트랜지스터(NT1)만이 턴-온 될 수 있고, P형 트랜지스터(PT), 제2 N형 트랜지스터(NT2) 및 제3 N형 트랜지스터(NT3)는 턴-오프될 수 있다. 따라서, 제1 가상 전원 라인(VVDD)에 제1 하이 리텐션 전압(VR1)이 인가될 수 있다. 제1 하이 리텐션 전압(VR1)은 전원 전압(VDD)보다 제1 문턱 전압(VTH_N1)만큼 작을 수 있다.In the first retention mode, only the first N-type transistor NT1 can be turned on, and the P-type transistor PT, the second N-type transistor NT2, and the third N-type transistor NT3 are turned on. Can be turned off. Accordingly, the first high retention voltage VR1 may be applied to the first virtual power line VVDD. The first high retention voltage VR1 may be smaller than the power voltage VDD by the first threshold voltage VTH_N1.

제2 리텐션 모드에서는, 제2 N형 트랜지스터(NT2)만이 턴-온 될 수 있고, P형 트랜지스터(PT), 제1 N형 트랜지스터(NT1) 및 제3 N형 트랜지스터(NT3)는 턴-오프될 수 있다. 따라서, 제1 가상 전원 라인(VVDD)에 제2 하이 리텐션 전압(VR2)이 인가될 수 있다. 제2 하이 리텐션 전압(VR2)은 전원 전압(VDD)보다 제2 문턱 전압(VTH_N2)만큼 작을 수 있다.In the second retention mode, only the second N-type transistor NT2 can be turned on, and the P-type transistor PT, the first N-type transistor NT1, and the third N-type transistor NT3 are turned on. Can be turned off. Accordingly, the second high retention voltage VR2 may be applied to the first virtual power line VVDD. The second high retention voltage VR2 may be smaller than the power voltage VDD by the second threshold voltage VTH_N2.

제3 리텐션 모드에서는, 제3 N형 트랜지스터(NT3)만이 턴-온 될 수 있고, P형 트랜지스터(PT), 제1 N형 트랜지스터(NT1) 및 제2 N형 트랜지스터(NT2)는 턴-오프될 수 있다. 따라서, 제1 가상 전원 라인(VVDD)에 제3 하이 리텐션 전압(VR3)이 인가될 수 있다. 제3 하이 리텐션 전압(VR3)은 전원 전압(VDD)보다 제3 문턱 전압(VTH_N3)만큼 작을 수 있다. 예시적인 실시 예에서, 제1 하이 리텐션 전압(VR1)은 제2 하이 리텐션 전압(VR2)보다 클 수 있고, 제2 하이 리텐션 전압(VR2)은 제3 하이 리텐션 전압(VR3)보다 클 수 있다.In the third retention mode, only the third N-type transistor NT3 can be turned on, and the P-type transistor PT, the first N-type transistor NT1, and the second N-type transistor NT2 are turned on. Can be turned off. Accordingly, the third high retention voltage VR3 may be applied to the first virtual power line VVDD. The third high retention voltage VR3 may be smaller than the power voltage VDD by the third threshold voltage VTH_N3. In an exemplary embodiment, the first high retention voltage VR1 may be greater than the second high retention voltage VR2, and the second high retention voltage VR2 is greater than the third high retention voltage VR3. It can be big.

예시적인 실시 예에서, 로직 회로(200)가 파워-오프 모드로 동작하지 않는 회로일 수 있다. 예를 들어, 로직 회로(200)가 메인 프로세서인 경우에, 파워-오프로 동작하지 않을 수 있다. 제어 신호(INa)가 2-bit인 경우에, 파워 게이팅 회로(100a)는 제1 가상 전원 라인(VVDD)을 플로팅시키지 않고 제1 전원 라인(RVDD)과 제1 가상 전원 라인(VVDD)을 전기적으로 연결할 수 있다. 즉, 파워 게이팅 회로(100a)는 P형 트랜지스터(PT), 제1 내지 제3 N형 트랜지스터(NT1~NT3) 중 적어도 하나는 턴-온시킬 수 있다.In an exemplary embodiment, the logic circuit 200 may be a circuit that does not operate in a power-off mode. For example, when the logic circuit 200 is the main processor, it may not operate in power-off. When the control signal INa is 2-bit, the power gating circuit 100a electrically connects the first power line RVDD and the first virtual power line VVDD without floating the first virtual power line VVDD. Can be connected by That is, the power gating circuit 100a may turn on at least one of the P-type transistor PT and the first to third N-type transistors NT1 to NT3.

도 5는 본 개시의 예시적 실시 예에 따른 파워 게이팅 회로를 포함하는 집적 회로를 나타내는 회로도이다. 5 is a circuit diagram illustrating an integrated circuit including a power gating circuit according to an exemplary embodiment of the present disclosure.

도 5를 참조하면, 집적 회로(10b)는 로직 회로(200) 및 로직 회로(200)로 전력을 제공하는 파워 게이팅 회로(100b)를 포함할 수 있다. 파워 게이팅 회로(100b)는 제어 신호(INb)에 응답하여 로직 회로(200)의 파워 모드를 조절할 수 있고, 로직 회로(200)에 다양한 크기의 제1 구동 전압을 제공할 수 있다. Referring to FIG. 5, the integrated circuit 10b may include a logic circuit 200 and a power gating circuit 100b that provides power to the logic circuit 200. The power gating circuit 100b may adjust the power mode of the logic circuit 200 in response to the control signal INb, and may provide the logic circuit 200 with first driving voltages of various sizes.

파워 게이팅 회로(100b)는 제1 전원 라인(RVDD) 및 제1 가상 전원 라인(VVDD) 사이에 연결되는 헤더 트랜지스터 부(110b) 및 헤더 트랜지스터 부(110b)로 스위칭 신호들(CS_P, CS_N1~CS_Nn)을 제공하는 제어 회로(120b)를 포함할 수 있다. 제어 회로(120b)는 제어 신호(INb)에 응답하여 스위칭 신호들(CS_P, CS_N1~CS_Nn)을 생성할 수 있다. The power gating circuit 100b includes a header transistor unit 110b and a header transistor unit 110b connected between the first power line RVDD and the first virtual power line VVDD, and the switching signals CS_P, CS_N1 to CS_Nn It may include a control circuit (120b) to provide. The control circuit 120b may generate switching signals CS_P and CS_N1 to CS_Nn in response to the control signal INb.

헤더 트랜지스터 부(110b)는 제1 전원 라인(RVDD)과 제1 가상 전원 라인(VVDD) 사이에 연결되고 서로 병렬로 연결되는 P형 트랜지스터(PT), 및 제1 내지 제n N형 트랜지스터(NT1~NTn)를 포함할 수 있다. 예시적인 실시 예에서, P형 트랜지스터(PT), 및 제1 내지 제n N형 트랜지스터(NT1~NTn) 각각은 복수의 트랜지스터들을 포함할 수 있고, 도 5에서는 P형 트랜지스터(PT), 및 제1 내지 제n N형 트랜지스터(NT1~NTn) 각각을 등가 트랜지스터로 나타낸 것일 수 있다. 이 때, n은 3이상의 자연수일 수 있다. The header transistor unit 110b includes a P-type transistor PT connected between the first power line RVDD and the first virtual power line VVDD and connected in parallel with each other, and first to n-th N-type transistors NT1. ~NTn) may be included. In an exemplary embodiment, each of the P-type transistor PT and the first to n-th N-th transistors NT1 to NTn may include a plurality of transistors. In FIG. 5, the P-type transistor PT and the Each of the first to n-th N-type transistors NT1 to NTn may be represented as an equivalent transistor. In this case, n may be a natural number of 3 or more.

제1 내지 제n N형 트랜지스터(NT1~NTn) 각각은 서로 다른 문턱 전압 값을 가질 수 있다. 제n N형 트랜지스터(NT3)는 제n 문턱 전압(VTH_Nn)을 가질 수 있다. 예시적인 실시 예에서, 제1 문턱 전압(VTH_N1)은 제2 문턱 전압(VTH_N2)보다 작을 수 있고, 제2 문턱 전압(VTH_N2)은 제n 문턱 전압(VTH_Nn)보다 작을 수 있다. Each of the first to nth N-th transistors NT1 to NTn may have different threshold voltage values. The n-th transistor NT3 may have an n-th threshold voltage VTH_Nn. In an exemplary embodiment, the first threshold voltage VTH_N1 may be smaller than the second threshold voltage VTH_N2, and the second threshold voltage VTH_N2 may be smaller than the nth threshold voltage VTH_Nn.

제어 회로(120b)는 제어 신호(INb)에 응답하여 헤더 트랜지스터 부(110b)에 포함된 트랜지스터들을 선택적으로 턴-온시킬 수 있다. 예시적인 실시 예에서, 제어 신호(INb)는 3-bit 이상일 수 있다. 제어 회로(120b)는 제어 신호(INb)에 응답하여, P형 트랜지스터(PT)를 스위칭하는 제1 스위칭 신호(CS_P), 제1 N형 트랜지스터(NT1)를 스위칭 하는 제2 스위칭 신호(CS_N1), 제2 N형 트랜지스터(NT2)를 스위칭하는 제3 스위칭 신호(CS_N2) 및 제n N형 트랜지스터(NTn)를 스위칭하는 제n+1 스위칭 신호(CS_Nn)를 생성할 수 있다. The control circuit 120b may selectively turn on transistors included in the header transistor unit 110b in response to the control signal INb. In an exemplary embodiment, the control signal INb may be 3-bit or more. The control circuit 120b includes a first switching signal CS_P for switching the P-type transistor PT and a second switching signal CS_N1 for switching the first N-type transistor NT1 in response to the control signal INb. , A third switching signal CS_N2 for switching the second N-type transistor NT2 and an n+1th switching signal CS_Nn for switching the n-N-th transistor NTn may be generated.

헤더 트랜지스터 부(110b)에 포함된 P형 트랜지스터(PT), 및 제1 내지 제N형 트랜지스터(NT1~NTn) 각각의 동작에 따라 로직 회로(200)에 연결되는 제1 가상 전원 라인(VVDD)의 전압이 달라질 수 있고, 로직 회로(200)의 파워 모드가 달라질 수 있다. 예를 들어, 파워-온 모드에서는, P형 트랜지스터(PT)만이 턴-온 될 수 있고, 제1 내지 제n N형 트랜지스터(NT1~NTn)는 턴-오프될 수 있다. 따라서, 제1 가상 전원 라인(VVDD)에 전원 전압(VDD)이 인가될 수 있다. A first virtual power line VVDD connected to the logic circuit 200 according to the operation of the P-type transistor PT included in the header transistor unit 110b and the first to N-th transistors NT1 to NTn. The voltage of may vary, and the power mode of the logic circuit 200 may vary. For example, in the power-on mode, only the P-type transistor PT may be turned on, and the first to n-th N-th transistors NT1 to NTn may be turned off. Accordingly, the power voltage VDD may be applied to the first virtual power line VVDD.

제n 리텐션 모드에서는, 제n N형 트랜지스터(NTn)만이 턴-온 될 수 있고, P형 트랜지스터(PT), 및 제1 내지 제n-1형 트랜지스터(NT1~NTn-1)는 턴-오프될 수 있다. 따라서, 제1 가상 전원 라인(VVDD)에 제n 하이 리텐션 전압이 인가될 수 있다. 제n 하이 리텐션 전압은 전원 전압(VDD)보다 제n 문턱 전압(VTH_Nn)만큼 작을 수 있다. 예시적인 실시 예에서, 제1 하이 리텐션 전압(도 3b의 VR1) 및 제2 하이 리텐션 전압(도 3b의 VR2)은 제n 하이 리텐션 전압(VRn)보다 클 수 있다.In the nth retention mode, only the n-th transistor NTn can be turned on, and the P-type transistor PT and the first to n-1th transistors NT1 to NTn-1 are turned on. Can be turned off. Accordingly, the nth high retention voltage may be applied to the first virtual power line VVDD. The nth high retention voltage may be smaller than the power voltage VDD by an nth threshold voltage VTH_Nn. In an exemplary embodiment, the first high retention voltage (VR1 in FIG. 3B) and the second high retention voltage (VR2 in FIG. 3B) may be greater than the nth high retention voltage VRn.

본 개시에 따른 집적 회로(10b)의 파워 게이팅 회로(100b)는 다양한 수의 서로 다른 문턱 전압을 갖는 N형 트랜지스터를 포함하도록 구현될 수 있고, 로직 회로(200)는 다양한 수의 리텐션 모드로 동작할 수 있다. 즉, 로직 회로(200)에 연결되는 제1 가상 전원 라인(VVDD)의 전압의 크기가 다양화될 수 있다. The power gating circuit 100b of the integrated circuit 10b according to the present disclosure may be implemented to include various numbers of N-type transistors having different threshold voltages, and the logic circuit 200 may be configured in various numbers of retention modes. It can work. That is, the voltage level of the first virtual power line VVDD connected to the logic circuit 200 may be diversified.

도 6은 본 개시의 예시적 실시 예에 따른 파워 게이팅 회로를 포함하는 집적 회로를 나타내는 블록도이다. 6 is a block diagram illustrating an integrated circuit including a power gating circuit according to an exemplary embodiment of the present disclosure.

도 6을 참조하면, 집적 회로(10c)는 로직 회로(200) 및 로직 회로(200)로 전력을 제공하는 파워 게이팅 회로(100c)를 포함할 수 있다. 로직 회로(200)는 제1 전원 라인(RVDD) 및 제2 가상 전원 라인(VGND)과 연결되고, 제1 전원 라인(RVDD) 및 제2 가상 전원 라인(VGND)을 통해 전력을 제공받을 수 있다. 예를 들어, 로직 회로(200)는 제1 전원 라인(RVDD)을 통해 전원 전압(VDD)이 인가될 수 있다.Referring to FIG. 6, the integrated circuit 10c may include a logic circuit 200 and a power gating circuit 100c that provides power to the logic circuit 200. The logic circuit 200 is connected to the first power line RVDD and the second virtual power line VGND, and may receive power through the first power line RVDD and the second virtual power line VGND. . For example, the power voltage VDD may be applied to the logic circuit 200 through the first power line RVDD.

파워 게이팅 회로(100c)는 접지 전압(GND)을 제공하는 제2 전원 라인(RGND)에 연결될 수 있다. 파워 게이팅 회로(100c)는 제어 신호(INc)에 응답하여 제2 가상 전원 라인(VGND)을 제2 전원 라인(RGND)에 선택적으로 연결함으로써, 로직 회로(200)의 파워 모드를 조절할 수 있다. 예를 들어, 파워 게이팅 회로(100c)는 파워-온 모드에서는 제2 전원 라인(RGND)과 제2 가상 전원 라인(VGND)을 연결함으로써 로직 회로(200)에 접지 전압(GND)의 제2 구동 전압을 제공할 수 있고, 리텐션 모드에서는 제2 전원 라인(RGND)과 제2 가상 전원 라인(VGND)을 연결하되 로직 회로(200)에 접지 전압(GND)보다 높은 레벨의 로우 리텐션 전압(VGR)을 제2 구동 전압으로 제공할 수 있다. 반면, 파워 게이팅 회로(100c)는 파워-오프 모드에서는 제2 전원 라인(RGND)과 제2 가상 전원 라인(VGND)을 서로 차단함으로써 제2 가상 전원 라인(VGND)을 플로팅시킬 수 있다.The power gating circuit 100c may be connected to the second power line RGND providing the ground voltage GND. The power gating circuit 100c may control the power mode of the logic circuit 200 by selectively connecting the second virtual power line VGND to the second power line RGND in response to the control signal INc. For example, in the power-on mode, the power gating circuit 100c connects the second power line RGND and the second virtual power line VGND to second drive the ground voltage GND to the logic circuit 200. A voltage can be provided, and in the retention mode, the second power line RGND and the second virtual power line VGND are connected, but a low retention voltage of a level higher than the ground voltage GND to the logic circuit 200 ( VGR) may be provided as the second driving voltage. On the other hand, the power gating circuit 100c may float the second virtual power line VGND by blocking the second power line RGND and the second virtual power line VGND from each other in the power-off mode.

로직 회로(200)는 제2 가상 전원 라인(VGND)을 통하여 전력을 선택적으로 공급받을 수 있다. 이 때, 로직 회로(200)는 전력 모드에 따라 다른 레벨의 구동 전원이 제공될 수 있다. 예를 들어, 로직 회로(200)는 파워-온 모드에서는 접지 전압(GND)을 제공 받고, 리텐션 모드에서는 로우 리텐션 전압(VGR)을 제공 받고, 파워-오프 모드에서는 전원이 차단될 수 있다. 도 8에서는 하나의 로우 리텐션 전압(VGR)만이 도시되었으나, 본 개시에 따른 집적 회로(10c)는 복수의 리텐션 모드들을 포함할 수도 있고, 서로 다른 전압 레벨의 로우 리텐션 전압들을 로직 회로(200)로 제공할 수도 있다.The logic circuit 200 may selectively receive power through the second virtual power line VGND. In this case, the logic circuit 200 may be provided with driving power of a different level according to the power mode. For example, the logic circuit 200 may receive a ground voltage GND in a power-on mode, a low retention voltage VGR in a retention mode, and power off in a power-off mode. . In FIG. 8, only one low retention voltage VGR is shown, but the integrated circuit 10c according to the present disclosure may include a plurality of retention modes, and low retention voltages of different voltage levels are applied to a logic circuit ( 200) can also be provided.

도 7은 본 개시의 예시적 실시 예에 따른 파워 게이팅 회로를 포함하는 집적 회로를 나타내는 회로도이다.7 is a circuit diagram illustrating an integrated circuit including a power gating circuit according to an exemplary embodiment of the present disclosure.

도 7을 참조하면, 파워 게이팅 회로(100c)는 제2 전원 라인(RGND) 및 제2 가상 전원 라인(VGND) 사이에 연결되는 풋터(Footer) 트랜지스터 부(110c) 및 풋터 트랜지스터 부(110c)로 스위칭 신호들(CS_N, CS_P1, CS_P2)을 제공하는 제어 회로(120c)를 포함할 수 있다. 제어 회로(120c)는 제어 신호(INc)에 응답하여 스위칭 신호들(CS_P, CS_N1, CS_NT2)을 생성할 수 있다. 다만, 도 7에 도시된 바와 달리 파워 게이팅 회로(100c)는 제어 회로(120c)를 포함하지 않을 수 있고, 풋터 트랜지스터 부(110c)는 파워 게이팅 회로(100c)의 외부로부터 스위칭 신호들(CS_N, CS_P1, CS_P2)를 수신할 수도 있다.Referring to FIG. 7, the power gating circuit 100c includes a footer transistor unit 110c and a footer transistor unit 110c connected between a second power line RGND and a second virtual power line VGND. A control circuit 120c that provides switching signals CS_N, CS_P1, and CS_P2 may be included. The control circuit 120c may generate switching signals CS_P, CS_N1, and CS_NT2 in response to the control signal INc. However, unlike FIG. 7, the power gating circuit 100c may not include the control circuit 120c, and the footer transistor unit 110c includes switching signals CS_N, from outside of the power gating circuit 100c. CS_P1, CS_P2) may also be received.

풋터 트랜지스터 부(110c)는 제2 전원 라인(RGND)과 제2 가상 전원 라인(VGND) 사이에 연결되고 서로 병렬로 연결되는 N형 트랜지스터(NT), 제1 P형 트랜지스터(PT1) 및 제2 P형 트랜지스터(PT2)를 포함할 수 있다. 도 7에서는 N형 트랜지스터(NT), 제1 P형 트랜지스터(PT1) 및 제2 P형 트랜지스터(PT2) 각각은 복수의 트랜지스터들을 등가 트랜지스터로 나타낸 것일 수 있다. The footer transistor unit 110c is connected between the second power line RGND and the second virtual power line VGND and is connected in parallel with each other, an N-type transistor NT, a first P-type transistor PT1, and a second It may include a P-type transistor PT2. In FIG. 7, each of the N-type transistor NT, the first P-type transistor PT1, and the second P-type transistor PT2 may represent a plurality of transistors as equivalent transistors.

제1 P형 트랜지스터(PT1)는 제1 문턱 전압(VTH_P1)을 가질 수 있고, 제2 P형 트랜지스터(PT2)는 제2 문턱 전압(VTH_P2)을 가질 수 있다. 예시적인 실시 예에서, 제1 문턱 전압(VTH_P1)과 제2 문턱 전압(VTH_P2)은 서로 상이할 수 있다.The first P-type transistor PT1 may have a first threshold voltage VTH_P1, and the second P-type transistor PT2 may have a second threshold voltage VTH_P2. In an exemplary embodiment, the first threshold voltage VTH_P1 and the second threshold voltage VTH_P2 may be different from each other.

제어 회로(120c)는 제어 신호(INc)에 응답하여 풋터 트랜지스터 부(110c)에 포함된 트랜지스터들을 선택적으로 턴-온시킬 수 있다. 제어 회로(120c)는 제어 신호(INc)에 응답하여, N형 트랜지스터(NT)를 스위칭하는 제1 스위칭 신호(CS_N), 제1 P형 트랜지스터(PT1)를 스위칭하는 제2 스위칭 신호(CS_P1) 및 제2 P형 트랜지스터(PT2)를 스위칭하는 제3 스위칭 신호(CS_P2)를 생성할 수 있다. The control circuit 120c may selectively turn on transistors included in the footer transistor unit 110c in response to the control signal INc. The control circuit 120c includes a first switching signal CS_N for switching the N-type transistor NT and a second switching signal CS_P1 for switching the first P-type transistor PT1 in response to the control signal INc. And a third switching signal CS_P2 for switching the second P-type transistor PT2.

풋터 트랜지스터 부(110c)에 포함된 N형 트랜지스터(NT), 제1 P형 트랜지스터(PT1) 및 제2 P형 트랜지스터(PT2) 각각의 동작에 따라 로직 회로(200)에 연결되는 제2 가상 전원 라인(VGND)의 제2 구동 전압이 달라질 수 있고, 로직 회로(200)의 파워 모드가 달라질 수 있다. 예를 들어, 로직 회로(200)는 파워-온 모드, 제1 리텐션 모드, 제2 리텐션 모드 및 파워-오프 모드로 동작할 수 있다. A second virtual power supply connected to the logic circuit 200 according to the operation of the N-type transistor NT, the first P-type transistor PT1, and the second P-type transistor PT2 included in the footer transistor unit 110c The second driving voltage of the line VGND may be different, and the power mode of the logic circuit 200 may be different. For example, the logic circuit 200 may operate in a power-on mode, a first retention mode, a second retention mode, and a power-off mode.

파워-온 모드에서, 제어 회로(120c)는 N형 트랜지스터(NT)를 턴-온 시키기 위한 스위칭 신호들(CS_N, CS_P1, CS_P2)을 생성할 수 있다. 예를 들어, 제어 회로(120c)는 로직 하이 레벨의 제1 스위칭 신호(CS_N), 로직 하이 레벨의 제2 스위칭 신호(CS_P1), 및 로직 하이 레벨의 제3 스위칭 신호(CS_P2)를 생성할 수 있다. N형 트랜지스터(NT), 제1 P형 트랜지스터(PT1) 및 제2 P형 트랜지스터(PT2) 중 N형 트랜지스터(NT)만이 턴-온되어, N형 트랜지스터(NT)를 통해 전류가 흐르게 되고, 제2 가상 전원 라인(VGND)의 제2 구동 전압이 제2 전원 라인(RGND)의 접지 전압(GND)과 동일해질 수 있다. In the power-on mode, the control circuit 120c may generate switching signals CS_N, CS_P1, and CS_P2 for turning on the N-type transistor NT. For example, the control circuit 120c may generate a logic high level first switching signal CS_N, a logic high level second switching signal CS_P1, and a logic high level third switching signal CS_P2. have. Of the N-type transistor NT, the first P-type transistor PT1, and the second P-type transistor PT2, only the N-type transistor NT is turned on, and a current flows through the N-type transistor NT, The second driving voltage of the second virtual power line VGND may be equal to the ground voltage GND of the second power line RGND.

제1 리텐션 모드에서, 제어 회로(120c)는 제1 P형 트랜지스터(PT1)를 턴-온 시키기 위한 스위칭 신호들(CS_N, CS_P1, CS_P2)을 생성할 수 있다. 예를 들어, 제어 회로(120c)는 로직 로우 레벨의 제1 스위칭 신호(CS_N), 로직 로우 레벨의 제2 스위칭 신호(CS_P1), 및 로직 하이 레벨의 제3 스위칭 신호(CS_P2)를 생성할 수 있다. N형 트랜지스터(NT), 제1 P형 트랜지스터(PT1) 및 제2 P형 트랜지스터(PT2) 중 제1 P형 트랜지스터(PT1)만이 턴-온되어, 제1 P형 트랜지스터(PT1)를 통해 전류가 흐를 수 있다. 제1 P형 트랜지스터(PT1)의 제1 문턱 전압(VTH_P1)으로 인하여 제2 가상 전원 라인(VGND)은 접지 전압(GND)보다 제1 문턱 전압(VTH_P1)의 크기만큼 큰 제1 로우 리텐션 전압을 가질 수 있다. In the first retention mode, the control circuit 120c may generate switching signals CS_N, CS_P1, and CS_P2 for turning on the first P-type transistor PT1. For example, the control circuit 120c may generate a logic low level first switching signal CS_N, a logic low level second switching signal CS_P1, and a logic high level third switching signal CS_P2. have. Of the N-type transistor NT, the first P-type transistor PT1, and the second P-type transistor PT2, only the first P-type transistor PT1 is turned on, and current through the first P-type transistor PT1 is turned on. Can flow. Due to the first threshold voltage VTH_P1 of the first P-type transistor PT1, the second virtual power line VGND is a first low retention voltage that is greater than the ground voltage GND by the first threshold voltage VTH_P1. Can have

제2 리텐션 모드에서, 제어 회로(120c)는 제2 P형 트랜지스터(PT2)를 턴-온 시키기 위한 스위칭 신호들(CS_N, CS_P1, CS_P2)을 생성할 수 있다. 예를 들어, 제어 회로(120c)는 로직 로우 레벨의 제1 스위칭 신호(CS_N), 로직 하이 레벨의 제2 스위칭 신호(CS_P1), 및 로직 로우 레벨의 제3 스위칭 신호(CS_P2)를 생성할 수 있다. N형 트랜지스터(NT), 제1 P형 트랜지스터(PT1) 및 제2 P형 트랜지스터(PT2) 중 제2 P형 트랜지스터(PT2)만이 턴-온되어, 제2 P형 트랜지스터(PT2)를 통해 전류가 흐를 수 있다. 제2 P형 트랜지스터(PT2)의 제2 문턱 전압(VTH_P2)으로 인하여 제2 가상 전원 라인(VGND)은 접지 전압(GND)보다 제2 문턱 전압(VTH_P2)의 크기만큼 큰 제2 로우 리텐션 전압을 가질 수 있다. 예시적인 실시 예에서, 제2 문턱 전압(VTH_P2)은 제1 문턱 전압(VTH_P1)과 상이한 값을 가질 수 있다. In the second retention mode, the control circuit 120c may generate switching signals CS_N, CS_P1, and CS_P2 for turning on the second P-type transistor PT2. For example, the control circuit 120c may generate a logic low level first switching signal CS_N, a logic high level second switching signal CS_P1, and a logic low level third switching signal CS_P2. have. Of the N-type transistor NT, the first P-type transistor PT1, and the second P-type transistor PT2, only the second P-type transistor PT2 is turned on, and current through the second P-type transistor PT2 Can flow. Due to the second threshold voltage VTH_P2 of the second P-type transistor PT2, the second virtual power line VGND is a second low retention voltage that is greater than the ground voltage GND by the amount of the second threshold voltage VTH_P2. Can have In an exemplary embodiment, the second threshold voltage VTH_P2 may have a different value from the first threshold voltage VTH_P1.

파워-오프 모드에서, 제어 회로(120c)는 N형 트랜지스터(NT), 제1 P형 트랜지스터(PT1) 및 제2 P형 트랜지스터(PT2)를 모두 턴-오프 시키기 위한 스위칭 신호들(CS_N, CS_P1, CS_P2)을 생성할 수 있다. 예를 들어, 제어 회로(120c)는 로직 로우 레벨의 제1 스위칭 신호(CS_N), 로직 하이 레벨의 제2 스위칭 신호(CS_P1), 및 로직 하이 레벨의 제3 스위칭 신호(CS_P2)를 생성할 수 있다. N형 트랜지스터(NT), 제1 P형 트랜지스터(PT1) 및 제2 P형 트랜지스터(PT2) 모두가 턴-오프됨으로써, 제2 가상 전원 라인(VGND)은 제2 전원 라인(RGND)으로부터 차단되고, 플로팅될 수 있다.In the power-off mode, the control circuit 120c includes switching signals CS_N and CS_P1 for turning off all of the N-type transistor NT, the first P-type transistor PT1, and the second P-type transistor PT2. , CS_P2) can be created. For example, the control circuit 120c may generate a logic low level first switching signal CS_N, a logic high level second switching signal CS_P1, and a logic high level third switching signal CS_P2. have. Since all of the N-type transistor NT, the first P-type transistor PT1, and the second P-type transistor PT2 are turned off, the second virtual power line VGND is cut off from the second power line RGND. , Can be plotted.

도 7에서는 풋터 트랜지스터 부(110c)가 서로 다른 문턱 전압을 갖는 2개의 트랜지스터들인 제1 P형 트랜지스터(PT1) 및 제2 P형 트랜지스터(PT2)만을 포함하는 것으로 도시되었으나, 본 개시에 따른 파워 게이팅 회로(100c)는 서로 다른 문턱 전압을 갖는 다양한 수의 P형 트랜지스터를 포함할 수 있고, 따라서 다양한 리텐션 모드들을 로직 회로(200)에 제공할 수 있다. 예시적인 실시 예에서, 파워 게이팅 회로(100c)는 파워-오프 모드로 동작하지 않을 수도 있다. In FIG. 7, it is shown that the footer transistor unit 110c includes only the first P-type transistor PT1 and the second P-type transistor PT2, which are two transistors having different threshold voltages, but power gating according to the present disclosure. The circuit 100c may include various numbers of P-type transistors having different threshold voltages, and thus various retention modes may be provided to the logic circuit 200. In an exemplary embodiment, the power gating circuit 100c may not operate in the power-off mode.

도 8은 본 개시의 예시적 실시 예에 따른 파워 게이팅 회로를 포함하는 집적 회로를 나타내는 블록도이다. 8 is a block diagram showing an integrated circuit including a power gating circuit according to an exemplary embodiment of the present disclosure.

도 8을 참조하면, 집적 회로(10d)는 로직 회로(200) 및 로직 회로(200)로 전력을 제공하는 파워 게이팅 회로(100d)를 포함할 수 있다. 로직 회로(200)는 제1 가상 전원 라인(VVDD) 및 제2 가상 전원 라인(VGND)과 연결되고, 제1 가상 전원 라인(VVDD) 및 제2 가상 전원 라인(VGND)을 통해 전력을 제공받을 수 있다. Referring to FIG. 8, the integrated circuit 10d may include a logic circuit 200 and a power gating circuit 100d that provides power to the logic circuit 200. The logic circuit 200 is connected to the first virtual power line (VVDD) and the second virtual power line (VGND), and receives power through the first virtual power line (VVDD) and the second virtual power line (VGND). I can.

파워 게이팅 회로(100d)는 전원 전압(VDD)을 제공하는 제1 전원 라인(RVDD)에 연결되고, 접지 전압(GND)을 제공하는 제2 전원 라인(RGND)에 연결될 수 있다. 파워 게이팅 회로(100d)는 제어 신호(INd)에 응답하여, 제1 가상 전원 라인(VVDD)을 제1 전원 라인(RVDD)에 선택적으로 연결하고 제2 가상 전원 라인(VGND)을 제2 전원 라인(RGND)에 선택적으로 연결함으로써, 로직 회로(200)의 파워 모드를 조절할 수 있다. The power gating circuit 100d may be connected to a first power line RVDD providing a power voltage VDD and may be connected to a second power line RGND providing a ground voltage GND. The power gating circuit 100d selectively connects the first virtual power line VVDD to the first power line RVDD and connects the second virtual power line VGND to the second power line in response to the control signal INd. By selectively connecting to (RGND), the power mode of the logic circuit 200 can be adjusted.

예를 들어, 파워 게이팅 회로(100d)는 파워-온 모드에서, 제1 전원 라인(RVDD)과 제1 가상 전원 라인(VVDD)을 연결하고 제2 전원 라인(RGND)과 제2 가상 전원 라인(VGND)을 연결함으로써 로직 회로(200)에 전원 전압(VDD) 및 접지 전압(GND)을 제공 할 수 있다. 파워 게이팅 회로(100d)는 리텐션 모드에서, 제1 가상 전원 라인(VVDD)으로 하이 리텐션 전압(VR)을 제공하거나, 제2 가상 전원 라인(VGND)으로 로우 리텐션 전압(VGR)을 제공할 수 있다. 반면, 파워 게이팅 회로(100d)는 파워-오프 모드에서, 제1 전원 라인(RVDD)과 제1 가상 전원 라인(VVDD)을 서로 차단하고 제2 전원 라인(RGND)과 제2 가상 전원 라인(VGND)을 서로 차단함으로써, 제1 가상 전원 라인(VVDD) 및 제2 가상 전원 라인(VGND)을 플로팅 상태로 만들 수 있다. 도 8에서는 각각 하나의 하이 리텐션 전압(VR) 및 로우 리텐션 전압(VGR)만이 도시되었으나, 본 개시에 따른 집적 회로(10d)는 서로 다른 전압 레벨의 하이 리텐션 전압들 및 로우 리텐션 전압들을 로직 회로(200)로 제공할 수도 있다.For example, in the power-on mode, the power gating circuit 100d connects the first power line RVDD and the first virtual power line VVDD, and connects the second power line RGND and the second virtual power line ( VGND) may provide a power voltage VDD and a ground voltage GND to the logic circuit 200. The power gating circuit 100d provides a high retention voltage VR to a first virtual power line VVDD or a low retention voltage VGR to a second virtual power line VGND in a retention mode. can do. On the other hand, in the power-off mode, the power gating circuit 100d blocks the first power line RVDD and the first virtual power line VVDD from each other, and the second power line RGND and the second virtual power line VGND. By blocking each other, the first virtual power line VVDD and the second virtual power line VGND may be in a floating state. In FIG. 8, only one high retention voltage VR and a low retention voltage VGR are shown, but the integrated circuit 10d according to the present disclosure includes high retention voltages and low retention voltages having different voltage levels. They may be provided as a logic circuit 200.

도 9는 본 개시의 예시적 실시 예에 따른 파워 게이팅 회로를 포함하는 집적 회로를 나타내는 회로도이다.9 is a circuit diagram illustrating an integrated circuit including a power gating circuit according to an exemplary embodiment of the present disclosure.

도 9를 참조하면, 파워 게이팅 회로(100d)는 제1 전원 라인(RVDD) 및 제1 가상 전원 라인(VVDD) 사이에 연결되는 헤더 트랜지스터 부(110_1d), 제2 전원 라인(RGND) 및 제2 가상 전원 라인(VGND) 사이에 연결되는 풋터 트랜지스터 부(110_2d), 및 헤더 트랜지스터 부(110_1d) 및 풋터 트랜지스터 부(110_2d) 각각으로 스위칭 신호들(CS_P, CS_N1, CS_N2, CS_N, CS_P1, CS_P2)을 제공하는 제어 회로(120d)를 포함할 수 있다. 제어 회로(120d)는 제어 신호(INd)에 응답하여 스위칭 신호들(CS_P, CS_N1, CS_NT2)을 생성할 수 있다. 다만, 도 9에 도시된 바와 달리 파워 게이팅 회로(100d)는 제어 회로(120d)를 포함하지 않을 수도 있다.9, the power gating circuit 100d includes a header transistor unit 110_1d connected between a first power line RVDD and a first virtual power line VVDD, a second power line RGND, and a second power supply line. Switching signals CS_P, CS_N1, CS_N2, CS_N, CS_P1, CS_P2 are respectively supplied to the footer transistor unit 110_2d connected between the virtual power line VGND, and the header transistor unit 110_1d and the footer transistor unit 110_2d. It may include a control circuit (120d) to provide. The control circuit 120d may generate switching signals CS_P, CS_N1, and CS_NT2 in response to the control signal INd. However, unlike FIG. 9, the power gating circuit 100d may not include the control circuit 120d.

헤더 트랜지스터 부(110_1d)는 제1 전원 라인(RVDD)과 제1 가상 전원 라인(VVDD) 사이에 연결되고 서로 병렬로 연결되는 P형 트랜지스터(PT), 제1 N형 트랜지스터(NT1) 및 제2 N형 트랜지스터(NT2)를 포함할 수 있다. 예시적인 실시 예에서, P형 트랜지스터(PT), 제1 N형 트랜지스터(NT1) 및 제2 N형 트랜지스터(NT2) 각각은 병렬로 연결되는 복수의 트랜지스터들을 등가 트랜지스터로 나타낸 것일 수 있다. 헤더 트랜지스터 부(110_1d)는 도 2의 트랜지스터 부(110_2)에 대한 설명이 동일하게 적용될 수 있다. The header transistor unit 110_1d is connected between the first power line RVDD and the first virtual power line VVDD, and the P-type transistor PT, the first N-type transistor NT1, and the second It may include an N-type transistor NT2. In an exemplary embodiment, each of the P-type transistor PT, the first N-type transistor NT1, and the second N-type transistor NT2 may represent a plurality of transistors connected in parallel as equivalent transistors. For the header transistor unit 110_1d, the description of the transistor unit 110_2 of FIG. 2 may be the same.

풋터 트랜지스터 부(110_2d)는 제2 전원 라인(RGND)과 제2 가상 전원 라인(VGND) 사이에 연결되고 서로 병렬로 연결되는 N형 트랜지스터(NT), 제1 P형 트랜지스터(PT1) 및 제2 P형 트랜지스터(PT2)를 포함할 수 있다. 예시적인 실시 예에서, N형 트랜지스터(NT), 제1 P형 트랜지스터(PT1) 및 제2 P형 트랜지스터(PT2) 각각은 병렬로 연결되는 복수의 트랜지스터들을 등가 트랜지스터로 나타낸 것일 수 있다. 풋터 트랜지스터 부(110_2d)는 도 7의 트랜지스터 부(110c)에 대한 설명이 동일하게 적용될 수 있다. The footer transistor unit 110_2d is connected between the second power line RGND and the second virtual power line VGND and is connected in parallel with each other. The N-type transistor NT, the first P-type transistor PT1, and the second It may include a P-type transistor PT2. In an exemplary embodiment, each of the N-type transistor NT, the first P-type transistor PT1, and the second P-type transistor PT2 may represent a plurality of transistors connected in parallel as equivalent transistors. The description of the transistor unit 110c of FIG. 7 may be applied to the footer transistor unit 110_2d in the same manner.

제어 회로(120d)는 제어 신호(INd)에 응답하여 헤더 트랜지스터 부(110_1d)및 풋터 트랜지스터 부(110_2d)에 포함된 트랜지스터들을 선택적으로 턴-온시킬 수 있다. 제어 회로(120d)는 제어 신호(INd)에 응답하여, P형 트랜지스터(PT)를 스위칭하는 제1 헤더 스위칭 신호(CS_P), 제1 N형 트랜지스터(NT1)를 스위칭하는 제2 헤더 스위칭 신호(CS_N1) 및 제2 N형 트랜지스터(NT2)를 스위칭하는 제3 헤더 스위칭 신호(CS_N2)를 생성할 수 있다. 또한, 제어 회로(120d)는 제어 신호(INd)에 응답하여, N형 트랜지스터(NT)를 스위칭하는 제1 풋터 스위칭 신호(CS_N), 제1 P형 트랜지스터(PT1)를 스위칭하는 제2 풋터 스위칭 신호(CS_P1) 및 제2 P형 트랜지스터(PT2)를 스위칭하는 제3 풋터 스위칭 신호(CS_P2)를 생성할 수 있다. The control circuit 120d may selectively turn on transistors included in the header transistor unit 110_1d and the footer transistor unit 110_2d in response to the control signal INd. In response to the control signal INd, the control circuit 120d includes a first header switching signal CS_P for switching the P-type transistor PT, and a second header switching signal CS_P for switching the first N-type transistor NT1. A third header switching signal CS_N2 for switching CS_N1 and the second N-type transistor NT2 may be generated. In addition, the control circuit 120d has a first footer switching signal CS_N for switching the N-type transistor NT and a second footer switching for switching the first P-type transistor PT1 in response to the control signal INd. A third footer switching signal CS_P2 for switching the signal CS_P1 and the second P-type transistor PT2 may be generated.

헤더 트랜지스터 부(110_1d) 및 풋터 트랜지스터 부(110_2d)의 동작에 따라 로직 회로(200)에 연결되는 제1 가상 전원 라인(VVDD)의 제1 구동 전압 및 제2 가상 전원 라인(VGND)의 제2 구동 전압이 달라질 수 있고, 로직 회로(200)의 파워 모드가 달라질 수 있다. 예를 들어, 로직 회로(200)는 파워-온 모드, 복수의 리텐션 모드들 및 파워-오프 모드로 동작할 수 있다. The first driving voltage of the first virtual power line VVDD and the second virtual power line VGND connected to the logic circuit 200 according to the operation of the header transistor unit 110_1d and the footer transistor unit 110_2d The driving voltage may vary, and the power mode of the logic circuit 200 may vary. For example, the logic circuit 200 may operate in a power-on mode, a plurality of retention modes, and a power-off mode.

파워-온 모드에서, 제어 회로(120d)는 헤더 트랜지스터 부(110_1d)의 P형 트랜지스터(PT) 및 풋터 트랜지스터 부(110_2d)의 N형 트랜지스터(NT)를 턴-온 시키기 위한 스위칭 신호들(CS_P, CS_N1, CS_N2, CS_N, CS_P1, CS_P2)을 생성할 수 있다. 헤더 트랜지스터 부(110_1d)의 P형 트랜지스터(PT) 및 풋터 트랜지스터 부(110_2d)의 N형 트랜지스터(NT)를 통해 전류가 흐르면서 제1 가상 전원 라인(VVDD)의 전압 레벨이 제1 전원 라인(RVDD)의 전원 전압(VDD)과 동일해지고, 제2 가상 전원 라인(VGND)의 제2 구동 전압이 제2 전원 라인(RGND)의 접지 전압(GND)과 동일해질 수 있다. In the power-on mode, the control circuit 120d includes switching signals CS_P for turning on the P-type transistor PT of the header transistor unit 110_1d and the N-type transistor NT of the footer transistor unit 110_2d. , CS_N1, CS_N2, CS_N, CS_P1, CS_P2) can be generated. As a current flows through the P-type transistor PT of the header transistor unit 110_1d and the N-type transistor NT of the footer transistor unit 110_2d, the voltage level of the first virtual power line VVDD becomes the first power line RVDD. ), and the second driving voltage of the second virtual power line VGND may be equal to the ground voltage GND of the second power line RGND.

복수의 리텐션 모드들 각각에서, 제어 회로(120d)는 헤더 트랜지스터 부(110_1d)의 제1 N형 트랜지스터(NT1) 및 제2 N형 트랜지스터(NT2) 중 하나를 턴-온 시키거나, 풋터 트랜지스터 부(110_2d) 제1 P형 트랜지스터(PT1) 및 제2 P형 트랜지스터(PT2) 중 하나를 턴-온시키는 스위칭 신호들(CS_P, CS_N1, CS_N2, CS_N, CS_P1, CS_P2)을 생성할 수 있다. 복수의 리텐션 모드들에서는 제1 가상 전원 라인(VVDD)에 제1 하이 리텐션 전압(예를 들어, 도 3b의 VR1) 또는 제2 하이 리텐션 전압(예를 들어, 도 3c의 VR2)가 인가되거나, 제2 가상 전원 라인(VGND)에 제1 로우 리텐션 전압 또는 제2 로우 리텐션 전압이 인가될 수 있다. In each of the plurality of retention modes, the control circuit 120d turns on one of the first N-type transistor NT1 and the second N-type transistor NT2 of the header transistor unit 110_1d, or a footer transistor. The unit 110_2d may generate switching signals CS_P, CS_N1, CS_N2, CS_N, CS_P1, and CS_P2 for turning on one of the first P-type transistor PT1 and the second P-type transistor PT2. In the plurality of retention modes, a first high retention voltage (for example, VR1 in FIG. 3B) or a second high retention voltage (for example, VR2 in FIG. 3C) is applied to the first virtual power line VVDD. Alternatively, a first low retention voltage or a second low retention voltage may be applied to the second virtual power line VGND.

예시적인 실시 예에서, 제1 N형 트랜지스터(NT1)의 제1 문턱 전압(VTH_N1), 제2 N형 트랜지스터(NT2)의 제2 문턱 전압(VTH_N2), 제1 P형 트랜지스터(PT1)의 제1 문턱 전압(VTH_P1) 및 제2 P형 트랜지스터(PT2)의 제2 문턱 전압(VTH_P2)의 크기가 서로 상이할 수 있다. 이러한 경우, 파워 게이팅 회로(100d)는 서로 다른 8개의 리텐션 모드들로 로직 회로(200)를 구동할 수 있다. In an exemplary embodiment, the first threshold voltage VTH_N1 of the first N-type transistor NT1, the second threshold voltage VTH_N2 of the second N-type transistor NT2, and the first P-type transistor PT1 The first threshold voltage VTH_P1 and the second threshold voltage VTH_P2 of the second P-type transistor PT2 may be different from each other. In this case, the power gating circuit 100d may drive the logic circuit 200 in eight different retention modes.

파워-오프 모드에서, 제어 회로(120d)는 헤더 트랜지스터 부(110_1d) 및 풋터 트랜지스터 부(110_2d) 중 적어도 하나를 턴-오프 시키기 위한 스위칭 신호들(CS_P, CS_N1, CS_N2, CS_N, CS_P1, CS_P2)을 생성할 수 있다. 헤더 트랜지스터 부(110_1d) 및 풋터 트랜지스터 부(110_2d) 중 적어도 하나가 턴-오프됨으로써, 제1 가상 전원 라인(VVDD)이 플로팅 되거나 제2 가상 전원 라인(VGND)이 플로팅될 수 있다. 따라서, 로직 회로(200)는 파워가 차단될 수 있다.In the power-off mode, the control circuit 120d includes switching signals CS_P, CS_N1, CS_N2, CS_N, CS_P1, and CS_P2 for turning off at least one of the header transistor unit 110_1d and the footer transistor unit 110_2d. Can be created. When at least one of the header transistor unit 110_1d and the footer transistor unit 110_2d is turned off, the first virtual power line VVDD may float or the second virtual power line VGND may float. Accordingly, the power of the logic circuit 200 may be cut off.

도 9에서는 헤더 트랜지스터 부(110_1d)가 제1 N형 트랜지스터(NT1) 및 제2 N형 트랜지스터(NT2)만을 포함하고 풋터 트랜지스터 부(110_2d)가 제1 P형 트랜지스터(PT1) 및 제2 P형 트랜지스터(PT2)만을 포함하는 것으로 도시되었으나, 본 개시에 따른 파워 게이팅 회로(100d)는 서로 다른 문턱 전압을 갖는 다양한 수의 N형 트랜지스터 및 P형 트랜지스터를 포함할 수 있다. 따라서, 파워 게이팅 회로(100d)는 다양한 리텐션 모드들을 로직 회로(200)에 제공할 수 있다.In FIG. 9, the header transistor unit 110_1d includes only the first N-type transistor NT1 and the second N-type transistor NT2, and the footer transistor unit 110_2d is the first P-type transistor PT1 and the second P-type transistor. Although illustrated as including only the transistor PT2, the power gating circuit 100d according to the present disclosure may include various numbers of N-type transistors and P-type transistors having different threshold voltages. Accordingly, the power gating circuit 100d may provide various retention modes to the logic circuit 200.

도 10은 본 개시의 예시적 실시 예에 따른 집적 회로에 배치되는 파워 게이팅 셀에 포함되는 헤더 셀을 설명하기 위한 레이아웃도이다. 본 레이아웃도는 제1 방향(X) 및 제2 방향(Y)으로 이루어진 평면을 나타내는 도면이다. 다른 구성 요소보다 상대적으로 제3 방향(Z)으로 배치된 구성 요소는 다른 구성 요소의 상부에 있는 것으로 지칭될 수 있고, 다른 구성 요소보다 상대적으로 제3 방향(Z)의 반대 방향으로 배치된 구성 요소는 다른 구성 요소의 하부에 있는 것으로 지칭될 수 있다. 10 is a layout diagram illustrating a header cell included in a power gating cell disposed in an integrated circuit according to an exemplary embodiment of the present disclosure. This layout diagram is a diagram showing a plane consisting of a first direction (X) and a second direction (Y). Components arranged in the third direction (Z) relative to other components may be referred to as being on top of other components, and components arranged in a direction opposite to the third direction (Z) than other components An element may be referred to as being underneath another component.

도 10을 참조하면, 제1 내지 제5 헤더 셀(C110_1, C110_1a~C110_1d)은 기판 상에 제1 방향(X)으로 연장되고 N형 불순물로 도핑된 N-웰을 포함할 수 있고, 기판은 P형 불순물로 도핑될 수 있다. 따라서, 기판에는 N형 트랜지스터가 형성되는 N-MOS 영역(NA1~NA4)이 형성될 수 있고, N-웰에는 P형 트랜지스터가 형성되는 P-MOS 영역(PA)이 형성될 수 있다. 제1 내지 제5 헤더 셀(C110_1, C110_1a~C110_1d) 각각에는 파워 게이팅 회로의 헤더 트랜지스터 부가 형성될 수 있다. Referring to FIG. 10, the first to fifth header cells C110_1 and C110_1a to C110_1d may include an N-well extending in a first direction X on a substrate and doped with an N-type impurity, and the substrate It may be doped with P-type impurities. Accordingly, N-MOS regions NA1 to NA4 in which N-type transistors are formed may be formed in the substrate, and P-MOS regions PA in which P-type transistors are formed may be formed in the N-well. Header transistors of the power gating circuit may be formed in each of the first to fifth header cells C110_1 and C110_1a to C110_1d.

예시적인 실시 예에서, N-MOS 영역(NA, NA1, NA2, NA3, NA4) 및 P-MOS 영역(PA) 각각은 제1 방향(X)으로 연장되는 핀(fin)을 포함할 수 있다. 또는, 예시적인 실시 예에서, N-MOS 영역(NA, NA1, NA2, NA3, NA4) 및 P-MOS 영역(PA) 각각은 제1 방향(X)으로 연장되는 나노시트를 포함할 수도 있다. In an exemplary embodiment, each of the N-MOS regions NA, NA1, NA2, NA3, and NA4 and the P-MOS region PA may include a fin extending in the first direction X. Alternatively, in an exemplary embodiment, each of the N-MOS regions NA, NA1, NA2, NA3, and NA4 and the P-MOS region PA may include a nanosheet extending in the first direction X.

제1 내지 제5 헤더 셀(C110_1, C110_1a~C110_1d) 각각은 로직 셀과 전기적으로 연결될 수 있고, 로직 셀에 전력을 제공할 수 있다. 로직 셀은 다양한 종류의 회로들로 구현될 수 있고, 예를 들어, 인버터, NAND 게이트, AND 게이트, NOR 게이트, OR 게이트, XOR 게이트, XNOR 게이트, 멀티플렉서, 가산기, 래치, 플립플롭 등으로 구현될 수 있다.Each of the first to fifth header cells C110_1 and C110_1a to C110_1d may be electrically connected to a logic cell, and may provide power to the logic cell. The logic cell can be implemented with various types of circuits, for example, an inverter, a NAND gate, an AND gate, a NOR gate, an OR gate, an XOR gate, an XNOR gate, a multiplexer, an adder, a latch, a flip-flop, etc. I can.

제1 내지 제5 헤더 셀(C110_1, C110_1a~C110_1d) 각각은 제1 전원 라인(예를 들어, 도 1의 RVDD)과 제1 가상 전원 라인(예를 들어, 도 1의 VVDD)과 연결될 수 있다. 제1 내지 제5 헤더 셀(C110_1, C110_1a~C110_1d) 각각은 입력 핀 및 출력 핀을 가질 수 있다. 예시적 실시 예에서, 제1 내지 제5 헤더 셀(C110_1, C110_1a~C110_1d) 각각의 입력 핀은 제1 내지 제5 헤더 셀(C110_1, C110_1a~C110_1d) 각각에 형성된 트랜지스터의 게이트 전극과 연결될 수 있고, 제어 회로로부터 제공되는 스위칭 신호들이 입력될 수 있다. 제1 내지 제5 헤더 셀(C110_1, C110_1a~C110_1d) 각각의 출력 핀은 제1 가상 전원 라인(VVDD)과 연결될 수 있다. Each of the first to fifth header cells C110_1 and C110_1a to C110_1d may be connected to a first power line (eg, RVDD in FIG. 1) and a first virtual power line (eg, VVDD in FIG. 1 ). . Each of the first to fifth header cells C110_1 and C110_1a to C110_1d may have an input pin and an output pin. In an exemplary embodiment, the input pins of each of the first to fifth header cells C110_1 and C110_1a to C110_1d may be connected to a gate electrode of a transistor formed in each of the first to fifth header cells C110_1 and C110_1a to C110_1d, , Switching signals provided from the control circuit may be input. Output pins of each of the first to fifth header cells C110_1 and C110_1a to C110_1d may be connected to the first virtual power line VVDD.

제1 헤더 셀(C110_1)은 제1 문턱 전압(VTH_N1)을 갖는 N형 트랜지스터들이 형성되는 제1 N-MOS 영역(NA1) 및 P형 트랜지스터들이 형성되는 P-MOS 영역(PA)을 포함할 수 있다. 제1 헤더 셀(C110_1)은 제2 방향(Y)으로 정의되는 제1 높이(H1)를 가질 수 있다. The first header cell C110_1 may include a first N-MOS region NA1 in which N-type transistors having a first threshold voltage VTH_N1 are formed, and a P-MOS region PA in which P-type transistors are formed. have. The first header cell C110_1 may have a first height H1 defined in the second direction Y.

제2 헤더 셀(C110_1a)은 제1 문턱 전압(VTH_N1)을 갖는 N형 트랜지스터들이 형성되는 제1 N-MOS 영역(NA1), 제2 문턱 전압(VTH_N2)을 갖는 N형 트랜지스터들이 형성되는 제2 N-MOS 영역(NA2) 및 P형 트랜지스터들이 형성되는 P-MOS 영역(PA)을 포함할 수 있다. 제2 헤더 셀(C110_1a)은 제2 방향(Y)으로 정의되는 제2 높이(H2)를 가질 수 있다.The second header cell C110_1a is a first N-MOS region NA1 in which N-type transistors having a first threshold voltage VTH_N1 are formed, and a second N-type transistor having a second threshold voltage VTH_N2 is formed. It may include an N-MOS region NA2 and a P-MOS region PA in which P-type transistors are formed. The second header cell C110_1a may have a second height H2 defined in the second direction Y.

제2 헤더 셀(C110_1a)의 P-MOS 영역(PA)은 제1 N-MOS 영역(NA1) 및 제2 N-MOS 영역(NA2) 사이에 배치될 수 있다. 예를 들어, 제2 헤더 셀(C110_1a)은 제2 N-MOS 영역(NA2), P-MOS 영역(PA) 및 제1 N-MOS 영역(NA1)이 제2 방향(Y)으로 나란히 배치될 수 있다. The P-MOS region PA of the second header cell C110_1a may be disposed between the first N-MOS region NA1 and the second N-MOS region NA2. For example, in the second header cell C110_1a, the second N-MOS region NA2, the P-MOS region PA, and the first N-MOS region NA1 are arranged side by side in the second direction Y. I can.

예시적인 실시 예에서, 제1 문턱 전압(VTH_N1) 및 제2 문턱 전압(VTH_N2)은 서로 상이할 수 있고, 따라서, 제2 헤더 셀(C110_1a)은 제1 헤더 셀(C110_1) 보다 다양한 하이 리텐션 전압들을 제2 헤더 셀(C110_1a)과 연결되는 로직 셀에 제공할 수 있다. 또한, 제2 높이(H2)는 제1 높이(H1)보다 클 수 있고, 제1 헤더 셀(C110_1)의 P-MOS 영역(PA)보다 제2 헤더 셀(C110_1a)의 P-MOS 영역(PA)에 형성되는 P형 트랜지스터의 수가 많을 수 있고, 파워-온 모드에서 상대적으로 안정적으로 전원 전압(예를 들어, 도 1의 VDD)을 로직 회로로 제공할 수 있다.In an exemplary embodiment, the first threshold voltage VTH_N1 and the second threshold voltage VTH_N2 may be different from each other, and thus, the second header cell C110_1a has a higher retention that is more diverse than the first header cell C110_1. Voltages may be provided to the logic cell connected to the second header cell C110_1a. In addition, the second height H2 may be greater than the first height H1, and the P-MOS region PA of the second header cell C110_1a is greater than the P-MOS region PA of the first header cell C110_1. ) May be formed in a large number of P-type transistors, and a power supply voltage (eg, VDD of FIG. 1) may be relatively stably provided to the logic circuit in the power-on mode.

제3 헤더 셀(C110_1b)은 제1 문턱 전압(VTH_N1)을 갖는 N형 트랜지스터들이 형성되는 제1 N-MOS 영역(NA1), 제2 문턱 전압(VTH_N2)을 갖는 N형 트랜지스터들이 형성되는 제2 N-MOS 영역(NA2) 및 P형 트랜지스터들이 형성되는 P-MOS 영역(PA)을 포함할 수 있다. 제3 헤더 셀(C110_1b)의 P-MOS 영역(PA)은 제1 N-MOS 영역(NA1) 및 제2 N-MOS 영역(NA2) 사이에 배치될 수 있다. 예를 들어, 제3 헤더 셀(C110_1b)은 제2 N-MOS 영역(NA2), P-MOS 영역(PA) 및 제1 N-MOS 영역(NA1)이 제2 방향(Y)으로 나란히 배치될 수 있다. The third header cell C110_1b is a first N-MOS region NA1 in which N-type transistors having a first threshold voltage VTH_N1 are formed, and a second N-type transistor having a second threshold voltage VTH_N2 is formed. It may include an N-MOS region NA2 and a P-MOS region PA in which P-type transistors are formed. The P-MOS region PA of the third header cell C110_1b may be disposed between the first N-MOS region NA1 and the second N-MOS region NA2. For example, in the third header cell C110_1b, the second N-MOS region NA2, the P-MOS region PA, and the first N-MOS region NA1 are arranged side by side in the second direction Y. I can.

제3 헤더 셀(C110_1b)은 제2 방향(Y)으로 정의되는 제3 높이(H3)를 가질 수 있다. 제3 헤더 셀(C110_1b)의 P-MOS 영역(PA)은 제2 헤더 셀(C110_1a)의 P-MOS 영역(PA)보다 넓을 수 있고, 제3 높이(H3)는 제2 높이(H2)보다 클 수 있다. 따라서, 제2 헤더 셀(C110_1a)의 P-MOS 영역(PA)보다 제3 헤더 셀(C110_1b)의 P-MOS 영역(PA)에 형성되는 P형 트랜지스터의 수가 많을 수 있고, 파워-온 모드에서 안정적으로 전원 전압(예를 들어, 도 1의 VDD)을 로직 회로로 제공할 수 있다. The third header cell C110_1b may have a third height H3 defined in the second direction Y. The P-MOS area PA of the third header cell C110_1b may be wider than the P-MOS area PA of the second header cell C110_1a, and the third height H3 is greater than the second height H2. It can be big. Accordingly, the number of P-type transistors formed in the P-MOS region PA of the third header cell C110_1b may be larger than that of the P-MOS region PA of the second header cell C110_1a, and in the power-on mode A power supply voltage (eg, VDD in FIG. 1) can be stably provided to the logic circuit.

제4 헤더 셀(C110_1c)은 제1 문턱 전압(VTH_N1)을 갖는 N형 트랜지스터들이 형성되는 제1 N-MOS 영역(NA1), 제2 문턱 전압(VTH_N2)을 갖는 N형 트랜지스터들이 형성되는 제2 N-MOS 영역(NA2), 제3 문턱 전압(VTH_N3)을 갖는 N형 트랜지스터들이 형성되는 제3 N-MOS 영역(NA3), 및 P형 트랜지스터들이 형성되는 P-MOS 영역(PA)을 포함할 수 있다. 예시적인 실시 예에서, 제1 문턱 전압(VTH_N1), 제2 문턱 전압(VTH_N2) 및 제3 문턱 전압(VTH_N3)은 서로 상이할 수 있다. 따라서, 제4 헤더 셀(C110_1c)은 제1 내지 제3 헤더 셀(C110_1, C110_1a, C110_1b) 보다 다양한 하이 리텐션 전압들을 로직 셀에 제공할 수 있다.The fourth header cell C110_1c is a first N-MOS region NA1 in which N-type transistors having a first threshold voltage VTH_N1 are formed, and a second N-type transistor having a second threshold voltage VTH_N2 is formed. An N-MOS region NA2, a third N-MOS region NA3 in which N-type transistors having a third threshold voltage VTH_N3 are formed, and a P-MOS region PA in which P-type transistors are formed. I can. In an exemplary embodiment, the first threshold voltage VTH_N1, the second threshold voltage VTH_N2, and the third threshold voltage VTH_N3 may be different from each other. Accordingly, the fourth header cell C110_1c may provide various higher retention voltages to the logic cell than the first to third header cells C110_1, C110_1a, and C110_1b.

제4 헤더 셀(C110_1c)의 P-MOS 영역(PA)으로부터 제2 방향(Y)으로 인접하도록 제1 N-MOS 영역(NA1) 및 제2 N-MOS 영역(NA2)이 배치될 수 있고, 제4 헤더 셀(C110_1c)의 P-MOS 영역(PA)으로부터 제2 방향(Y)의 역방향으로 인접하도록 제3 N-MOS 영역(NA3)이 배치될 수 있다. 예시적인 실시 예에서, 제3 N-MOS 영역(NA3)은 제1 N-MOS 영역(NA1) 및 제2 N-MOS 영역(NA2)보다 넓을 수 있고, 제3 N-MOS 영역(NA3)에 형성되는 N형 트랜지스터들의 수는 제1 N-MOS 영역(NA1)에 형성되는 N형 트랜지스터의 수보다 많을 수 있고, 제2 N-MOS 영역(NA2)에 형성되는 N형 트랜지스터의 수보다 많을 수 있다. 예를 들어, 제3 N-MOS 영역(NA3)에는 상대적으로 사용 빈도가 높은 특정 리텐션 모드를 제공하기 위한 N형 트랜지스터들이 형성될 수 있고, 상기 특정 리텐션 모드에서는 제4 헤더 셀(C110_1c)과 연결되는 로직 셀로 전원 전압에서 제3 문턱 전압(VTH_N3)을 뺀 만큼의 전압이 제공될 수 있다. The first N-MOS region NA1 and the second N-MOS region NA2 may be disposed to be adjacent to the P-MOS region PA of the fourth header cell C110_1c in the second direction Y, and The third N-MOS region NA3 may be disposed to be adjacent to the P-MOS region PA of the fourth header cell C110_1c in the reverse direction of the second direction Y. In an exemplary embodiment, the third N-MOS region NA3 may be wider than the first N-MOS region NA1 and the second N-MOS region NA2, and is formed in the third N-MOS region NA3. The number of formed N-type transistors may be greater than the number of N-type transistors formed in the first N-MOS region NA1 and greater than the number of N-type transistors formed in the second N-MOS region NA2. have. For example, N-type transistors for providing a specific retention mode with a relatively high frequency of use may be formed in the third N-MOS region NA3, and in the specific retention mode, the fourth header cell C110_1c A voltage equal to subtracting the third threshold voltage VTH_N3 from the power voltage may be provided to the logic cell connected to.

제5 헤더 셀(C110_1d)은 제1 문턱 전압(VTH_N1)을 갖는 N형 트랜지스터들이 형성되는 제1 N-MOS 영역(NA1), 제2 문턱 전압(VTH_N2)을 갖는 N형 트랜지스터들이 형성되는 제2 N-MOS 영역(NA2), 제3 문턱 전압(VTH_N3)을 갖는 N형 트랜지스터들이 형성되는 제3 N-MOS 영역(NA3), 제4 문턱 전압(VTH_N4)을 갖는 N형 트랜지스터들이 형성되는 제4 N-MOS 영역(NA4), 및 P형 트랜지스터들이 형성되는 P-MOS 영역(PA)을 포함할 수 있다. 예시적인 실시 예에서, 제1 내지 제4 문턱 전압(VTH_N1~VTH_N4)은 서로 상이할 수 있고, 따라서, 제5 헤더 셀(C110_1d)은 제1 내지 제4 헤더 셀(C110_1, C110_1a~C110_1c) 보다 다양한 하이 리텐션 전압들을 로직 회로에 제공할 수 있다.The fifth header cell C110_1d is a first N-MOS region NA1 in which N-type transistors having a first threshold voltage VTH_N1 are formed, and a second N-type transistor having a second threshold voltage VTH_N2 is formed. An N-MOS region NA2, a third N-MOS region NA3 in which N-type transistors having a third threshold voltage VTH_N3 are formed, and a fourth N-type transistor having a fourth threshold voltage VTH_N4 are formed. An N-MOS region NA4 and a P-MOS region PA in which P-type transistors are formed may be included. In an exemplary embodiment, the first to fourth threshold voltages VTH_N1 to VTH_N4 may be different from each other, and thus, the fifth header cell C110_1d is more than the first to fourth header cells C110_1 and C110_1a to C110_1c. Various high retention voltages can be provided to the logic circuit.

제5 헤더 셀(C110_1d)의 P-MOS 영역(PA)으로부터 제2 방향(Y)으로 인접하도록 제1 N-MOS 영역(NA1) 및 제2 N-MOS 영역(NA2)이 배치될 수 있고, 제5 헤더 셀(C110_1d)의 P-MOS 영역(PA)으로부터 제2 방향(Y)의 역방향으로 인접하도록 제3 N-MOS 영역(NA3) 및 제4 N-MOS 영역(NA4)이 배치될 수 있다.The first N-MOS region NA1 and the second N-MOS region NA2 may be disposed to be adjacent to the P-MOS region PA of the fifth header cell C110_1d in the second direction Y, and The third N-MOS region NA3 and the fourth N-MOS region NA4 may be disposed to be adjacent to the P-MOS region PA of the fifth header cell C110_1d in the reverse direction of the second direction Y. have.

제2 헤더 셀(C110_1a) 및 제3 헤더 셀(C110_1b)은 도 2의 헤더 트랜지스터 부(110)에 대응될 수 있고, 제4 헤더 셀(C110_1c)은 도 4a의 헤더 트랜지스터 부(110a)에 대응될 수 있다. 또는, 제4 헤더 셀(C110_1c) 및 제5 헤더 셀(C110_1d)은 도 5의 헤더 트랜지스터 부(120b)에 대응될 수 있다. 도 10에 도시된 제1 내지 제5 헤더 셀(C110_1, C110_1a~C110_1d)은 헤더 트랜지스터 부를 포함하는 파워 게이팅 회로를 헤더 셀로 구현한 예시들이며, 본 개시에 따른 파워 게이팅 회로는 이에 한정되지 않으며, 다양한 구조의 헤더 셀로 구현될 수 있다. The second header cell C110_1a and the third header cell C110_1b may correspond to the header transistor unit 110 of FIG. 2, and the fourth header cell C110_1c corresponds to the header transistor unit 110a of FIG. 4A. Can be. Alternatively, the fourth header cell C110_1c and the fifth header cell C110_1d may correspond to the header transistor unit 120b of FIG. 5. The first to fifth header cells C110_1 and C110_1a to C110_1d illustrated in FIG. 10 are examples in which a power gating circuit including a header transistor unit is implemented as a header cell, and the power gating circuit according to the present disclosure is not limited thereto, and various It can be implemented as a structure header cell.

도 11은 본 개시의 예시적 실시 예에 따른 집적 회로에 배치되는 파워 게이팅 셀에 포함되는 풋터 셀을 설명하기 위한 레이아웃도이다. 11 is a layout diagram illustrating a footer cell included in a power gating cell disposed in an integrated circuit according to an exemplary embodiment of the present disclosure.

도 11을 참조하면, 제1 내지 제5 풋터 셀(C110_2, C110_2a~C110_2d)은 기판 상에 제1 방향(X)으로 연장되고 N형 불순물로 도핑된 N-웰을 포함할 수 있고, 기판은 P형 불순물로 도핑될 수 있다. 따라서, 기판에는 N형 트랜지스터가 형성되는 N-MOS 영역(NA)이 형성될 수 있고, N-웰에는 P형 트랜지스터가 형성되는 P-MOS 영역(PA1~PA4)이 형성될 수 있다. 제1 내지 제5 풋터 셀(C110_2, C110_2a~C110_2d) 각각에는 파워 게이팅 회로의 풋터 트랜지스터 부가 형성될 수 있다.Referring to FIG. 11, the first to fifth footer cells C110_2 and C110_2a to C110_2d may include an N-well extending in a first direction X on a substrate and doped with an N-type impurity, and the substrate It may be doped with P-type impurities. Accordingly, the N-MOS region NA in which the N-type transistor is formed may be formed in the substrate, and the P-MOS regions PA1 to PA4 in the N-well where the P-type transistor is formed may be formed. In each of the first to fifth footer cells C110_2 and C110_2a to C110_2d, a footer transistor of the power gating circuit may be formed.

제1 내지 제5 풋터 셀(C110_2, C110_2a~C110_2d) 각각은 제2 전원 라인(예를 들어, 도 6의 RGND) 및 제2 가상 전원 라인(VGND)과 연결될 수 있다. 제1 내지 제5 풋터 셀(C110_2, C110_2a~C110_2d) 각각은 입력 핀 및 출력 핀을 가질 수 있다. 예시적 실시 예에서, 제1 내지 제5 풋터 셀(C110_2, C110_2a~C110_2d) 각각의 입력 핀은 제1 내지 제5 풋터 셀(C110_2, C110_2a~C110_2d) 각각에 형성된 트랜지스터의 게이트 전극과 연결될 수 있고, 제어 회로로부터 제공되는 스위칭 신호들이 입력될 수 있다. 제1 내지 제5 풋터 셀(C110_2, C110_2a~C110_2d) 각각의 출력 핀은 제2 가상 전원 라인(VGND)과 연결될 수 있다. Each of the first to fifth footer cells C110_2 and C110_2a to C110_2d may be connected to a second power line (eg, RGND of FIG. 6) and a second virtual power line VGND. Each of the first to fifth footer cells C110_2 and C110_2a to C110_2d may have an input pin and an output pin. In an exemplary embodiment, the input pins of each of the first to fifth footer cells C110_2 and C110_2a to C110_2d may be connected to a gate electrode of a transistor formed in each of the first to fifth footer cells C110_2 and C110_2a to C110_2d, , Switching signals provided from the control circuit may be input. Output pins of each of the first to fifth footer cells C110_2 and C110_2a to C110_2d may be connected to the second virtual power line VGND.

제1 풋터 셀(C110_2)은 제1 문턱 전압(VTH_P1)을 갖는 P형 트랜지스터들이 형성되는 제1 P-MOS 영역(PA1) 및 N형 트랜지스터들이 형성되는 N-MOS 영역(NA)을 포함할 수 있다. 제1 풋터 셀(C110_2)은 제2 방향(Y)으로 정의되는 제1 높이(H1')를 가질 수 있다. The first footer cell C110_2 may include a first P-MOS region PA1 in which P-type transistors having a first threshold voltage VTH_P1 are formed, and an N-MOS region NA in which N-type transistors are formed. have. The first footer cell C110_2 may have a first height H1 ′ defined in the second direction Y.

제2 풋터 셀(C110_2a)은 제1 문턱 전압(VTH_P1)을 갖는 P형 트랜지스터들이 형성되는 제1 P-MOS 영역(PA1), 제2 문턱 전압(VTH_P2)을 갖는 P형 트랜지스터들이 형성되는 제2 P-MOS 영역(PA2) 및 N형 트랜지스터들이 형성되는 N-MOS 영역(NA)을 포함할 수 있다. 제2 풋터 셀(C110_2a)은 제2 방향(Y)으로 정의되는 제2 높이(H2')를 가질 수 있다.The second footer cell C110_2a includes a first P-MOS region PA1 in which P-type transistors having a first threshold voltage VTH_P1 are formed, and a second P-type transistor having a second threshold voltage VTH_P2 is formed. A P-MOS region PA2 and an N-MOS region NA in which N-type transistors are formed may be included. The second footer cell C110_2a may have a second height H2 ′ defined in the second direction Y.

제2 풋터 셀(C110_2a)의 N-MOS 영역(NA)은 제1 P-MOS 영역(PA1) 및 제2 P-MOS 영역(PA2) 사이에 배치될 수 있다. 예를 들어, 제2 풋터 셀(C110_2a)은 제2 P-MOS 영역(PA2), N-MOS 영역(NA) 및 제1 P-MOS 영역(PA1)이 제2 방향(Y)으로 나란히 배치될 수 있다. The N-MOS region NA of the second footer cell C110_2a may be disposed between the first P-MOS region PA1 and the second P-MOS region PA2. For example, in the second footer cell C110_2a, the second P-MOS region PA2, the N-MOS region NA, and the first P-MOS region PA1 are arranged side by side in the second direction Y. I can.

예시적인 실시 예에서, 제1 문턱 전압(VTH_P1) 및 제2 문턱 전압(VTH_P2)은 서로 상이할 수 있고, 따라서, 제2 풋터 셀(C110_2a)은 제1 풋터 셀(C110_2) 보다 다양한 로우 리텐션 전압들을 제2 풋터 셀(C110_2a)과 연결되는 로직 셀에 제공할 수 있다. 또한, 제2 높이(H2')는 제1 높이(H1')보다 클 수 있고, 제1 풋터 셀(C110_2)의 N-MOS 영역(NA)보다 제2 풋터 셀(C110_2a)의 N-MOS 영역(NA)에 형성되는 N형 트랜지스터의 수가 많을 수 있고, 파워-온 모드에서 상대적으로 안정적으로 접지 전압(예를 들어, 도 7의 GND)을 로직 셀로 제공할 수 있다.In an exemplary embodiment, the first threshold voltage VTH_P1 and the second threshold voltage VTH_P2 may be different from each other, and thus, the second footer cell C110_2a has various row retentions than the first footer cell C110_2. Voltages may be provided to a logic cell connected to the second footer cell C110_2a. Further, the second height H2 ′ may be greater than the first height H1 ′, and the N-MOS region of the second footer cell C110_2a is greater than the N-MOS region NA of the first footer cell C110_2 The number of N-type transistors formed in (NA) may be large, and a ground voltage (eg, GND in FIG. 7) may be relatively stably provided to a logic cell in a power-on mode.

제3 풋터 셀(C110_2b)은 제1 문턱 전압(VTH_P1)을 갖는 P형 트랜지스터들이 형성되는 제1 P-MOS 영역(PA1), 제2 문턱 전압(VTH_P2)을 갖는 P형 트랜지스터들이 형성되는 제2 P-MOS 영역(PA2) 및 N형 트랜지스터들이 형성되는 N-MOS 영역(NA)을 포함할 수 있다. 제3 풋터 셀(C110_2b)의 N-MOS 영역(NA)은 제1 P-MOS 영역(PA1) 및 제2 P-MOS 영역(PA2) 사이에 배치될 수 있다. 예를 들어, 제3 풋터 셀(C110_2b)은 제2 P-MOS 영역(PA2), N-MOS 영역(NA) 및 제1 P-MOS 영역(PA1)이 제2 방향(Y)으로 나란히 배치될 수 있다. The third footer cell C110_2b includes a first P-MOS region PA1 in which P-type transistors having a first threshold voltage VTH_P1 are formed, and a second P-type transistor having a second threshold voltage VTH_P2 is formed. A P-MOS region PA2 and an N-MOS region NA in which N-type transistors are formed may be included. The N-MOS region NA of the third footer cell C110_2b may be disposed between the first P-MOS region PA1 and the second P-MOS region PA2. For example, in the third footer cell C110_2b, the second P-MOS region PA2, the N-MOS region NA, and the first P-MOS region PA1 are arranged side by side in the second direction Y. I can.

제3 풋터 셀(C110_2b)은 제2 방향(Y)으로 정의되는 제3 높이(H3')를 가질 수 있다. 제3 풋터 셀(C110_2b)의 N-MOS 영역(NA)은 제2 풋터 셀(C110_2a)의 N-MOS 영역(NA)보다 넓을 수 있고, 제3 높이(H3')는 제2 높이(H2')보다 클 수 있다. 따라서, 제2 풋터 셀(C110_2a)의 N-MOS 영역(NA)보다 제3 풋터 셀(C110_2b)의 N-MOS 영역(NA)에 형성되는 N형 트랜지스터의 수가 많을 수 있고, 파워-온 모드에서 안정적으로 접지 전압(예를 들어, 도 7의 GND)을 로직 셀로 제공할 수 있다.The third footer cell C110_2b may have a third height H3 ′ defined in the second direction Y. The N-MOS region NA of the third footer cell C110_2b may be wider than the N-MOS region NA of the second footer cell C110_2a, and the third height H3 ′ is the second height H2 ′. Can be greater than ). Accordingly, the number of N-type transistors formed in the N-MOS region NA of the third footer cell C110_2b may be larger than that of the N-MOS region NA of the second footer cell C110_2a, and in the power-on mode A ground voltage (eg, GND in FIG. 7) can be stably provided to the logic cell.

제4 풋터 셀(C110_2c)은 제1 문턱 전압(VTH_P1)을 갖는 P형 트랜지스터들이 형성되는 제1 P-MOS 영역(PA1), 제2 문턱 전압(VTH_P2)을 갖는 P형 트랜지스터들이 형성되는 제2 P-MOS 영역(PA2), 제3 문턱 전압(VTH_P3)을 갖는 P형 트랜지스터들이 형성되는 제3 P-MOS 영역(PA3), 및 N형 트랜지스터들이 형성되는 N-MOS 영역(NA)을 포함할 수 있다. 예시적인 실시 예에서, 제1 문턱 전압(VTH_P1), 제2 문턱 전압(VTH_P2) 및 제3 문턱 전압(VTH_P3)은 서로 상이할 수 있다. 따라서, 제4 풋터 셀(C110_2c)은 제1 내지 제3 풋터 셀(C110_2, C110_2a, C110_2b) 보다 다양한 로우 리텐션 전압들을 로직 셀에 제공할 수 있다.The fourth footer cell C110_2c includes a first P-MOS region PA1 in which P-type transistors having a first threshold voltage VTH_P1 are formed, and a second P-type transistor having a second threshold voltage VTH_P2 is formed. A P-MOS region PA2, a third P-MOS region PA3 in which P-type transistors having a third threshold voltage VTH_P3 are formed, and an N-MOS region NA in which N-type transistors are formed. I can. In an exemplary embodiment, the first threshold voltage VTH_P1, the second threshold voltage VTH_P2, and the third threshold voltage VTH_P3 may be different from each other. Accordingly, the fourth footer cell C110_2c may provide various lower retention voltages to the logic cell than the first to third footer cells C110_2, C110_2a, and C110_2b.

제4 풋터 셀(C110_2c)의 N-MOS 영역(NA)으로부터 제2 방향(Y)으로 인접하도록 제1 P-MOS 영역(PA1) 및 제2 P-MOS 영역(PA2)이 배치될 수 있고, 제4 풋터 셀(C110_2c)의 N-MOS 영역(NA)으로부터 제2 방향(Y)의 역방향으로 인접하도록 제3 P-MOS 영역(PA3)이 배치될 수 있다. 예시적인 실시 예에서, 제3 P-MOS 영역(PA3)은 제1 P-MOS 영역(PA1) 및 제2 P-MOS 영역(PA2)보다 넓을 수 있고, 제3 P-MOS 영역(PA3)에 형성되는 P형 트랜지스터들의 수는 제1 P-MOS 영역(PA1)에 형성되는 P형 트랜지스터의 수보다 많을 수 있고, 제2 P-MOS 영역(PA2)에 형성되는 P형 트랜지스터의 수보다 많을 수 있다. 예를 들어, 제3 P-MOS 영역(PA3)에는 상대적으로 사용 빈도가 높은 특정 리텐션 모드를 제공하기 위한 P형 트랜지스터들이 형성될 수 있고, 상기 특정 리텐션 모드에서는 제4 풋터 셀(C110_2c)과 연결되는 로직 셀로 전원 전압에서 제3 문턱 전압(VTH_P3)을 뺀 만큼의 전압이 제공될 수 있다. The first P-MOS area PA1 and the second P-MOS area PA2 may be disposed to be adjacent to the N-MOS area NA of the fourth footer cell C110_2c in the second direction Y, and The third P-MOS region PA3 may be disposed to be adjacent to the N-MOS region NA of the fourth footer cell C110_2c in the reverse direction of the second direction Y. In an exemplary embodiment, the third P-MOS area PA3 may be wider than the first P-MOS area PA1 and the second P-MOS area PA2, and is formed in the third P-MOS area PA3. The number of P-type transistors formed may be greater than the number of P-type transistors formed in the first P-MOS region PA1, and may be greater than the number of P-type transistors formed in the second P-MOS region PA2. have. For example, P-type transistors for providing a specific retention mode with a relatively high frequency of use may be formed in the third P-MOS region PA3. In the specific retention mode, the fourth footer cell C110_2c A voltage equal to subtracting the third threshold voltage VTH_P3 from the power supply voltage may be provided to the logic cell connected to.

제5 풋터 셀(C110_2d)은 제1 문턱 전압(VTH_P1)을 갖는 P형 트랜지스터들이 형성되는 제1 P-MOS 영역(PA1), 제2 문턱 전압(VTH_P2)을 갖는 P형 트랜지스터들이 형성되는 제2 P-MOS 영역(PA2), 제3 문턱 전압(VTH_P3)을 갖는 P형 트랜지스터들이 형성되는 제3 P-MOS 영역(PA3), 제4 문턱 전압(VTH_P4)을 갖는 P형 트랜지스터들이 형성되는 제4 P-MOS 영역(PA4), 및 N형 트랜지스터들이 형성되는 N-MOS 영역(NA)을 포함할 수 있다. 예시적인 실시 예에서, 제1 내지 제4 문턱 전압(VTH_P1~VTH_P4)은 서로 상이할 수 있고, 따라서, 제5 풋터 셀(C110_2d)은 제1 내지 제4 풋터 셀(C110_2, C110_2a~C110_2c) 보다 다양한 로우 리텐션 전압들을 로직 회로에 제공할 수 있다.The fifth footer cell C110_2d includes a first P-MOS region PA1 in which P-type transistors having a first threshold voltage VTH_P1 are formed, and a second P-type transistor having a second threshold voltage VTH_P2 is formed. P-MOS region PA2, a third P-MOS region PA3 in which P-type transistors having a third threshold voltage VTH_P3 are formed, and a fourth P-type transistor having a fourth threshold voltage VTH_P4 are formed. A P-MOS region PA4 and an N-MOS region NA in which N-type transistors are formed may be included. In an exemplary embodiment, the first to fourth threshold voltages VTH_P1 to VTH_P4 may be different from each other, and thus, the fifth footer cell C110_2d is more than the first to fourth footer cells C110_2 and C110_2a to C110_2c. Various low retention voltages can be provided to the logic circuit.

제5 풋터 셀(C110_2d)의 N-MOS 영역(NA)으로부터 제2 방향(Y)으로 인접하도록 제1 P-MOS 영역(PA1) 및 제2 P-MOS 영역(PA2)이 배치될 수 있고, 제5 풋터 셀(C110_2d)의 N-MOS 영역(NA)으로부터 제2 방향(Y)의 역방향으로 인접하도록 제3 P-MOS 영역(PA3) 및 제4 P-MOS 영역(PA4)이 배치될 수 있다.The first P-MOS region PA1 and the second P-MOS region PA2 may be disposed to be adjacent to the N-MOS region NA of the fifth footer cell C110_2d in the second direction Y, and The third P-MOS region PA3 and the fourth P-MOS region PA4 may be disposed to be adjacent to the N-MOS region NA of the fifth footer cell C110_2d in the reverse direction of the second direction Y. have.

제2 풋터 셀(C110_2a) 및 제3 풋터 셀(C110_2b)은 도 7의 풋터 트랜지스터 부(110c)에 대응될 수 있다. 도 11에 도시된 제1 내지 제5 풋터 셀(C110_2, C110_2a~C110_2d)은 풋터 트랜지스터 부를 포함하는 파워 게이팅 회로를 풋터 셀로 구현한 예시들이며, 본 개시에 따른 파워 게이팅 회로는 이에 한정되지 않으며, 다양한 구조의 풋터 셀로 구현될 수 있다. The second footer cell C110_2a and the third footer cell C110_2b may correspond to the footer transistor unit 110c of FIG. 7. The first to fifth footer cells C110_2 and C110_2a to C110_2d illustrated in FIG. 11 are examples in which a power gating circuit including a footer transistor unit is implemented as a footer cell, and the power gating circuit according to the present disclosure is not limited thereto, and various It can be implemented as a structured footer cell.

도 12는 본 개시의 예시적 실시 예에 따른 집적 회로에 배치되는 파워 게이팅 셀에 포함되는 헤더 셀을 설명하기 위한 레이아웃도이다. 12 is a layout diagram illustrating a header cell included in a power gating cell disposed in an integrated circuit according to an exemplary embodiment of the present disclosure.

도 12를 참조하면, 헤더 셀 그룹(C100e)은 제1 타입 헤더 셀(C110_1b) 및 제2 타입 헤더 셀(C110_1b')을 포함할 수 있다. 제1 타입 헤더 셀(C110_1b) 및 제2 타입 헤더 셀(C110_1b') 각각은 제1 전원 라인(예를 들어, 도 1의 RVDD)과 제1 가상 전원 라인(예를 들어, 도 1의 VVDD)과 연결될 수 있다.Referring to FIG. 12, a header cell group C100e may include a first type header cell C110_1b and a second type header cell C110_1b'. Each of the first type header cell C110_1b and the second type header cell C110_1b' is a first power line (eg, RVDD in FIG. 1) and a first virtual power line (eg, VVDD in FIG. 1) Can be connected with.

헤더 셀 그룹(C100e)에 포함되는 제1 타입 헤더 셀(C110_1b) 및 제2 타입 헤더 셀(C110_1b')은 서로 다른 문턱 전압을 갖는 트랜지스터들을 포함할 수 있다. 예를 들어, 제1 타입 헤더 셀(C110_1b)은 제1 문턱 전압(VTH_N1)을 갖는 N형 트랜지스터들이 형성되는 제1 N-MOS 영역(NA1), 제2 문턱 전압(VTH_N2)을 갖는 N형 트랜지스터들이 형성되는 제2 N-MOS 영역(NA2) 및 P형 트랜지스터들이 형성되는 P-MOS 영역(PA)을 포함할 수 있다. 또한, 예를 들어, 제2 타입 헤더 셀(C110_1b')은 제3 문턱 전압(VTH_N3)을 갖는 N형 트랜지스터들이 형성되는 제3 N-MOS 영역(NA3), 제4 문턱 전압(VTH_N4)을 갖는 N형 트랜지스터들이 형성되는 제4 N-MOS 영역(NA4) 및 P형 트랜지스터들이 형성되는 P-MOS 영역(PA)을 포함할 수 있다. 예시적인 실시 예에서, 제1 내지 제4 문턱 전압(VTH_N1~VTH_N4)은 서로 상이할 수 있으나, 이에 한정되는 것은 아니며, 제1 내지 제4 문턱 전압(VTH_N1~VTH_N4) 중 일부는 서로 동일할 수도 있다.The first type header cell C110_1b and the second type header cell C110_1b' included in the header cell group C100e may include transistors having different threshold voltages. For example, the first type header cell C110_1b is a first N-MOS region NA1 in which N-type transistors having a first threshold voltage VTH_N1 are formed, and an N-type transistor having a second threshold voltage VTH_N2 A second N-MOS region NA2 in which they are formed, and a P-MOS region PA in which P-type transistors are formed. In addition, for example, the second type header cell C110_1b' has a third N-MOS region NA3 in which N-type transistors having a third threshold voltage VTH_N3 are formed and a fourth threshold voltage VTH_N4. A fourth N-MOS region NA4 in which N-type transistors are formed and a P-MOS region PA in which P-type transistors are formed may be included. In an exemplary embodiment, the first to fourth threshold voltages VTH_N1 to VTH_N4 may be different from each other, but are not limited thereto, and some of the first to fourth threshold voltages VTH_N1 to VTH_N4 may be the same. have.

도 12에서는 제1 타입 헤더 셀(C110_1b) 및 제2 타입 헤더 셀(C110_1b') 각각이 도 10의 제3 헤더 셀(C110_1b)과 동일한 형상을 갖도록 도시하였으나, 본 개시에 따른 헤더 셀 그룹(C100e)은 이에 한정되지 않는다. 헤더 셀 그룹(C100e)은 도 10의 제1 내지 제5 헤더 셀(C110_1, C110_1a~C110_1d) 중 적어도 하나를 포함할 수 있다.In FIG. 12, each of the first type header cell C110_1b and the second type header cell C110_1b' is illustrated to have the same shape as the third header cell C110_1b of FIG. 10, but the header cell group C100e according to the present disclosure ) Is not limited thereto. The header cell group C100e may include at least one of the first to fifth header cells C110_1 and C110_1a to C110_1d of FIG. 10.

예시적인 실시 예에서, 헤더 셀 그룹(C100e)에 포함되는 제1 타입 헤더 셀(C110_1b) 및 제2 타입 헤더 셀(C110_1b')은 제1 방향(X)으로 나란하게 배치될 수 있으나 본 개시는 이에 한정되지 않는다. 예시적인 실시 예에서, 헤더 셀 그룹(C100e)에 포함되는 제1 타입 헤더 셀(C110_1b) 및 제2 타입 헤더 셀(C110_1b')은 높이가 동일할 수 있으나, 본 개시는 이에 한정되지 않는다.In an exemplary embodiment, the first type header cell C110_1b and the second type header cell C110_1b ′ included in the header cell group C100e may be arranged side by side in the first direction X, but the present disclosure It is not limited to this. In an exemplary embodiment, the first type header cell C110_1b and the second type header cell C110_1b' included in the header cell group C100e may have the same height, but the present disclosure is not limited thereto.

헤더 셀 그룹(C100e)에 포함되는 제1 타입 헤더 셀(C110_1b)의 제1 출력 핀(P1) 및 제2 타입 헤더 셀(C110_1b')의 제2 출력 핀(P2)은 서로 연결될 수 있고, 제1 출력 핀(P1) 및 제2 출력 핀(P2)은 제1 가상 전원 라인(예를 들어, 도 1의 VVDD)과 연결될 수 있다. 헤더 셀 그룹(C100e)은 하나의 헤더 셀로서 구동할 수 있다. 예를 들어, 헤더 셀 그룹(C100e)은 도 10의 제5 헤더 셀(C110_1d)과 유사하게 동작할 수 있다. The first output pin P1 of the first type header cell C110_1b included in the header cell group C100e and the second output pin P2 of the second type header cell C110_1b' may be connected to each other. The first output pin P1 and the second output pin P2 may be connected to a first virtual power line (eg, VVDD of FIG. 1 ). The header cell group C100e can be driven as one header cell. For example, the header cell group C100e may operate similarly to the fifth header cell C110_1d of FIG. 10.

하나의 헤더 셀에 포함된 N형 트랜지스터의 수가 증가될 경우, 헤더 셀의 크기가 점차 증가될 수 있고, 하나의 헤더 표준 셀에서 N형 트랜지스터에 대한 P형 트랜지스터의 비율이 점차 낮아짐에 따라 파워-온 모드에서 저항이 커질 수 있다. 따라서, 하나의 헤더 셀 그룹(C100e)으로 파워 게이팅 회로의 헤더 트랜지스터 부를 구현하면, 제5 헤더 셀(C110_1d)로 헤더 트랜지스터 부를 구현한 것과 비교하여 N형 트랜지스터에 대한 P형 트랜지스터의 비율이 감소되는 것을 방지할 수 있고, 헤더 셀 그룹(C100e)의 동작 특성 예측이 용이할 수 있다. When the number of N-type transistors included in one header cell increases, the size of the header cell may gradually increase, and as the ratio of the P-type transistor to the N-type transistor in one header standard cell gradually decreases, the power- Resistance can be increased in the on mode. Therefore, when the header transistor part of the power gating circuit is implemented with one header cell group C100e, the ratio of the P-type transistor to the N-type transistor is reduced compared to that of implementing the header transistor part with the fifth header cell C110_1d. This can be prevented, and operation characteristics of the header cell group C100e can be easily predicted.

헤더 셀 그룹(C100e)을 집적 회로에 배치하기 위해 제1 타입 헤더 셀(C110_1b) 및 제2 타입 헤더 셀(C110_1b')을 배치하고 제1 타입 헤더 셀(C110_1b)의 출력 핀 및 제2 타입 헤더 셀(C110_1b')의 출력 핀을 연결할 수 있다. 도 10의 제5 헤더 셀(C110_1d)로 파워 게이팅 회로의 헤더 트랜지스터 부를 구현하면, 헤더 셀 그룹(C100e)으로 헤더 트랜지스터 부를 구현한 것과 비교하여 라우팅이 용이할 수 있다. To arrange the header cell group C100e in the integrated circuit, a first type header cell C110_1b and a second type header cell C110_1b' are arranged, and an output pin and a second type header of the first type header cell C110_1b The output pin of the cell C110_1b' may be connected. When the header transistor part of the power gating circuit is implemented with the fifth header cell C110_1d of FIG. 10, routing may be easier compared to that of implementing the header transistor part with the header cell group C100e.

도 12에서는 서로 다른 타입의 헤더 셀들이 하나의 헤더 셀 그룹을 형성하는 실시 예를 도시하였으나, 서로 다른 타입의 풋터 셀들이 하나의 풋터 셀 그룹을 형성할 수도 있다. 풋터 셀 그룹에 포함되는 풋터 셀들 각각의 출력 핀들이 연결될 수 있다. 예를 들어, 집적 회로는 제2 전원 라인(RGND) 및 제2 가상 전원 라인(VGND)에 연결되는 제1 타입 풋터 셀 및 제2 타입 풋터 셀을 포함할 수 있고, 제1 타입 풋터 셀은 제1 문턱 전압(도 11의 VTH_P1)을 갖는 제1 P형 트랜지스터들이 형성되는 제1 P-MOS 영역(도 11의 PA1) 및 제2 문턱 전압(도 11의 VTH_P2)을 갖는 제2 P형 트랜지스터들이 형성되는 제2 P-MOS 영역(도 11의 PA2)을 포함할 수 있고, 제2 타입 풋터 셀은 제3 문턱 전압(도 11의 VTH_P3)을 갖는 제3 P형 트랜지스터들이 형성되는 제3 P-MOS 영역 및 제4 문턱 전압(VTH_P4)을 갖는 제4 P형 트랜지스터들이 형성되는 제4 P-MOS 영역을 포함할 수 있다. 예시적인 실시 예에서, 제1 타입 풋터 셀 및 제2 타입 풋터 셀은 제1 방향(X)으로 나란하게 배치될 수 있고, 제1 타입 풋터 셀의 출력 핀 및 제2 타입 풋터 셀의 출력 핀은 서로 연결될 수 있다.12 illustrates an embodiment in which different types of header cells form one header cell group, but different types of footer cells may form one footer cell group. Output pins of each of the footer cells included in the footer cell group may be connected. For example, the integrated circuit may include a first type footer cell and a second type footer cell connected to the second power line RGND and the second virtual power line VGND, and the first type footer cell The first P-MOS region (PA1 in FIG. 11) in which the first P-type transistors having 1 threshold voltage (VTH_P1 in FIG. 11) are formed and the second P-type transistors having a second threshold voltage (VTH_P2 in FIG. 11) are A second P-MOS region (PA2 in FIG. 11) may be formed, and the second type footer cell is a third P-type transistor in which third P-type transistors having a third threshold voltage (VTH_P3 in FIG. 11) are formed. A fourth P-MOS region in which fourth P-type transistors having a MOS region and a fourth threshold voltage VTH_P4 are formed may be included. In an exemplary embodiment, the first type footer cell and the second type footer cell may be arranged side by side in the first direction (X), and the output pin of the first type footer cell and the output pin of the second type footer cell are Can be connected to each other.

도 13은 본 개시의 예시적 실시 예에 따른 집적 회로에 배치되는 파워 게이팅 셀에 포함되는 헤더 셀 및 풋터 셀을 설명하기 위한 레이아웃도이다. 13 is a layout diagram illustrating a header cell and a footer cell included in a power gating cell disposed in an integrated circuit according to an exemplary embodiment of the present disclosure.

도 13을 참조하면, 파워 게이팅 셀 그룹(C100f)은 도 9의 헤더 트랜지스터 부(110_1d) 및 풋터 트랜지스터 부(110_2d)에 대응될 수 있다. 파워 게이팅 셀 그룹(C100f)은 제3 헤더 셀(C110_1b) 및 제3 풋터 셀(C110_2b)을 포함할 수 있다. 제3 헤더 셀(C110_1b)은 제1 전원 라인(예를 들어, 도 1의 RVDD)과 제1 가상 전원 라인(예를 들어, 도 1의 VVDD)과 연결될 수 있다. 제3 풋터 셀(C110_2b)은 제2 전원 라인(예를 들어, 도 1의 RVDD)과 제2 가상 전원 라인(예를 들어, 도 1의 VVDD)과 연결될 수 있다. 예를 들어, 제3 헤더 셀(C110_1b)의 출력 핀은 제1 가상 전원 라인(VVDD)과 연결될 수 있고, 제3 풋터 셀(C110_2b)의 출력 핀은 제2 가상 전원 라인(VGND)과 연결될 수 있다.Referring to FIG. 13, the power gating cell group C100f may correspond to the header transistor unit 110_1d and the footer transistor unit 110_2d of FIG. 9. The power gating cell group C100f may include a third header cell C110_1b and a third footer cell C110_2b. The third header cell C110_1b may be connected to a first power line (eg, RVDD of FIG. 1) and a first virtual power line (eg, VVDD of FIG. 1 ). The third footer cell C110_2b may be connected to a second power line (eg, RVDD in FIG. 1) and a second virtual power line (eg, VVDD in FIG. 1 ). For example, the output pin of the third header cell C110_1b may be connected to the first virtual power line VVDD, and the output pin of the third footer cell C110_2b may be connected to the second virtual power line VGND. have.

예시적인 실시 예에서, 파워 게이팅 셀 그룹(C100f)에 포함된 제3 헤더 셀(C110_1b) 및 제3 풋터 셀(C110_2b)은 제1 방향(X)으로 서로 오버랩되도록 배치될 수 있다. In an exemplary embodiment, the third header cell C110_1b and the third footer cell C110_2b included in the power gating cell group C100f may be disposed to overlap each other in the first direction X.

설명의 편의를 위해 도 10의 제3 헤더 셀(C110_1b) 및 도 11의 제3 풋터 셀(C110_2b)을 포함하는 파워 게이팅 셀 그룹(C100f)을 도시하였으나, 다양한 형상의 헤더 셀 및 풋터 셀을 포함하는 다양한 파워 게이팅 셀 그룹이 파워 게이팅 회로(100f)를 형성할 수 있다. 예를 들어, 도 10의 제1 내지 제5 헤더 셀(C110_1, C110_1a~C110_1d) 중 선택된 하나의 헤더 셀 및 도 11의 제1 내지 제5 풋터 셀(C110_2, C110_2a~C110_2d) 중 선택된 하나의 헤더 셀이 파워 게이팅 셀 그룹을 구성할 수 있다.For convenience of explanation, the power gating cell group C100f including the third header cell C110_1b of FIG. 10 and the third footer cell C110_2b of FIG. 11 is shown, but includes header cells and footer cells of various shapes. Various groups of power gating cells may form the power gating circuit 100f. For example, one header cell selected from the first to fifth header cells C110_1 and C110_1a to C110_1d of FIG. 10 and one header selected from the first to fifth footer cells C110_2 and C110_2a to C110_2d of FIG. 11 Cells may constitute a group of power gating cells.

도 14는 본 개시의 예시적 실시 예에 따라 집적 회로를 제조하기 위한 방법을 나타내는 순서도이다. 14 is a flow chart showing a method for manufacturing an integrated circuit according to an exemplary embodiment of the present disclosure.

도 14를 참조하면, 표준 셀 라이브러리(D10)는 표준 셀들에 관한 정보, 예를 들어 기능 정보, 특성 정보, 레이아웃 정보 등을 포함할 수 있다. 표준 셀 라이브러리(D10)는 표준 셀의 레이아웃을 정의하는 데이터를 포함할 수 있다. Referring to FIG. 14, the standard cell library D10 may include information on standard cells, for example, function information, characteristic information, layout information, and the like. The standard cell library D10 may include data defining a layout of a standard cell.

표준 셀 라이브러리(D10)는 헤더 셀들(예를 들어, 도 10의 제1 내지 제5 헤더 셀(C110_1, C110_1a~C110_1d))의 레이아웃을 정의할 수 있다. 표준 셀 라이브러리(D10)는 풋터 셀들(예를 들어, 도 11의 제1 내지 제5 풋터 셀(C110_2, C110_2a~C110_2d))의 레이아웃을 정의할 수 있다. 또한, 표준 셀 라이브러리(D10)는 헤더 셀 그룹(예를 들어, 도 12의 헤더 셀 그룹(C100e))의 레이아웃, 풋터 셀 그룹의 레이아웃 및 파워 게이팅 셀 그룹(예를 들어, 도 13의 파워 게이팅 셀 그룹(C100f))의 레이아웃을 정의할 수 있다.The standard cell library D10 may define a layout of header cells (eg, first to fifth header cells C110_1 and C110_1a to C110_1d in FIG. 10 ). The standard cell library D10 may define a layout of footer cells (eg, first to fifth footer cells C110_2 and C110_2a to C110_2d in FIG. 11 ). In addition, the standard cell library D10 includes the layout of the header cell group (eg, the header cell group C100e of FIG. 12), the layout of the footer cell group, and the power gating cell group (eg, the power gating of FIG. The layout of the cell group C100f) may be defined.

단계 S10에서, RTL 데이터로부터 네트리스트 데이터를 생성하는 논리 합성 동작이 수행될 수 있다. 예를 들어, 반도체 설계 툴(예를 들어, 논리 합성 툴)은 VHDL(VHSIC Hardware Description Language) 및 Verilog와 같은 HDL(Hardware Description Language)로서 작성된 RTL 데이터로부터 표준 셀 라이브러리(D10)를 참조하여 논리 합성을 수행함으로써, 비트스트림(bitstream) 또는 네트리스트를 포함하는 네트리스트 데이터를 생성할 수 있다. In step S10, a logical synthesis operation of generating netlist data from RTL data may be performed. For example, a semiconductor design tool (e.g., a logic synthesis tool) refers to a standard cell library (D10) from RTL data written as a hardware description language (HDL) such as VHDL (VHSIC Hardware Description Language) and Verilog to synthesize logic. By performing, it is possible to generate netlist data including a bitstream or netlist.

단계 S20에서, 표준 셀 라이브러리(D10)를 참조하여, 네트리스트 데이터로부터 레이아웃 데이터(D20)를 생성하는 배치 및 라우팅(Place & Routing; P&R) 동작이 수행될 수 있다. 배치 및 라우팅 단계(S20)는 표준 셀들을 배치하고, 상호연결(interconnection)들을 생성하고, 레이아웃 데이터(D20)를 생성하는 동작이 수행될 수 있다. In step S20, a Place & Routing (P&R) operation of generating layout data D20 from netlist data may be performed with reference to the standard cell library D10. In the arrangement and routing step S20, an operation of arranging standard cells, creating interconnections, and generating layout data D20 may be performed.

예를 들어, 반도체 설계 툴(예를 들어, P&R 툴)은 네트리스트 데이터로부터 표준 셀 라이브러리(D10)를 참조하여 복수의 표준 셀들을 배치할 수 있다. 예를 들어, 반도체 설계 툴은 표준 셀 라이브러리(D10)를 참조하여, 네트리스트 데이터에 의해서 정의된 표준 셀의 레이아웃들 중 하나를 선택할 수 있고, 표준 셀의 선택된 레이아웃을 배치할 수 있다.For example, a semiconductor design tool (eg, a P&R tool) may arrange a plurality of standard cells by referring to the standard cell library D10 from netlist data. For example, the semiconductor design tool may refer to the standard cell library D10 to select one of layouts of standard cells defined by netlist data, and may arrange the selected layout of the standard cells.

예를 들어, 반도체 설계 툴은 도 10의 제1 내지 제5 헤더 셀(C110_1, C110_1a~C110_1d) 중 하나를 선택하여 파워 게이팅 회로로서 배치할 수 있고, 도 12의 제1 내지 제5 풋터 셀(C110_2, C110_2a~C110_2d) 중 하나를 선택하여 파워 게이팅 회로로서 배치할 수도 있다. 또한, 예를 들어, 반도체 설계 툴은 도 12의 헤더 셀 그룹(C100e)을 파워 게이팅 회로로서 배치할 수 있고, 도 13의 파워 게이팅 셀 그룹(C100f)을 파워 게이팅 회로로서 배치할 수도 있다. 반도체 설계 툴은 파워 게이팅 회로로서 배치되는 표준 셀의 동작 특성 예측의 용이성 측면, 파워-온 모드에서의 저항의 크기 측면, 및 라우팅의 용이성 측면 등을 고려하여, 표준 셀을 배치할 수 있다. For example, the semiconductor design tool may select one of the first to fifth header cells C110_1 and C110_1a to C110_1d of FIG. 10 and arrange them as a power gating circuit, and the first to fifth footer cells of FIG. C110_2, C110_2a to C110_2d) may be selected and disposed as a power gating circuit. Also, for example, the semiconductor design tool may arrange the header cell group C100e of FIG. 12 as a power gating circuit, and may arrange the power gating cell group C100f of FIG. 13 as a power gating circuit. The semiconductor design tool may arrange a standard cell in consideration of an aspect of easiness in predicting the operating characteristics of a standard cell disposed as a power gating circuit, a size aspect of a resistance in a power-on mode, and an aspect of ease of routing.

상호 연결은 표준 셀의 출력 핀 및 입력 핀을 전기적으로 연결할 수 있고, 예를 들어, 적어도 하나의 비아 및 적어도 하나의 라우팅 배선을 포함할 수 있다. 레이아웃 데이터(D20)는, 예를 들어, GDSII와 같은 포맷을 가질 수 있고, 표준 셀들 및 상호연결들의 기하학적 정보를 포함할 수 있다. The interconnection may electrically connect the output pin and the input pin of the standard cell, and may include, for example, at least one via and at least one routing wire. The layout data D20 may have, for example, a format such as GDSII, and may include geometric information of standard cells and interconnections.

단계 S30에서, OPC(Optical Proximity Correction)가 수행될 수 있다. OPC는 집적 회로를 제조하기 위한 반도체 공정에 포함되는 포토리소그래피(photolithography)에서 빛의 특성에 기인하는 굴절 등의 왜곡 현상을 보정함으로써 원하는 모양의 패턴을 형성하기 위한 작업을 지칭할 수 있고, 레이아웃 데이터(D20)에 OPC가 적용됨으로써 마스크상의 패턴이 결정될 수 있다. In step S30, OPC (Optical Proximity Correction) may be performed. OPC can refer to an operation for forming a pattern of a desired shape by correcting distortion such as refraction caused by the characteristics of light in photolithography included in a semiconductor process for manufacturing an integrated circuit, and layout data By applying OPC to (D20), the pattern on the mask may be determined.

단계 S40에서, 마스크를 제작(manufacturing)하는 동작이 수행될 수 있다. 예를 들어, 레이아웃 데이터(D20)에 OPC를 적용함에 따라 복수의 층들에 형성된 패턴들을 형성하기 위하여 마스크상의 패턴들이 정의될 수 있고, 복수의 층들 각각의 패턴들을 형성하기 위한 적어도 하나의 마스크(또는, 포토마스크)가 제작될 수 있다.In step S40, an operation of manufacturing a mask may be performed. For example, as OPC is applied to the layout data D20, patterns on a mask may be defined in order to form patterns formed on a plurality of layers, and at least one mask for forming patterns of each of the plurality of layers (or , Photomask) can be produced.

단계 S50에서, 집적 회로를 제조(fabricating)하는 동작이 수행될 수 있다. 예를 들어, 단계 S40에서 제작된 적어도 하나의 마스크를 사용하여 복수의 층들이 패터닝됨으로써 집적 회로가 제조될 수 있다. 예시적인 실시 예에서, 단계 S50은 단계들(S51, S52)을 포함할 수 있다.In step S50, an operation of fabricating an integrated circuit may be performed. For example, an integrated circuit may be manufactured by patterning a plurality of layers using at least one mask manufactured in step S40. In an exemplary embodiment, step S50 may include steps S51 and S52.

단계 S51에서, FEOL(front-end-of-line) 공정이 수행될 수 있다. FEOL은 집적 회로의 제조 과정에서 개별 소자들, 예를 들어, 트랜지스터, 커패시터, 저항 등을 기판에 형성하는 과정을 지칭할 수 있다. In step S51, a front-end-of-line (FEOL) process may be performed. FEOL may refer to a process of forming individual devices, for example, transistors, capacitors, resistors, etc., on a substrate in the manufacturing process of an integrated circuit.

단계 S52에서, BEOL(back-end-of-line) 공정이 수행될 수 있다. BEOL은 집적 회로의 제조 과정에서 개별 소자들, 예를 들어, 트랜지스터, 커패시터, 저항 등을 상호 연결하는 과정을 지칭할 수 있다. In step S52, a back-end-of-line (BEOL) process may be performed. BEOL may refer to a process of interconnecting individual elements, for example, transistors, capacitors, resistors, etc. in the manufacturing process of an integrated circuit.

도 15는 본 개시의 예시적 실시 예에 따른 프로그램을 저장하는 메모리를 포함하는 컴퓨팅 시스템을 나타내는 블록도이다. 본 개시의 예시적 실시 예에 따른, 집적 회로를 제조하기 위한 방법(예를 들어, 도 14의 집적 회로를 제조하기 위한 방법)에 포함되는 단계들 중 적어도 일부는 컴퓨팅 시스템(1000)에서 수행될 수 있다.Fig. 15 is a block diagram illustrating a computing system including a memory storing a program according to an exemplary embodiment of the present disclosure. At least some of the steps included in a method for manufacturing an integrated circuit (eg, a method for manufacturing an integrated circuit in FIG. 14) according to an exemplary embodiment of the present disclosure may be performed in the computing system 1000. I can.

도 15를 참조하면, 컴퓨팅 시스템(1000)은 데스크탑 컴퓨터, 워크스테이션, 서버 등과 같이 고정형 컴퓨팅 시스템, 또는 랩탑 컴퓨터 등과 같이 휴대형 컴퓨팅 시스템일 수도 있다. 컴퓨팅 시스템(1000)은 프로세서(1100), 입출력 장치들(1200), 네트워크 인터페이스(1300), RAM(random access memory)(1400), ROM(read only memory)(1500) 및 저장 장치(1600)를 포함할 수 있다. 프로세서(1100), 입출력 장치들(1200), 네트워크 인터페이스(1300), RAM(1400), ROM(1500) 및 저장 장치(1600)는 버스(1700)를 통해서 서로 통신할 수 있다.Referring to FIG. 15, the computing system 1000 may be a fixed computing system such as a desktop computer, a workstation, or a server, or a portable computing system such as a laptop computer. The computing system 1000 includes a processor 1100, input/output devices 1200, a network interface 1300, a random access memory (RAM) 1400, a read only memory (ROM) 1500, and a storage device 1600. Can include. The processor 1100, the input/output devices 1200, the network interface 1300, the RAM 1400, the ROM 1500, and the storage device 1600 may communicate with each other through the bus 1700.

프로세서(1100)는 프로세싱 유닛으로 지칭될 수 있고, 예를 들어, 마이크로프로세서(micro-processor), AP(application processor), DSP(digital signal processor), GPU(graphic processing unit)과 같이 임의의 명령어 세트를 실행할 수 있는 적어도 하나의 코어를 포함할 수 있다. 예를 들면, 프로세서(1100)는 버스(1700)를 통해서 메모리, 즉, RAM(1400) 또는 ROM(1500)에 액세스할 수 있고, RAM(1400) 또는 ROM(1500)에 저장된 명령어들을 실행할 수 있다. The processor 1100 may be referred to as a processing unit, and an arbitrary instruction set such as a micro-processor, an application processor (AP), a digital signal processor (DSP), and a graphic processing unit (GPU). It may include at least one core capable of executing. For example, the processor 1100 may access memory, that is, the RAM 1400 or the ROM 1500 through the bus 1700, and may execute instructions stored in the RAM 1400 or ROM 1500. .

RAM(1400)은 본 개시의 예시적 실시 예에 따른 집적 회로를 제조하기 위한 프로그램(1400_1) 또는 이의 적어도 일부를 저장할 수 있다. 예를 들어, 프로그램(1400_1)은 반도체 설계 툴을 포함할 수 있고, 예를 들어, 논리 합성 툴 및 P&R 툴을 포함할 수 있다.The RAM 1400 may store a program 1400_1 for manufacturing an integrated circuit according to an exemplary embodiment of the present disclosure or at least a portion thereof. For example, the program 1400_1 may include a semiconductor design tool, for example, a logic synthesis tool and a P&R tool.

프로그램(1400_1)은 프로세서(1100)로 하여금, 도 14의 집적 회로를 제조하기 위한 방법에 포함되는 단계들 중 적어도 일부를 수행하도록 할 수 있다. 즉, 프로그램(1400_1)은 프로세서(1100)에 의해서 실행 가능한 복수의 명령어들을 포함할 수 있고, 프로그램(1400_1)에 포함된 복수의 명령어들은 프로세서(1100)로 하여금, 도 14의 집적 회로를 제조하기 위한 방법에 포함되는 단계들 중 적어도 일부를 수행하도록 할 수 있다.The program 1400_1 may cause the processor 1100 to perform at least some of the steps included in the method for manufacturing the integrated circuit of FIG. 14. That is, the program 1400_1 may include a plurality of instructions executable by the processor 1100, and the plurality of instructions included in the program 1400_1 cause the processor 1100 to manufacture the integrated circuit of FIG. 14 At least some of the steps included in the method may be performed.

저장 장치(1600)는 컴퓨팅 시스템(1000)에 공급되는 전력이 차단되더라도 저장된 데이터를 소실하지 아니할 수 있다. 예를 들면, 저장 장치(1600)는 비휘발성 메모리 장치를 포함할 수도 있고, 자기 테이프, 광학 디스크, 자기 디스크와 같은 저장 매체를 포함할 수도 있다. 저장 장치(1600)는 본 개시의 예시적 실시 예에 따른 프로그램(1400_1)을 저장할 수도 있으며, 프로그램(1400_1)이 프로세서(1100)에 의해서 실행되기 이전에 저장 장치(1600)로부터 프로그램(1400_1) 또는 그것의 적어도 일부가 RAM(1400)으로 로딩될 수 있다. 다르게는, 저장 장치(1600)는 프로그램 언어로 작성된 파일을 저장할 수 있고, 파일로부터 컴파일러 등에 의해서 생성된 프로그램(1400_1) 또는 그것의 적어도 일부가 RAM(1400)으로 로딩될 수 있다. The storage device 1600 may not lose stored data even when power supplied to the computing system 1000 is cut off. For example, the storage device 1600 may include a nonvolatile memory device or a storage medium such as a magnetic tape, an optical disk, or a magnetic disk. The storage device 1600 may store the program 1400_1 according to an exemplary embodiment of the present disclosure, and before the program 1400_1 is executed by the processor 1100, the program 1400_1 or the program 1400_1 from the storage device 1600 At least a portion of it may be loaded into RAM 1400. Alternatively, the storage device 1600 may store a file written in a program language, and a program 1400_1 generated from the file by a compiler or the like or at least a portion thereof may be loaded into the RAM 1400.

저장 장치(1600)는 데이터베이스(1600_1)를 저장할 수 있고, 데이터베이스(1600_1)는 집적 회로를 설계하는데 필요한 정보를 포함할 수 있다. 예를 들어, 데이터베이스(1600_1)는 도 14의 표준 셀 라이브러리(D10)를 포함할 수 있다. 또한, 저장 장치(1600)는 프로세서(1100)에 의해서 처리될 데이터 또는 프로세서(1100)에 의해서 처리된 데이터를 저장할 수도 있다.The storage device 1600 may store the database 1600_1, and the database 1600_1 may include information necessary for designing an integrated circuit. For example, the database 1600_1 may include the standard cell library D10 of FIG. 14. In addition, the storage device 1600 may store data to be processed by the processor 1100 or data processed by the processor 1100.

입출력 장치들(1200)은 키보드, 포인팅 장치 등과 같은 입력 장치를 포함할 수 있고, 디스플레이 장치, 프린터 등과 같은 출력 장치를 포함할 수 있다. 네트워크 인터페이스(1300)는 컴퓨팅 시스템(1000) 외부의 네트워크에 대한 액세스를 제공할 수 있다.The input/output devices 1200 may include an input device such as a keyboard and a pointing device, and may include an output device such as a display device and a printer. The network interface 1300 may provide access to a network outside the computing system 1000.

Claims (20)

제1 전원 라인으로부터 전원 전압을 수신하고, 제1 가상 전원 라인으로 제1 구동 전압을 출력하는 파워 게이팅 회로; 및
상기 제1 가상 전원 라인에 연결되어 상기 파워 게이팅 회로로부터 전력을 수신하는 로직 회로를 포함하고,
상기 파워 게이팅 회로는,
상기 제1 전원 라인 및 상기 제1 가상 전원 라인 사이에 서로 병렬로 연결되는 P형 트랜지스터 및 제1 N형 트랜지스터를 포함하는 것을 특징으로 하는 집적 회로.
A power gating circuit for receiving a power voltage from the first power line and outputting a first driving voltage to the first virtual power line; And
A logic circuit connected to the first virtual power line to receive power from the power gating circuit,
The power gating circuit,
And a P-type transistor and a first N-type transistor connected in parallel between the first power line and the first virtual power line.
제1 항에 있어서,
상기 파워 게이팅 회로는 파워-온 모드, 리텐션 모드 및 파워-오프 모드로 동작하고,
상기 파워-온 모드에서 상기 P형 트랜지스터는 턴-온되고 상기 제1 N형 트랜지스터는 턴-오프 되고, 상기 리텐션 모드에서 상기 P형 트랜지스터는 턴-오프되고 상기 제1 N형 트랜지스터는 턴-온 되고, 상기 파워-오프 모드에서 상기 P형 트랜지스터 및 상기 제1 N형 트랜지스터는 턴-오프되는 것을 특징으로 하는 집적 회로.
The method of claim 1,
The power gating circuit operates in a power-on mode, a retention mode, and a power-off mode,
In the power-on mode, the P-type transistor is turned on and the first N-type transistor is turned off, and in the retention mode, the P-type transistor is turned off and the first N-type transistor is turned- And the P-type transistor and the first N-type transistor are turned off in the power-off mode.
제1 항에 있어서,
상기 파워 게이팅 회로는 파워-온 모드, 및 리텐션 모드로 동작하고,
상기 파워 게이팅 회로는, 상기 파워-온 모드에서 상기 전원 전압의 제1 구동 전압을 출력하고, 상기 리텐션 모드에서 리텐션 전압의 제1 구동 전압을 출력하고,
상기 리텐션 전압은 상기 전원 전압에서 상기 제1 N형 트랜지스터의 제1 문턱 전압만큼 낮은 전압인 것을 특징으로 하는 집적 회로.
The method of claim 1,
The power gating circuit operates in a power-on mode and a retention mode,
The power gating circuit outputs a first driving voltage of the power supply voltage in the power-on mode, and outputs a first driving voltage of the retention voltage in the retention mode,
Wherein the retention voltage is a voltage lower than the power supply voltage by a first threshold voltage of the first N-type transistor.
제1 항에 있어서,
상기 파워 게이팅 회로는 상기 제1 전원 라인 및 상기 제1 가상 전원 라인 사이에 연결되는 제2 N형 트랜지스터를 더 포함하고,
상기 제1 N형 트랜지스터의 제1 문턱 전압 및 상기 제2 N형 트랜지스터의 제2 문턱 전압은 서로 상이한 것을 특징으로 하는 집적 회로.
The method of claim 1,
The power gating circuit further includes a second N-type transistor connected between the first power line and the first virtual power line,
The integrated circuit, characterized in that the first threshold voltage of the first N-type transistor and the second threshold voltage of the second N-type transistor are different from each other.
제1 항에 있어서,
상기 로직 회로는, 접지 전압이 인가되는 제2 전원 라인과 연결되는 것을 특징으로 하는 집적 회로.
The method of claim 1,
And the logic circuit is connected to a second power line to which a ground voltage is applied.
제1 항에 있어서,
상기 파워 게이팅 회로는 제2 전원 라인으로부터 접지 전압을 수신하고, 제2 가상 전원 라인으로 제2 구동 전압을 출력하고,
상기 파워 게이팅 회로는 상기 제2 전원 라인 및 상기 제2 가상 전원 라인 사이에 서로 병렬로 연결되는 N형 트랜지스터 및 P형 트랜지스터를 포함하는 것을 특징으로 하는 집적 회로.
The method of claim 1,
The power gating circuit receives a ground voltage from a second power line, outputs a second driving voltage to a second virtual power line,
Wherein the power gating circuit comprises an N-type transistor and a P-type transistor connected in parallel to each other between the second power line and the second virtual power line.
제1 전원 라인으로부터 전원 전압을 수신하고, 제1 가상 전원 라인을 통해 제1 구동 전압을 로직 셀로 제공하는 제1 파워 게이팅 셀을 포함하는 집적 회로에 있어서,
상기 제1 파워 게이팅 셀은,
상기 제1 전원 라인 및 상기 제1 가상 전원 라인에 사이에 연결되는 P형 트랜지스터가 형성되는 P-MOS 영역;
상기 제1 전원 라인 및 상기 제1 가상 전원 라인에 사이에 연결되는 제1 N형 트랜지스터가 형성되는 제1 N-MOS 영역; 및
상기 제1 전원 라인 및 상기 제1 가상 전원 라인에 사이에 연결되는 제2 N형 트랜지스터가 형성되는 제2 N-MOS 영역을 포함하고,
상기 P-MOS 영역은 N형 불순물로 도핑되고, 제1 방향으로 연장되는 N웰을 포함하는 것을 특징으로 하는 집적 회로.
An integrated circuit comprising a first power gating cell receiving a power voltage from a first power line and providing a first driving voltage to a logic cell through a first virtual power line,
The first power gating cell,
A P-MOS region in which a P-type transistor connected between the first power line and the first virtual power line is formed;
A first N-MOS region in which a first N-type transistor connected between the first power line and the first virtual power line is formed; And
And a second N-MOS region in which a second N-type transistor connected between the first power line and the first virtual power line is formed,
Wherein the P-MOS region is doped with an N-type impurity and includes an N well extending in a first direction.
제7 항에 있어서,
상기 P-MOS 영역은 상기 제1 N-MOS 영역 및 상기 제2 N-MOS 영역 사이에 배치되는 것을 특징으로 하는 집적 회로.
The method of claim 7,
The P-MOS region is disposed between the first N-MOS region and the second N-MOS region.
제7 항에 있어서,
상기 제1 N형 트랜지스터의 제1 문턱 전압 및 상기 제2 N형 트랜지스터의 제2 문턱 전압은 서로 상이한 것을 특징으로 하는 집적 회로.
The method of claim 7,
The integrated circuit, characterized in that the first threshold voltage of the first N-type transistor and the second threshold voltage of the second N-type transistor are different from each other.
제7 항에 있어서,
상기 제1 파워 게이팅 셀은,
상기 제1 전원 라인 및 상기 제1 가상 전원 라인에 사이에 연결되는 제3 N형 트랜지스터가 형성되는 제3 N-MOS 영역을 더 포함하고,
상기 제1 N-MOS 영역 및 상기 제2 N-MOS 영역은 상기 제1 방향과 수직인 제2 방향으로 상기 P-MOS 영역과 인접하게 배치되고, 상기 제3 N-MOS 영역은 상기 제2 방향의 역방향으로 상기 P-MOS 영역과 인접하게 배치되는 것을 특징으로 하는 집적 회로.
The method of claim 7,
The first power gating cell,
Further comprising a third N-MOS region in which a third N-type transistor connected between the first power line and the first virtual power line is formed,
The first N-MOS region and the second N-MOS region are disposed adjacent to the P-MOS region in a second direction perpendicular to the first direction, and the third N-MOS region is disposed in the second direction. The integrated circuit, characterized in that disposed adjacent to the P-MOS region in the reverse direction of.
제10 항에 있어서,
상기 제3 N-MOS 영역의 넓이는 상기 제1 N-MOS 영역의 넓이보다 큰 것을 특징으로 하는 집적 회로.
The method of claim 10,
An integrated circuit, wherein an area of the third N-MOS area is larger than an area of the first N-MOS area.
제7 항에 있어서,
상기 집적 회로는, 상기 제1 구동 전압을 상기 로직 셀로 제공하는 제2 파워 게이팅 셀을 더 포함하고,
상기 제2 파워 게이팅 셀은,
상기 제1 전원 라인 및 상기 제1 가상 전원 라인에 사이에 연결되는 P형 트랜지스터가 형성되는 P-MOS 영역;
상기 제1 전원 라인 및 상기 제1 가상 전원 라인에 사이에 연결되는 제3 N형 트랜지스터가 형성되는 제3 N-MOS 영역; 및
상기 제1 전원 라인 및 상기 제1 가상 전원 라인에 사이에 연결되는 제4 N형 트랜지스터가 형성되는 제2 N-MOS 영역을 포함하는 것을 특징으로 하는 집적 회로.
The method of claim 7,
The integrated circuit further includes a second power gating cell that provides the first driving voltage to the logic cell,
The second power gating cell,
A P-MOS region in which a P-type transistor connected between the first power line and the first virtual power line is formed;
A third N-MOS region in which a third N-type transistor connected between the first power line and the first virtual power line is formed; And
And a second N-MOS region in which a fourth N-type transistor connected between the first power line and the first virtual power line is formed.
제12 항에 있어서,
상기 제1 파워 게이팅 셀의 제1 출력 핀 및 상기 제2 파워 게이팅 셀의 제2 출력 핀은 서로 연결되는 것을 특징으로 하는 집적 회로.
The method of claim 12,
The integrated circuit, characterized in that the first output pin of the first power gating cell and the second output pin of the second power gating cell are connected to each other.
제7 항에 있어서,
상기 집적 회로는, 제2 전원 라인으로부터 그라운드 전압을 수신하고, 제2 가상 전원 라인을 통해 제2 구동 전압을 상기 로직 셀로 제공하는 제3 파워 게이팅 셀을 더 포함하고,
상기 제3 파워 게이팅 셀은,
상기 제2 전원 라인 및 상기 제2 가상 전원 라인에 사이에 연결되는 N형 트랜지스터가 형성되는 N-MOS 영역;
상기 제2 전원 라인 및 상기 제2 가상 전원 라인에 사이에 연결되는 제1 P형 트랜지스터가 형성되는 제1 P-MOS 영역; 및
상기 제2 전원 라인 및 상기 제2 가상 전원 라인에 사이에 연결되는 제2 P형 트랜지스터가 형성되는 제2 P-MOS 영역을 포함하는 것을 특징으로 하는 집적 회로.
The method of claim 7,
The integrated circuit further includes a third power gating cell receiving a ground voltage from a second power line and providing a second driving voltage to the logic cell through a second virtual power line,
The third power gating cell,
An N-MOS region in which an N-type transistor connected between the second power line and the second virtual power line is formed;
A first P-MOS region in which a first P-type transistor connected between the second power line and the second virtual power line is formed; And
And a second P-MOS region in which a second P-type transistor connected between the second power line and the second virtual power line is formed.
접지 라인으로부터 접지 전압을 수신하고, 가상 접지 라인을 통해 구동 전압을 로직 셀로 제공하는 제1 파워 게이팅 셀을 포함하는 집적 회로에 있어서,
상기 제1 파워 게이팅 셀은,
상기 접지 라인 및 상기 가상 접지 라인에 사이에 연결되는 N형 트랜지스터가 형성되는 N-MOS 영역;
상기 접지 라인 및 상기 가상 접지 라인에 사이에 연결되는 제1 P형 트랜지스터가 형성되는 제1 P-MOS 영역; 및
상기 접지 라인 및 상기 가상 접지 라인에 사이에 연결되는 제2 P형 트랜지스터가 형성되는 제2 P-MOS 영역을 포함하고,
상기 제1 P-MOS 영역은 N형 불순물로 도핑되고, 제1 방향으로 연장되는 N웰에 형성되는 것을 특징으로 하는 집적 회로.
An integrated circuit comprising a first power gating cell receiving a ground voltage from a ground line and providing a driving voltage to a logic cell through a virtual ground line,
The first power gating cell,
An N-MOS region in which an N-type transistor connected between the ground line and the virtual ground line is formed;
A first P-MOS region in which a first P-type transistor connected between the ground line and the virtual ground line is formed; And
And a second P-MOS region in which a second P-type transistor connected between the ground line and the virtual ground line is formed,
The first P-MOS region is doped with an N-type impurity and is formed in an N well extending in a first direction.
제15 항에 있어서,
상기 N-MOS 영역은 상기 제1 P-MOS 영역 및 상기 제2 P-MOS 영역 사이에 배치되는 것을 특징으로 하는 집적 회로.
The method of claim 15,
And the N-MOS region is disposed between the first P-MOS region and the second P-MOS region.
제15 항에 있어서,
상기 제1 P형 트랜지스터의 제1 문턱 전압 및 상기 제2 P형 트랜지스터의 제2 문턱 전압은 서로 상이한 것을 특징으로 하는 집적 회로.
The method of claim 15,
The integrated circuit, characterized in that the first threshold voltage of the first P-type transistor and the second threshold voltage of the second P-type transistor are different from each other.
제15 항에 있어서,
상기 제1 파워 게이팅 셀은,
상기 접지 라인 및 상기 가상 접지 라인에 사이에 연결되는 제3 P형 트랜지스터가 형성되는 제3 P-MOS 영역을 더 포함하고,
상기 제1 P-MOS 영역 및 상기 제2 P-MOS 영역은 상기 제1 방향과 수직인 제2 방향으로 상기 N-MOS 영역과 인접하게 배치되고, 상기 제3 P-MOS 영역은 상기 제2 방향의 역방향으로 상기 N-MOS 영역과 인접하게 배치되는 것을 특징으로 하는 집적 회로.
The method of claim 15,
The first power gating cell,
Further comprising a third P-MOS region in which a third P-type transistor connected between the ground line and the virtual ground line is formed,
The first P-MOS region and the second P-MOS region are disposed adjacent to the N-MOS region in a second direction perpendicular to the first direction, and the third P-MOS region is in the second direction. The integrated circuit, characterized in that disposed adjacent to the N-MOS region in the reverse direction of.
제18 항에 있어서,
상기 제3 P-MOS 영역의 넓이는 상기 제1 P-MOS 영역의 넓이보다 큰 것을 특징으로 하는 집적 회로.
The method of claim 18,
An integrated circuit, wherein an area of the third P-MOS area is larger than an area of the first P-MOS area.
제15 항에 있어서,
상기 집적 회로는, 상기 구동 전압을 상기 로직 셀로 제공하는 제2 파워 게이팅 셀을 더 포함하고,
상기 제2 파워 게이팅 셀은,
상기 접지 라인 및 상기 가상 접지 라인에 사이에 연결되는 N형 트랜지스터가 형성되는 N-MOS 영역;
상기 접지 라인 및 상기 가상 접지 라인에 사이에 연결되는 제3 P형 트랜지스터가 형성되는 제3 P-MOS 영역; 및
상기 접지 라인 및 상기 가상 접지 라인에 사이에 연결되는 제4 P형 트랜지스터가 형성되는 제4 P-MOS 영역을 포함하는 것을 특징으로 하는 집적 회로.
The method of claim 15,
The integrated circuit further includes a second power gating cell that provides the driving voltage to the logic cell,
The second power gating cell,
An N-MOS region in which an N-type transistor connected between the ground line and the virtual ground line is formed;
A third P-MOS region in which a third P-type transistor connected between the ground line and the virtual ground line is formed; And
And a fourth P-MOS region in which a fourth P-type transistor connected between the ground line and the virtual ground line is formed.
KR1020200023012A 2019-04-12 2020-02-25 Integrated circuit including power gating cell KR20200120496A (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US16/845,135 US11082044B2 (en) 2019-04-12 2020-04-10 Integrated circuit including power gating cell
CN202010283086.9A CN111817699A (en) 2019-04-12 2020-04-10 Integrated circuit including power gating cells

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR20190043308 2019-04-12
KR1020190043308 2019-04-12

Publications (1)

Publication Number Publication Date
KR20200120496A true KR20200120496A (en) 2020-10-21

Family

ID=73034502

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200023012A KR20200120496A (en) 2019-04-12 2020-02-25 Integrated circuit including power gating cell

Country Status (1)

Country Link
KR (1) KR20200120496A (en)

Similar Documents

Publication Publication Date Title
US7511536B2 (en) Cells of a customizable logic array device having independently accessible circuit elements
KR900000202B1 (en) Manufacturing of semiconductor integrated circuit device
US7675317B2 (en) Integrated circuits with adjustable body bias and power supply circuitry
US7466582B2 (en) Voltage controlled static random access memory
KR101953240B1 (en) Fin Transistor and Semiconductor integrated circuit including the same
KR101904417B1 (en) Semiconductor integrated circuit and method of designing the same
US6446248B1 (en) Spare cells placement methodology
US11282829B2 (en) Integrated circuit with mixed row heights
JPH07130856A (en) Semiconductor integrated circuit and its manufacturing method
KR101842959B1 (en) Retention voltage generation
KR102257031B1 (en) Method for designing a semiconductor integrated circuit
KR102601216B1 (en) A design method of a semiconductor device
US9652580B2 (en) Integrated circuit layout design system and method
US11082044B2 (en) Integrated circuit including power gating cell
TWI767801B (en) Memory device and memory cell
KR20160128678A (en) System-on-chip and electronic device having the same
US11728795B2 (en) Voltage level shifter cell and integrated circuit including the same
KR20200120496A (en) Integrated circuit including power gating cell
TW202107850A (en) Multiplexer circuit, multiplexer and method for manufacturing multiplexer
CN116569336A (en) Bit-gathering unit
KR20020042507A (en) A semiconductor device, a method of manufacturing the same and storage media
JP4566602B2 (en) Development method of semiconductor integrated circuit
US20230297752A1 (en) Integrated circuits including abutted blocks and methods of designing layouts of the integrated circuits
JP2005109179A (en) High-speed, low power consumption logic device
US20240120258A1 (en) Integrated circuit including backside wiring and method of designing the same