KR20200081231A - Integrated circuit package and method - Google Patents

Integrated circuit package and method Download PDF

Info

Publication number
KR20200081231A
KR20200081231A KR1020190152673A KR20190152673A KR20200081231A KR 20200081231 A KR20200081231 A KR 20200081231A KR 1020190152673 A KR1020190152673 A KR 1020190152673A KR 20190152673 A KR20190152673 A KR 20190152673A KR 20200081231 A KR20200081231 A KR 20200081231A
Authority
KR
South Korea
Prior art keywords
package
package component
die
dielectric layer
module
Prior art date
Application number
KR1020190152673A
Other languages
Korean (ko)
Other versions
KR102352525B1 (en
Inventor
치-후이 라이
슈-롱 춘
쿠오 룽 판
틴-하오 쿠오
하오-이 차이
충-시 리우
첸-후아 유
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/589,758 external-priority patent/US11183487B2/en
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20200081231A publication Critical patent/KR20200081231A/en
Application granted granted Critical
Publication of KR102352525B1 publication Critical patent/KR102352525B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/50Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor for integrated circuit devices, e.g. power bus, number of leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4857Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/563Encapsulation of active face of flip-chip device, e.g. underfilling or underencapsulation of flip-chip, encapsulation preform on chip or mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/13Mountings, e.g. non-detachable insulating substrates characterised by the shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3135Double encapsulation or coating and encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/32Holders for supporting the complete device in operation, i.e. detachable fixtures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/367Cooling facilitated by shape of device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/40Mountings or securing means for detachable cooling or heating arrangements ; fixed by friction, plugs or springs
    • H01L23/4006Mountings or securing means for detachable cooling or heating arrangements ; fixed by friction, plugs or springs with bolts or screws
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/42Fillings or auxiliary members in containers or encapsulations selected or arranged to facilitate heating or cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/4824Pads with extended contours, e.g. grid structure, branch structure, finger structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/63Connectors not provided for in any of the groups H01L24/10 - H01L24/50 and subgroups; Manufacturing methods related thereto
    • H01L24/68Structure, shape, material or disposition of the connectors after the connecting process
    • H01L24/69Structure, shape, material or disposition of the connectors after the connecting process of an individual connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/40Mountings or securing means for detachable cooling or heating arrangements ; fixed by friction, plugs or springs
    • H01L23/4006Mountings or securing means for detachable cooling or heating arrangements ; fixed by friction, plugs or springs with bolts or screws
    • H01L2023/4037Mountings or securing means for detachable cooling or heating arrangements ; fixed by friction, plugs or springs with bolts or screws characterised by thermal path or place of attachment of heatsink
    • H01L2023/405Mountings or securing means for detachable cooling or heating arrangements ; fixed by friction, plugs or springs with bolts or screws characterised by thermal path or place of attachment of heatsink heatsink to package
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68313Auxiliary support including a cavity for storing a finished device, e.g. IC package, or a partly finished device, e.g. die, during manufacturing or mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • H01L2221/68331Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding of passive members, e.g. die mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68359Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during manufacture of interconnect decals or build up layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68372Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support a device or wafer when forming electrical connections thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • H01L2224/02331Multilayer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02379Fan-out arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02381Side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/24135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/24137Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being arranged next to each other, e.g. on a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/24151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/24153Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being arranged next to each other, e.g. on a common substrate
    • H01L2224/24195Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being arranged next to each other, e.g. on a common substrate the item being a discrete passive component
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92242Sequential connecting processes the first connecting process involving a layer connector
    • H01L2224/92244Sequential connecting processes the first connecting process involving a layer connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1017All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
    • H01L2225/1035All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the device being entirely enclosed by the support, e.g. high-density interconnect [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1041Special adaptations for top connections of the lowermost container, e.g. redistribution layer, integral interposer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1047Details of electrical connections between containers
    • H01L2225/1058Bump or bump-like electrical connections, e.g. balls, pillars, posts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1094Thermal management, e.g. cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18162Exposing the passive side of the semiconductor or solid-state body of a chip with build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/19011Structure including integrated passive components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19042Component type being an inductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19043Component type being a resistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/191Disposition
    • H01L2924/19101Disposition of discrete passive components
    • H01L2924/19105Disposition of discrete passive components in a side-by-side arrangement on a common die mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/191Disposition
    • H01L2924/19101Disposition of discrete passive components
    • H01L2924/19107Disposition of discrete passive components off-chip wires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3511Warping

Abstract

Disclosed are a packaged semiconductor device including an integrated passive device-containing package component disposed between a power module and an integrated circuit-containing package and a method of forming the same. In an embodiment, a device comprises: a first package component including a first integrated circuit die, a first encapsulant at least partially surrounding the first integrated circuit die, and a redistribution structure on the first encapsulant and coupled to the first integrated circuit die; a second package component bonded to the first package component, wherein the second package component includes an integrated passive device and a second encapsulant at least partially surrounding the integrated passive device; and a power module attached to the first package component through the second package component.

Description

집적 회로 패키지 및 방법 {INTEGRATED CIRCUIT PACKAGE AND METHOD}Integrated circuit package and method {INTEGRATED CIRCUIT PACKAGE AND METHOD}

우선권 주장 및 상호참조Priority claims and cross-references

본 출원은 2018년 12월 26일 출원된 미국 가출원 번호 제62/785,133호의 우선권을 주장하며, 이는 참조에 의해 여기에 포함된다.This application claims the priority of U.S. Provisional Application No. 62/785,133, filed December 26, 2018, which is incorporated herein by reference.

반도체 산업은 다양한 전자 컴포넌트(예컨대, 트랜지스터, 다이오드, 저항, 커패시터 등)의 집적 밀도의 지속적인 개선으로 인해 급격한 성장을 겪어 왔다. 대부분의 부품에 대하여, 집적 밀도의 개선은 최소 피처 크기의 반복되는 감소로부터의 결과이며, 이는 더 많은 컴포넌트들이 주어진 영역 내에 집적될 수 있게 해준다. 전자 디바이스를 축소시키기 위한 요구가 높아짐에 따라, 반도체 다이의 보다 작고 더 생산적인 패키징 기술에 대한 필요성이 부상하였다. 이러한 패키징 시스템의 예로는 PoP(Package-on-Package) 기술이 있다. PoP 디바이스에서는, 상부 반도체 패키지가 하부 반도체 패키지의 상부 상에 적층되어 높은 수준의 집적 및 컴포넌트 밀도를 제공한다. PoP 기술은 일반적으로 인쇄 회로 기판(PCB; printed circuit board) 상의 작은 풋프린트(footprint) 및 강화된 기능을 갖는 반도체 디바이스의 생산을 가능하게 한다.The semiconductor industry has experienced rapid growth due to the continuous improvement of the integration density of various electronic components (eg, transistors, diodes, resistors, capacitors, etc.). For most parts, an improvement in integration density is the result of repeated reductions in minimum feature size, which allows more components to be integrated within a given area. As the demand to shrink electronic devices has increased, the need for smaller and more productive packaging technologies for semiconductor dies has emerged. An example of such a packaging system is PoP (Package-on-Package) technology. In PoP devices, the upper semiconductor package is stacked on top of the lower semiconductor package to provide a high level of integration and component density. PoP technology generally enables the production of semiconductor devices with small footprints and enhanced functionality on printed circuit boards (PCBs).

집적 회로-포함 패키지와 파워 모듈 사이에 배치된 집적 수동 소자-포함 패키지 컴포넌트를 포함하는 패키징된 반도체 디바이스 및 이의 형성 방법이 개시된다. 실시예에서, 디바이스는, 제1 패키지 컴포넌트로서, 제1 집적 회로 다이와; 상기 제1 집적 회로 다이를 적어도 부분적으로 둘러싸는 제1 봉지재(encapsulant)와; 상기 제1 봉지재 상에 있으며 상기 제1 집적 회로 다이에 커플링된 재배선 구조물을 포함하는, 상기 제1 패키지 컴포넌트; 상기 제1 패키지 컴포넌트에 본딩된 제2 패키지 컴포넌트로서, 집적 수동 소자와; 상기 집적 수동 소자를 적어도 부분적으로 둘러싸는 제2 봉지재를 포함하는, 상기 제2 패키지 컴포넌트; 및 상기 제2 패키지 컴포넌트를 통해 상기 제1 패키지 컴포넌트에 부착된 파워 모듈을 포함한다. A packaged semiconductor device comprising an integrated passive component-comprising package component disposed between an integrated circuit-comprising package and a power module and a method of forming the same are disclosed. In an embodiment, a device includes, as a first package component, a first integrated circuit die; A first encapsulant surrounding at least partially the first integrated circuit die; The first package component on the first encapsulant and including a redistribution structure coupled to the first integrated circuit die; A second package component bonded to the first package component, comprising: an integrated passive element; A second package component comprising a second encapsulant at least partially surrounding the integrated passive element; And a power module attached to the first package component through the second package component.

본 개시의 양상은 다음의 상세한 설명으로부터 첨부 도면과 함께 볼 때 가장 잘 이해된다. 산업계에서의 표준 실시에 따라 다양한 특징부들이 실축척대로 도시되지 않은 것을 유의하여야 한다. 사실상, 다양한 특징부들의 치수는 설명을 명확하게 하기 위해 임의로 증가되거나 감소되었을 수 있다.
도 1 내지 도 4, 도 5a, 도 5b, 도 6 내지 도 15, 도 16a 내지 도 16c, 도 17a 내지 도 17c, 도 18a 내지 도 18e는 일부 실시예에 따라 제1 패키지를 형성하기 위한 프로세스 중의 중간 단계의 단면도들을 예시한다.
도 19 내지 도 24는 일부 실시예에 따라 SoW(system-on-wafer) 패키지를 형성하기 위한 프로세스 중의 중간 단계의 단면도들을 예시한다.
도 25 내지 도 32는 일부 실시예에 따라 패키징된 디바이스를 형성하기 위한 프로세스 중의 중간 단계의 단면도들을 예시한다.
도 33 및 도 38은 일부 실시예에 따른 패키징된 디바이스의 하향식 도면들을 예시한다.
Aspects of the present disclosure are best understood when viewed in conjunction with the accompanying drawings from the following detailed description. It should be noted that various features are not drawn to scale in accordance with standard practice in the industry. In fact, the dimensions of the various features may have been arbitrarily increased or decreased to clarify the description.
1 to 4, 5A, 5B, 6 to 15, 16A to 16C, 17A to 17C, 18A to 18E are in the process of forming a first package according to some embodiments Intermediate steps are illustrated.
19-24 illustrate cross-sectional views of an intermediate step in a process for forming a system-on-wafer (SoW) package in accordance with some embodiments.
25-32 illustrate cross-sectional views of an intermediate step in a process for forming a packaged device in accordance with some embodiments.
33 and 38 illustrate top-down views of a packaged device according to some embodiments.

다음의 개시는 본 발명의 상이한 특징들을 구현하기 위한 많은 다양한 실시예 또는 예를 제공한다. 컴포넌트 및 구성의 구체적 예가 본 개시를 단순화하도록 아래에 기재된다. 이들은 물론 단지 예일 뿐이며 한정하고자 하는 것이 아니다. 예를 들어, 이어지는 다음 기재에 있어서 제2 특징부 상에 또는 위에 제1 특징부를 형성하는 것은, 제1 및 제2 특징부가 직접 접촉하여 형성되는 실시예를 포함할 수 있고, 제1 및 제2 특징부가 직접 접촉하지 않도록 제1 특징부와 제2 특징부 사이에 추가의 특징부가 형성될 수 있는 실시예도 또한 포함할 수 있다. 또한, 본 개시는 다양한 예에서 참조 번호 및/또는 문자를 반복할 수 있다. 이 반복은 단순하고 명확하게 하기 위한 목적인 것이며, 그 자체가 설명되는 다양한 실시예 및/또는 구성 간의 관계를 지시하는 것은 아니다.The following disclosure provides many different embodiments or examples for implementing different features of the invention. Specific examples of components and configurations are described below to simplify the present disclosure. These, of course, are merely examples and are not intended to be limiting. For example, in the following description, forming the first feature on or over the second feature may include embodiments in which the first and second features are formed in direct contact, and the first and second Also included are embodiments in which additional features may be formed between the first feature and the second feature so that the features do not come into direct contact. In addition, the present disclosure may repeat reference numbers and/or letters in various examples. This repetition is for the purpose of simplicity and clarity, and does not in itself dictate the relationship between the various embodiments and/or configurations described.

또한, “밑에”, “아래에”, “하부”, “위에”, “상부” 등과 같은 공간적으로 상대적인 용어는 도면에 예시된 바와 같이 하나의 구성요소 또는 특징부의 또다른 구성요소(들) 또는 특징부(들)에 대한 관계를 기재하고자 설명을 쉽게 하기 위해 여기에서 사용될 수 있다. 공간적으로 상대적인 용어는 도면에 도시된 배향에 더하여 사용중이거나 동작중인 디바이스의 상이한 배향들을 망라하도록 의도된다. 장치는 달리 배향될 수 있고(90도 회전되거나 또는 다른 배향으로), 여기에서 사용된 공간적으로 상대적인 기술자는 마찬가지로 그에 따라 해석될 수 있다.In addition, spatially relative terms such as “below”, “below”, “bottom”, “above”, “top”, etc., are one component(s) or another component(s) of a feature, as illustrated in the figure. It can be used herein for ease of explanation to describe the relationship to feature(s). The spatially relative terms are intended to encompass different orientations of the device being used or in operation in addition to the orientation depicted in the figures. The device can be oriented differently (rotated 90 degrees or in a different orientation), and the spatially relative descriptors used herein can likewise be interpreted accordingly.

실시예들이 아래에 상세하게 기재되어 있지만, 본 개시의 일반적인 설명이 여기에 제공된다. 일반적으로, 여기에 기재되는 실시예는, 집적 수동 소자(IPD; integrated passive device) 패키지들을 파워 모듈(power module)(가끔 소켓으로 지칭됨)과 집적 팬아웃(InFO; integrated fan-out) 구조물 사이에 적층함으로써, IPD가 SoW(system-on-wafer) 패키지(예컨대, 초대형 팬아웃 웨이퍼 스케일 패키지) 안으로 패키징 및 집적되는 패키지를 제공한다. 실시예의 SoW 패키지는 10,000 mm2 이상의 패키지 영역에서 임의의 조합의 상호접속된 기능 다이들을 포함할 수 있다. 예를 들어, SoW 패키지 내의 상호접속된 기능 다이들은 완성된 전기 시스템의 각각의 컴포넌트를 제공하여, 데이터 센터 애플리케이션, 서버 애플리케이션 등에서의 고성능 컴퓨팅(HPC; high performance computing)(예컨대, 인공 지능(AI; artificial intelligence) 서버의 가속도계, 클라우드 컴퓨팅 시스템, 에지 컴퓨팅 시스템 등)을 제공할 수 있다. 파워 모듈은 IPD 패키지를 통해 SoW 패키지의 기능 다이에 접속될 수 있다. 각각의 파워 모듈은 SoW 패키지 내에서 단일 기능 다이 또는 복수의 기능 다이를 위한 전력 관리를 제공할 수 있다.Although embodiments are described in detail below, a general description of the present disclosure is provided herein. In general, the embodiment described herein includes integrated passive device (IPD) packages between a power module (sometimes referred to as a socket) and an integrated fan-out (InFO) structure. By stacking on, IPD provides a package that is packaged and integrated into a system-on-wafer (SoW) package (eg, an ultra-large fan-out wafer scale package). The SoW package of an embodiment may include any combination of interconnected functional dies in a package area of 10,000 mm 2 or more. For example, interconnected functional dies in a SoW package provide each component of a completed electrical system, such as high performance computing (HPC) in data center applications, server applications, etc. (eg, artificial intelligence (AI; AI); artificial intelligence) server accelerometer, cloud computing system, edge computing system, etc.). The power module can be connected to the functional die of the SoW package through the IPD package. Each power module can provide power management for a single function die or multiple function dies within a SoW package.

여기에 기재된 실시예의 일부 또는 전부의 유리한 특징은, IPD와 기능 다이 사이의 더 짧은 거리를 포함할 수 있으며, 이는 전력 분배 네트워크(PDN; power distribution network) 성능을 강화할 수 있다. 일부 실시예는 3D 적층된 IPD의 InFO 프로세스와의 통합을 제공할 수 있으며, 따라서 실시예의 채택을 실현가능하게 한다. 일부 실시예에서, IPD의 3D 적층은 소켓 랜드스케이프(landscape)(예컨대, 패키지의 풋프린트)로부터 볼 그리드 어레이(BGA; ball grid array) 커넥터를 제거해야 할 필요성을 없애며, 전류 취급을 위한 증가된 영역(예컨대, 더 많은 BGA 커넥터)을 통해 개선된 전류 취급을 가능하게 한다. Advantageous features of some or all of the embodiments described herein may include a shorter distance between the IPD and the functional die, which may enhance power distribution network (PDN) performance. Some embodiments may provide integration of 3D stacked IPD with InFO process, thus making adoption of the embodiments feasible. In some embodiments, 3D stacking of IPDs eliminates the need to remove the ball grid array (BGA) connector from the socket landscape (eg, the footprint of the package) and increases the current handling. The area (eg, more BGA connectors) enables improved current handling.

도 1 내지 도 16c는 일부 실시예에 따라 제1 패키지 컴포넌트(100)를 형성하기 위한 프로세스 중의 중간 단계의 단면도들을 예시한다. 제1 패키지 컴포넌트(100)는 하나 이상의 IPD를 포함하는 IPD 패키지이며, 이는 다양한 실시예에 따라 모듈(예컨대, 도 17a 내지 도 17c에 예시된 모듈(160))과 SoW 패키지(예컨대, 도 24에 예시된 SoW 패키지(400)) 사이에 구현될 수 있다. 도 1은, 도 4를 참조하여 아래에 설명되는 IPD(50A)와 같은 하나 이상의 IPD가 패키징될 수 있는, 제1 패키지 영역(101A) 및 제2 패키지 영역(101B)을 예시한다. 1-16C illustrate cross-sectional views of intermediate steps during a process for forming a first package component 100 in accordance with some embodiments. The first package component 100 is an IPD package including one or more IPDs, which may include modules (eg, the module 160 illustrated in FIGS. 17A to 17C) and SoW packages (eg, FIG. 24, according to various embodiments). It may be implemented between the illustrated SoW package (400). 1 illustrates a first package area 101A and a second package area 101B, in which one or more IPDs, such as IPD 50A, described below with reference to FIG. 4, can be packaged.

도 1에서, 캐리어 기판(102)이 제공되고, 캐리어 기판(102) 상에 이형(release) 층(104)이 형성된다. 캐리어 기판(102)은 유리 캐리어 기판, 세라믹 캐리어 기판 등일 수 있다. 캐리어 기판(102)은 웨이퍼일 수 있으며, 그리하여 복수의 패키지가 동시에 캐리어 기판(102) 상에 형성될 수 있다. In FIG. 1, a carrier substrate 102 is provided, and a release layer 104 is formed on the carrier substrate 102. The carrier substrate 102 may be a glass carrier substrate, a ceramic carrier substrate, or the like. The carrier substrate 102 can be a wafer, so that multiple packages can be formed on the carrier substrate 102 simultaneously.

이형 층(104)은 폴리머계 재료로 형성될 수 있으며, 이는 나중의 단계에서 형성될 위의 구조물들로부터 캐리어 기판(102)과 함께 제거될 수 있다. 일부 실시예에서, 이형 층(104)은 에폭시계 열-이형 재료이며, 이는 LTHC(light-to-heat-conversion) 이형 코팅과 같이 가열되면 그의 접착 특성을 잃는다. 다른 실시예에서, 이형 층(104)은 UV(ultra-violet) 광에 노출되면 그의 접착 특성을 잃는 UV 글루일 수 있다. 이형 층(104)은, 액체로서 디스펜싱되어 경화될 수 있거나, 캐리어 기판(102) 위에 적층된 라미네이트 막일 수 있거나, 또는 기타 등등일 수 있다. 이형 층(104)의 상부 표면은 평탄화될 수 있고 높은 수준의 공면성(co-planarity)을 가질 수 있다.The release layer 104 can be formed of a polymer-based material, which can be removed together with the carrier substrate 102 from the above structures to be formed in a later step. In some embodiments, release layer 104 is an epoxy-based heat-release material, which loses its adhesive properties when heated, such as a light-to-heat-conversion (LTHC) release coating. In another embodiment, the release layer 104 may be a UV glue that loses its adhesive properties when exposed to ultra-violet (UV) light. The release layer 104 can be dispensed as a liquid and cured, can be a laminate film deposited over the carrier substrate 102, or the like. The top surface of the release layer 104 can be planarized and have a high level of co-planarity.

도 2에서, 이형 층(104) 상에 배면(back-side) 재배선 구조물(106)이 형성될 수 있다. 도시된 실시예에서, 배면 재배선 구조물(106)은 유전체 층(108), 금속화 패턴(110)(종종 재배선 층 또는 재배선 라인으로 지칭됨) 및 유전체 층(112)을 포함한다. 배면 재배선 구조물(106)은 선택적이다. 일부 실시예에서, 배면 재배선 구조물(106) 대신에 금속화 패턴 없는 유전체 층이 이형 층(104) 상에 형성된다. In FIG. 2, a back-side redistribution structure 106 may be formed on the release layer 104. In the illustrated embodiment, back redistribution structure 106 includes dielectric layer 108, metallization pattern 110 (often referred to as a redistribution layer or redistribution line) and dielectric layer 112. Rear redistribution structure 106 is optional. In some embodiments, a dielectric layer without a metallization pattern is formed on release layer 104 instead of back redistribution structure 106.

유전체 층(108)은 이형 층(104) 상에 형성될 수 있다. 유전체 층(108)의 하부 표면은 이형 층(104)의 상부 표면과 접촉해 있을 수 있다. 일부 실시예에서, 유전체 층(108)은 PBO(polybenzoxazole), 폴리이미드, BCB(benzocyclobutene) 등과 같은 폴리머로 형성된다. 다른 실시예에서, 유전체 층(108)은, 실리콘 질화물과 같은 질화물; 실리콘 산화물, PSG(phosphosilicate glass), BSG(borosilicate glass), BPSG(boron-doped phosphosilicate glass) 등과 같은 산화물; 등으로 형성된다. 유전체 층(108)은 스핀 코팅, 화학적 기상 증착(CVD; chemical vapor deposition), 라미네이팅 등, 또는 이들의 조합과 같은 임의의 수락가능한 퇴적 프로세스에 의해 형성될 수 있다.Dielectric layer 108 may be formed on release layer 104. The lower surface of dielectric layer 108 may be in contact with the upper surface of release layer 104. In some embodiments, dielectric layer 108 is formed of a polymer such as polybenzoxazole (PBO), polyimide, benzocyclobutene (BCB), and the like. In other embodiments, dielectric layer 108 may include nitride, such as silicon nitride; Oxides such as silicon oxide, phosphosilicate glass (PSG), borosilicate glass (BSG), and boron-doped phosphosilicate glass (BSG); And the like. Dielectric layer 108 may be formed by any acceptable deposition process, such as spin coating, chemical vapor deposition (CVD), laminating, or a combination thereof.

금속화 패턴(110)은 유전체 층(108) 상에 형성될 수 있다. 예로서, 금속화 패턴(110)은, 먼저 유전체 층(10) 위에 시드 층을 형성함으로써 형성될 수 있다. 일부 실시예에서, 시드 층은, 단일 층 또는 상이한 재료로 형성된 복수의 서브층을 포함하는 복합층일 수 있는 금속 층이다. 일부 실시예에서, 시드 층은 티타늄 층 및 티타늄 층 위의 구리 층을 포함한다. 시드 층은 예를 들어 물리적 기상 증착(PVD; physical vapor deposition) 등을 사용하여 형성될 수 있다. 그 다음 시드 층 상에 포토레지스트가 형성되어 패터닝된다. 포토레지스트는 스핀 코팅 등에 의해 형성될 수 있고, 패터닝을 위해 광에 노출될 수 있다. 포토레지스트의 패턴은 금속화 패턴(110)에 대응한다. 패터닝은 시드 층을 노출시키도록 포토레지스트를 통해 개구를 형성한다. 전도성 재료가 포토레지스트의 개구에 그리고 시드 층의 노출된 부분 상에 형성된다. 전도성 재료는 전해도금 또는 무전해 도금 등과 같은 도금에 의해 형성될 수 있다. 전도성 재료는 구리, 티타늄, 텅스텐, 알루미늄 등과 같은 금속을 포함할 수 있다. 그 다음, 전도성 재료가 위에 형성되지 않은 시드 층의 부분 및 포토레지스트가 제거된다. 포토레지스트는 수락가능한 애싱 또는 스트립핑 프로세스, 예컨대 산소 플라즈마 등을 사용한 프로세스에 의해 제거될 수 있다. 포토레지스트가 제거되면, 시드 층의 노출된 부분은 습식 또는 건식 에칭과 같은 수락가능한 에칭 프로세스를 사용하여 제거된다. 시드 층의 남은 부분 및 전도성 재료는 금속화 패턴(110)을 형성한다.The metallization pattern 110 can be formed on the dielectric layer 108. As an example, the metallization pattern 110 may be formed by first forming a seed layer over the dielectric layer 10. In some embodiments, the seed layer is a metal layer, which can be a single layer or a composite layer comprising a plurality of sub-layers formed of different materials. In some embodiments, the seed layer includes a titanium layer and a copper layer over the titanium layer. The seed layer may be formed using, for example, physical vapor deposition (PVD). A photoresist is then formed on the seed layer and patterned. The photoresist can be formed by spin coating or the like, and can be exposed to light for patterning. The pattern of the photoresist corresponds to the metallization pattern 110. Patterning forms openings through the photoresist to expose the seed layer. A conductive material is formed in the opening of the photoresist and on the exposed portion of the seed layer. The conductive material can be formed by plating, such as electroplating or electroless plating. The conductive material can include metals such as copper, titanium, tungsten, aluminum, and the like. Subsequently, portions of the seed layer on which the conductive material is not formed and photoresist are removed. The photoresist can be removed by an acceptable ashing or stripping process, such as using oxygen plasma or the like. When the photoresist is removed, the exposed portion of the seed layer is removed using an acceptable etching process such as wet or dry etching. The remaining portion of the seed layer and the conductive material form a metallization pattern 110.

유전체 층(112)은 금속화 패턴(110) 및 유전체 층(108) 상에 형성될 수 있다. 일부 실시예에서, 유전체 층(112)은, 리소그래피 마스크를 사용하여 패터닝될 수 있는, PBO, 폴리이미드, BCB 등과 같은 감광 재료일 수 있는 폴리머로 형성된다. 다른 실시예에서, 유전체 층(112)은, 실리콘 질화물과 같은 질화물; 실리콘 산화물, PSG, BSG, BPSG와 같은 산화물; 등으로 형성된다. 유전체 층(112)은 스핀코팅, 라미네이션, CVD 등, 또는 이들의 조합에 의해 형성될 수 있다. 유전체 층(112)은 금속화 패턴(110)의 일부를 노출시키는 개구(114)를 형성하도록 패터닝될 수 있다. 패터닝은 수락가능한 프로세스에 의해, 예컨대 유전체 층(112)이 감광 재료일 때 유전체 층(112)을 광에 노출시킴으로써 또는 예를 들어 이방성 에칭을 사용한 에칭에 의해 수행될 수 있다. 유전체 층(112)이 감광 재료인 실시예에서, 유전체 층(112)은 광에의 노출 후에 현상될 수 있다. Dielectric layer 112 may be formed on metallization pattern 110 and dielectric layer 108. In some embodiments, dielectric layer 112 is formed of a polymer that can be a photosensitive material such as PBO, polyimide, BCB, etc., which can be patterned using a lithography mask. In other embodiments, dielectric layer 112 may include nitride, such as silicon nitride; Oxides such as silicon oxide, PSG, BSG, and BPSG; And the like. Dielectric layer 112 may be formed by spin coating, lamination, CVD, or the like, or a combination thereof. The dielectric layer 112 can be patterned to form an opening 114 exposing a portion of the metallization pattern 110. Patterning can be performed by an acceptable process, such as by exposing the dielectric layer 112 to light when the dielectric layer 112 is a photosensitive material, or by etching using, for example, anisotropic etching. In embodiments where dielectric layer 112 is a photosensitive material, dielectric layer 112 may be developed after exposure to light.

배면 재배선 구조물(106)은 임의의 수의 유전체 층 및 금속화 패턴을 포함할 수 있다는 것을 알아야 한다. 더 많은 유전체 층 및 금속화 패턴이 형성될 경우, 상기에 설명된 단계 및 프로세스는 반복될 수 있다. 금속화 패턴은 전도성 라인 및 전도성 비아를 포함할 수 있다. 전도성 비아는 금속화 패턴의 형성 동안 아래의 유전체 층의 개구에 시드 층 및 금속화 패턴의 전도성 재료를 형성함으로써 형성될 수 있다. 전도성 비아는 금속화 패턴의 다양한 전도성 라인들을 상호접속시키고 전기적으로 커플링할 수 있다. It should be noted that the back redistribution structure 106 can include any number of dielectric layers and metallization patterns. If more dielectric layers and metallization patterns are formed, the steps and processes described above can be repeated. The metallization pattern can include conductive lines and conductive vias. Conductive vias can be formed by forming a seed layer and a conductive material of the metallization pattern in the opening of the underlying dielectric layer during formation of the metallization pattern. Conductive vias can interconnect and electrically couple the various conductive lines of the metallization pattern.

도 3에서, 쓰루 비아(116)가 개구(114)에 형성되며, 배면 재배선 구조물(106)의 최상부(topmost) 유전체 층(예컨대, 도 3에 예시된 실시예에서 유전체 층(112)) 위로 연장한다. 예로서, 쓰루 비아(116)는, 배면 재배선 구조물(106) 위에, 예컨대 개구(114)에 의해 노출된 금속화 패턴(110)의 부분 및 유전체 층(112) 상에, 먼저 시드 층을 형성함으로써 형성될 수 있다. 일부 실시예에서, 시드 층은, 단일 층 또는 상이한 재료로 형성된 복수의 서브층을 포함하는 복합층일 수 있는 금속 층이다. 특정 실시예에서, 시드 층은 티타늄 층 및 티타늄 층 위의 구리 층을 포함한다. 시드 층은 예를 들어 PVD 등을 사용하여 형성될 수 있다. 포토레지스트가 시드 층 상에 형성되어 패터닝된다. 포토레지스트는 스핀 코팅 등에 의해 형성될 수 있고, 패터닝을 위해 광에 노출될 수 있다. 포토레지스트의 패턴은 쓰루 비아(116)에 대응한다. 패터닝은 시드 층을 노출시키도록 포토레지스트를 통해 개구를 형성한다. 전도성 재료가 포토레지스트의 개구에 그리고 시드 층의 노출된 부분 상에 형성된다. 전도성 재료는 전해도금 또는 무전해 도금 등과 같은 도금에 의해 형성될 수 있다. 전도성 재료는 구리, 티타늄, 텅스텐, 알루미늄 등과 같은 금속을 포함할 수 있다. 전도성 재료가 위에 형성되지 않은 시드 층의 부분 및 포토레지스트가 제거된다. 포토레지스트는 수락가능한 애싱 또는 스트립핑 프로세스, 예컨대 산소 플라즈마 등을 사용한 프로세스에 의해 제거될 수 있다. 포토레지스트가 제거되면, 시드 층의 노출된 부분은 습식 또는 건식 에칭과 같은 수락가능한 에칭 프로세스를 사용하여 제거된다. 시드 층의 남은 부분 및 전도성 재료는 쓰루 비아(116)를 형성한다.In FIG. 3, a through via 116 is formed in the opening 114 and over the topmost dielectric layer of the backside redistribution structure 106 (eg, dielectric layer 112 in the embodiment illustrated in FIG. 3 ). Extend. As an example, the through via 116 first forms a seed layer over the backside redistribution structure 106, such as a portion of the metallization pattern 110 exposed by the opening 114 and the dielectric layer 112. Can be formed by. In some embodiments, the seed layer is a metal layer, which can be a single layer or a composite layer comprising a plurality of sub-layers formed of different materials. In certain embodiments, the seed layer comprises a titanium layer and a copper layer over the titanium layer. The seed layer can be formed, for example, using PVD or the like. A photoresist is formed on the seed layer and patterned. The photoresist can be formed by spin coating or the like, and can be exposed to light for patterning. The pattern of photoresist corresponds to the through via 116. Patterning forms openings through the photoresist to expose the seed layer. A conductive material is formed in the opening of the photoresist and on the exposed portion of the seed layer. The conductive material can be formed by plating, such as electroplating or electroless plating. The conductive material can include metals such as copper, titanium, tungsten, aluminum, and the like. Portions of the seed layer and photoresist on which the conductive material is not formed are removed. The photoresist can be removed by an acceptable ashing or stripping process, such as using oxygen plasma or the like. When the photoresist is removed, the exposed portion of the seed layer is removed using an acceptable etching process such as wet or dry etching. The remaining portion of the seed layer and the conductive material form a through via 116.

도 4에서, IPD(50A), 이산(discrete) 수동 소자(50B), 및 능동 소자 다이(50C)를 포함하는 다이(50)가 접착제(118)에 의해 유전체 층(112)에 접착된다. 원하는 타입 및 양의 다이(50)가 제1 패키지 영역(101A) 및 제2 패키지 영역(101B)의 각각에 접착된다. 다양한 실시예에서, IPD(50A) 및 이산 수동 소자(50B)와 같은 수동 소자는 제1 패키지 영역(101A) 및 제2 패키지 영역(101B)의 각각에서 50퍼센트보다 더 큰 영역을 점유할 수 있다. 예시된 실시예에서, 다수의 다이(50)들이 서로 인접하게 접착되어 있다. IPD(50A) 및 능동 소자 다이(50C)는 각각 도 5a 및 도 5b에 관련하여 아래에 보다 상세하게 각각 기재된다. In FIG. 4, die 50 comprising IPD 50A, discrete passive element 50B, and active element die 50C is adhered to dielectric layer 112 by adhesive 118. A desired type and amount of die 50 is adhered to each of the first package area 101A and the second package area 101B. In various embodiments, passive elements such as IPD 50A and discrete passive element 50B may occupy an area greater than 50 percent in each of first package area 101A and second package area 101B. . In the illustrated embodiment, multiple dies 50 are bonded adjacent to each other. The IPD 50A and the active device die 50C are respectively described in more detail below with respect to FIGS. 5A and 5B, respectively.

이산 수동 소자(50B)는 예를 들어 하나 이상의 이산 커패시터, 인덕터, 이들의 조합 등을 포함할 수 있다. 복수의 이산 수동 소자(50B)가 제1 패키지 영역(101A) 및 제2 패키지 영역(101B)의 각각에 배치될 때, 이산 수동 소자(50B)는 적층 구성으로(예시된 바와 같이) 다함께 본딩되거나, 또는 배면 재배선 구조물(106) 상에 바로 나란히 배치될 수 있다. 도 4에 예시된 바와 같이, 이산 수동 소자(50B)의 각각은, 반도체 기판(52B), 반도체 기판(52B)을 통해 연장하는 쓰루 비아(53B), 반도체 기판(52B) 및 쓰루 비아(53B) 위에 배치된 패드(62B), 반도체 기판(52B) 및 패드(62B) 상에 배치된 패시베이션 층(64B), 및 패시베이션 층(64B)을 통해 연장하는 다이 커넥터(66B)를 포함할 수 있다. 이산 수동 소자(50B) 및 능동 소자 다이(50C)는 선택적이고, 일부 실시예에서 이산 수동 소자(50B) 및/또는 능동 소자 다이(50C)는 제1 패키지 영역(101A) 및/또는 제2 패키지 영역(101B)으로부터 생략될 수 있다. Discrete passive element 50B may include, for example, one or more discrete capacitors, inductors, combinations thereof, and the like. When a plurality of discrete passive elements 50B are disposed in each of the first package area 101A and the second package area 101B, the discrete passive elements 50B are bonded together or in a stacked configuration (as illustrated). Alternatively, it may be directly arranged side by side on the rear redistribution structure 106. As illustrated in FIG. 4, each of the discrete passive elements 50B includes a semiconductor substrate 52B, a through via 53B extending through the semiconductor substrate 52B, a semiconductor substrate 52B, and a through via 53B. It may include a pad 62B disposed above, a semiconductor substrate 52B and a passivation layer 64B disposed on the pad 62B, and a die connector 66B extending through the passivation layer 64B. Discrete passive element 50B and active element die 50C are optional, and in some embodiments, discrete passive element 50B and/or active element die 50C may include first package area 101A and/or second package. It can be omitted from the region 101B.

IPD(50A), 이산 수동 소자(50B), 및 능동 소자 다이(50C)는 상이한 크기를 가질 수 있거나(예컨대, 상이한 높이 및/또는 표면적), 동일 크기(예컨대, 동일한 높이 및/또는 표면적)를 가질 수 있다. 제1 패키지 영역(101A) 및 제2 패키지 영역(101B)에서 쓰루 비아(116)에 이용가능한 공간은 한정될 수 있으며, 특히 IPD(50A), 이산 수동 소자(50B), 및 능동 소자 다이(50C)가 SoC와 같이 큰 풋프린트를 갖는 디바이스를 포함할 때 그러하다. 배면 재배선 구조물(106)의 사용은, 제1 패키지 영역(101A) 및 제2 패키지 영역(101B)이 쓰루 비아(116)에 이용가능한 한정된 공간을 가질 때 개선된 상호접속 배열을 가능하게 한다. IPD 50A, discrete passive element 50B, and active element die 50C can have different sizes (eg, different heights and/or surface areas), or have the same size (eg, same height and/or surface area). Can have The space available for the through via 116 in the first package area 101A and the second package area 101B can be limited, in particular the IPD 50A, the discrete passive element 50B, and the active element die 50C ) When including a device with a large footprint, such as an SoC. The use of the back redistribution structure 106 enables improved interconnect arrangement when the first package area 101A and the second package area 101B have limited space available for the through via 116.

접착제(118)는 IPD(50A), 이산 수동 소자(50B), 및 능동 소자 다이(50C)의 배면 상에 있으며, IPD(50A), 이산 수동 소자(50B), 및 능동 소자 다이(50C)를 유전체 층(112)과 같은 배면 재배선 구조물(106)에 접착시킨다. 접착제(118)는 임의의 적합한 접착제, 에폭시, DAF(die attach film) 등일 수 있다. 접착제(118)는 IPD(50A), 이산 수동 소자(50B), 및 능동 소자 다이(50C)의 배면에 도포될 수 있거나, 또는 캐리어 기판(102) 상의 유전체 층(112)의 표면 위에 도포될 수 있다. 예를 들어, 접착제(118)는, IPD(50A), 이산 수동 소자(50B), 및 능동 소자 다이(50C)를 분리하도록 개별화(singulate)하기 전에 IPD(50A), 이산 수동 소자(50B), 및 능동 소자 다이(50C)의 배면에 도포될 수 있다. The adhesive 118 is on the back of the IPD 50A, the discrete passive element 50B, and the active element die 50C, and the IPD 50A, the discrete passive element 50B, and the active element die 50C. It is adhered to a backside redistribution structure 106, such as a dielectric layer 112. The adhesive 118 can be any suitable adhesive, epoxy, die attach film (DAF), or the like. The adhesive 118 may be applied to the back of the IPD 50A, discrete passive element 50B, and active element die 50C, or may be applied over the surface of the dielectric layer 112 on the carrier substrate 102. have. For example, the adhesive 118 can be IPD 50A, discrete passive element 50B, before singulating to separate IPD 50A, discrete passive element 50B, and active element die 50C, And an active device die 50C.

도 5a는 일부 실시예에 따른 IPD(50A)를 예시한다. IPD(50A)는 발룬(balun), 커플러, 스플리터, 필터, 다이플렉서, 인덕터, 커패시터, 저항기 등과 같은 광범위하게 다양한 수동 소자를 포함할 수 있다. 일부 실시예에서, IPD(50A)는 다층 세라믹 커패시터(MLCC; multi-layer ceramic capacitor), 코일 인덕터, 막 저항 등일 수 있다. 일부 실시예에 따르면, IPD(50A)에는 트랜지스터 또는 다이오드와 같은 능동 소자가 없다. 5A illustrates IPD 50A in accordance with some embodiments. The IPD 50A may include a wide variety of passive components such as baluns, couplers, splitters, filters, diplexers, inductors, capacitors, resistors, and the like. In some embodiments, IPD 50A may be a multi-layer ceramic capacitor (MLCC), coil inductor, film resistance, or the like. According to some embodiments, IPD 50A has no active elements such as transistors or diodes.

IPD(50A)는, 복수의 IPD(50A)를 형성하도록 후속 단계에서 개별화되는 상이한 소자 영역들을 포함할 수 있는 웨이퍼에 형성될 수 있다. IPD(50A)는 집적 회로를 형성하도록 적용가능한 제조 프로세스에 따라 처리될 수 있다. 예를 들어, IPD(50A)는 실리콘, 도핑되거나 도핑되지 않은, 또는 SOI(semiconductor-on-insulator) 기판의 활성 층과 같은 반도체 기판(52A)을 포함할 수 있다. 반도체 기판(52A)은, 게르마늄과 같은 다른 반도체 재료; 실리콘 카바이드, 갈륨 비소, 갈륨 인화물, 인듐 인화물, 인듐 비소화물, 및/또는 인듐 안티몬화물을 포함한 화합물 반도체; SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, 및/또는 GaInAsP을 포함한 합금 반도체; 또는 이들의 조합을 포함할 수 있다. 다층 또는 구배 기판과 같은 다른 기판도 또한 사용될 수 있다. 반도체 기판(52A)은, 종종 전면(front-side)라 불리는 활성 표면(예컨대, 도 5a에서 위를 향하는 표면) 및 종종 배면이라 불리는 비활성 표면(예컨대, 도 5a에서 아래를 향하는 표면)을 갖는다. IPD 50A can be formed on a wafer that can include different device regions that are individualized in subsequent steps to form a plurality of IPDs 50A. IPD 50A can be processed according to an applicable manufacturing process to form an integrated circuit. For example, the IPD 50A can include a semiconductor substrate 52A, such as silicon, doped or undoped, or an active layer of a semiconductor-on-insulator (SOI) substrate. The semiconductor substrate 52A includes other semiconductor materials such as germanium; Compound semiconductors including silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; Alloy semiconductors including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; Or combinations thereof. Other substrates such as multilayer or gradient substrates can also be used. The semiconductor substrate 52A has an active surface, often referred to as a front-side (eg, an upward facing surface in FIG. 5A), and an inactive surface, often referred to as a back (eg, a downward facing surface in FIG. 5A).

반도체 기판(52A)의 전면 위에 층간 유전체(ILD; inter-layer dielectric)(56A)가 형성된다. ILD(56A)는 PSG(phosphosilicate glass), BSG(borosilicate glass), BPSG(boron-doped phosphosilicate glass), USG(undoped silicate glass) 등과 같은 재료로 형성된 하나 이상의 유전체 층을 포함할 수 있다. An inter-layer dielectric (ILD) 56A is formed on the front surface of the semiconductor substrate 52A. The ILD 56A may include one or more dielectric layers formed of materials such as phosphosilicate glass (PSG), borosilicate glass (BSG), boron-doped phosphosilicate glass (PSG), undoped silicate glass (USG), and the like.

상호접속 구조물(60A)이 ILD(56A) 위에 포함된다. 상호접속 구조물(60A)은 IPD(50A)에 포함된 다양한 수동 소자들을 상호접속시키는데 사용될 수 있다. 상호접속 구조물(60A)은 IPD(50A)에 포함된 다양한 수동 소자들을 더 정의할 수 있다. 예를 들어, 상호접속 구조물(60A)의 영역(70A)은 저항기를 정의할 수 있고, 상호접속 구조물(60A)의 영역(70B)은 커패시터를 정의할 수 있고, 상호접속 구조물(60A)의 영역(70C)은 인덕터를 정의할 수 있다. 그러나, 영역(70A, 70B, 및 70C)의 각각은 IPD(50A)의 임의의 수동 소자를 정의할 수 있다. Interconnect structure 60A is included over ILD 56A. The interconnect structure 60A can be used to interconnect various passive elements included in the IPD 50A. The interconnect structure 60A may further define various passive elements included in the IPD 50A. For example, area 70A of interconnect structure 60A can define a resistor, area 70B of interconnect structure 60A can define a capacitor, and area of interconnect structure 60A 70C can define an inductor. However, each of the regions 70A, 70B, and 70C can define any passive element of the IPD 50A.

상호접속 구조물(60A)은 예를 들어 ILD(56A) 상의 유전체 층에서의 금속화 패턴에 의해 형성될 수 있다. 금속화 패턴은 하나 이상의 로우 k 유전체 층에 형성된 금속 라인 및 비아를 포함한다. 상호접속 구조물(60A)의 금속화 패턴은 IPD(50A)의 수동 소자에 전기적으로 커플링된다. The interconnect structure 60A can be formed, for example, by a metallization pattern in the dielectric layer on the ILD 56A. The metallization pattern includes metal lines and vias formed in one or more low k dielectric layers. The metallization pattern of interconnect structure 60A is electrically coupled to the passive elements of IPD 50A.

IPD(50A)는, 그에 외부 접속이 이루어지는, 알루미늄 패드와 같은 패드(62A)를 더 포함한다. 패드(62A)는 IPD(50A)의 전면 상에, 예컨대 상호접속 구조물(60A)에 그리고/또는 상호접속 구조물(60A) 상에 있다. 하나 이상의 패시베이션 막(64A)이 IPD(50A) 상에, 예컨대 상호접속 구조물(60A) 및 패드(62A)의 일부 상에 있다. 개구가 패시베이션 막(64A)을 통해 패드(62A)로 연장한다. 전도성 필라(pillar)(예를 들어 구리와 같은 금속으로 형성됨)와 같은 다이 커넥터(66A)가 패시베이션 막(64A)에서의 개구를 통해 연장하고, 패드(62A)의 각자의 패드에 물리적으로 그리고 전기적으로 커플링된다. 다이 커넥터(66A)는 예를 들어 도금 등에 의해 형성될 수 있다. 다이 커넥터(66A)는 IPD(50A)의 각자의 수동 소자를 외부 디바이스에 전기적으로 커플링한다. The IPD 50A further includes a pad 62A, such as an aluminum pad, to which external connection is made. The pad 62A is on the front side of the IPD 50A, such as on the interconnect structure 60A and/or on the interconnect structure 60A. One or more passivation films 64A are on IPD 50A, such as on interconnect structure 60A and a portion of pad 62A. The opening extends through the passivation film 64A to the pad 62A. A die connector 66A, such as a conductive pillar (for example formed of a metal such as copper), extends through the opening in the passivation film 64A, and physically and electrically to each pad of the pad 62A. Is coupled to. The die connector 66A can be formed, for example, by plating or the like. The die connector 66A electrically couples each passive element of the IPD 50A to an external device.

선택적으로, 솔더 영역(예컨대, 솔더 볼 또는 솔더 범프)이 패드(62A) 상에 배치될 수 있다. 솔더 볼은 IPD(50A)에 대해 칩 프로브(CP; chip probe) 테스팅을 수행하는데 사용될 수 있다. CP 테스팅은, IPD(50A)가 기지의 양호 다이(KGD; known good die)인지 여부를 확인하도록 IPD(50A)에 대해 수행될 수 있다. 따라서, KGD인 IPD(50A)만 후속 프로세싱을 겪으며 패키징되고, CP 테스팅에 실패한 다이는 패키징되지 않는다. 테스팅 후에, 후속 프로세싱 단계에서 솔더 영역이 제거될 수 있다. Optionally, solder regions (eg, solder balls or solder bumps) can be disposed on the pad 62A. The solder ball can be used to perform chip probe (CP) testing on the IPD 50A. CP testing may be performed on IPD 50A to determine whether IPD 50A is a known good die (KGD). Therefore, only the IPD 50A, which is the KGD, is packaged through subsequent processing, and the die that failed CP testing is not packaged. After testing, solder regions may be removed in a subsequent processing step.

유전체 층(68A)이 IPD(50A)의 전면 상에, 예컨대 패시베이션 막(64A) 및 다이 커넥터(66A) 상에 포함될 수 있다. 유전체 층(68A)은 다이 커넥터(66A)를 측방향으로 봉지하며(encapsulate), 유전체 층(68A)은 IPD(50A)와 측방향으로 접해 있다(coterminous). 처음에, 유전체 층(68A)은, 유전체 층(68A)의 최상부 표면이 다이 커넥터(66A)의 최상부 표면 위에 있도록, 다이 커넥터(66A)를 매립할 수 있다. 솔더 영역이 다이 커넥터(66A) 상에 배치된 일부 실시예에서, 유전체 층(68A)은 솔더 영역도 매립할 수 있다. 대안으로서, 솔더 영역은 유전체 층(68A)을 형성하기 전에 제거될 수 있다. Dielectric layer 68A can be included on the front side of IPD 50A, such as on passivation film 64A and die connector 66A. Dielectric layer 68A encapsulates die connector 66A laterally, and dielectric layer 68A is laterally in contact with IPD 50A. Initially, the dielectric layer 68A can fill the die connector 66A such that the top surface of the dielectric layer 68A is over the top surface of the die connector 66A. In some embodiments where solder regions are disposed on die connector 66A, dielectric layer 68A may also fill the solder regions. Alternatively, solder regions can be removed prior to forming dielectric layer 68A.

유전체 층(68A)은, PBO, 폴리이미드, BCB 등과 같은 폴리머; 실리콘 질화물 등과 같은 질화물; 실리콘 산화물, PSG, BSG, BPSG 등과 같은 산화물; 기타 또는 이들의 조합일 수 있다. 유전체 층(68A)은 예를 들어 스핀 코팅, 라미네이션, 화학적 기상 증착(CVD) 등에 의해 형성될 수 있다. 일부 실시예에서, 다이 커넥터(66A)는 IPD(50A)의 형성 동안 유전체 층(68A)을 통해 노출된다. 일부 실시예에서, 다이 커넥터(66A)는 매립된 채 남아 있으며, IPD(50A)를 패키징하기 위한 후속 프로세스 동안 노출된다. 다이 커넥터(66A)를 노출시키는 것은, 다이 커넥터(66A) 상에 존재할 수 있는 임의의 솔더 영역을 제거할 수 있다. The dielectric layer 68A may include polymers such as PBO, polyimide, BCB, and the like; Nitrides, such as silicon nitride; Oxides such as silicon oxide, PSG, BSG, and BPSG; Other or a combination thereof. Dielectric layer 68A may be formed, for example, by spin coating, lamination, chemical vapor deposition (CVD), or the like. In some embodiments, die connector 66A is exposed through dielectric layer 68A during formation of IPD 50A. In some embodiments, die connector 66A remains buried and is exposed during a subsequent process to package IPD 50A. Exposing die connector 66A can remove any solder areas that may be present on die connector 66A.

일부 실시예에서, IPD(50A)는 복수의 반도체 기판(52A)을 포함하는 적층형 디바이스일 수 있다. 이러한 실시예에서, IPD(50A)는 TSV(through-substrate via)에 의해 상호접속된 복수의 반도체 기판(52A)을 포함한다. 반도체 기판(52A)의 각각은 상호접속 구조물(60A)을 가질 수 있다. In some embodiments, IPD 50A may be a stacked device comprising a plurality of semiconductor substrates 52A. In this embodiment, IPD 50A includes a plurality of semiconductor substrates 52A interconnected by through-substrate vias (TSVs). Each of the semiconductor substrates 52A may have an interconnect structure 60A.

도 5b는 일부 실시예에 따른 능동 소자 다이(50C)를 예시한다. 능동 소자 다이(50C)는 집적 회로 패키지를 형성하도록 후속 프로세싱에서 패키징될 것이다. 능동 소자 다이(50C)는, 로직 다이(예컨대, CPU(central processing unit), GPU(graphics processing unit), SoC(system-on-a-chip), AP(application processor), 마이크로컨트롤러 등), 메모리 다이(예컨대, DRAM(dynamic random access memory) 다이, SRAM(static random access memory) 다이, HBM(high bandwidth memory) 다이 등), 입력/출력(I/O) 인터페이스 다이, 전력 관리 다이(예컨대, PMIC(power management integrated circuit) 다이 등), 무선 주파수(RF; radio frequency) 다이, 센서 다이, MEMS(micro-electro-mechanical-system) 다이, 신호 프로세싱 다이(예컨대, DSP(digital signal processing) 다이 등), 프론트 엔드 다이(예컨대, AFE(analog front-end) 다이 등), 등, 또는 이들의 조합일 수 있다. 5B illustrates an active device die 50C in accordance with some embodiments. The active device die 50C will be packaged in subsequent processing to form an integrated circuit package. The active device die 50C includes a logic die (eg, a central processing unit (CPU), graphics processing unit (GPU), system-on-a-chip (SoC), application processor (AP), microcontroller, etc.), memory Dies (eg dynamic random access memory (DRAM) dies, static random access memory (SRAM) dies, high bandwidth memory (HBM) dies, etc.), input/output (I/O) interface dies, power management dies (eg PMIC (power management integrated circuit) die, radio frequency (RF) die, sensor die, micro-electro-mechanical-system (MEMS) die, signal processing die (e.g., digital signal processing (DSP) die, etc.) , Front end die (eg, analog front-end (AFE) die, etc.), etc., or a combination thereof.

능동 소자 다이(50C)는 웨이퍼에 형성될 수 있으며, 이는 복수의 능동 소자 다이를 형성하도록 후속 단계에서 개별화되는 상이한 소자 영역들을 포함할 수 있다. 능동 소자(50C)는 집적 회로를 형성하도록 적용가능한 제조 프로세스에 따라 처리될 수 있다. 예를 들어, 능동 소자(50C)는, 실리콘, 도핑되거나 도핑되지 않은, 또는 SOI 기판의 활성 층과 같은 반도체 기판(52C)을 포함한다. 반도체 기판(52C)은, 게르마늄과 같은 다른 반도체 재료; 실리콘 카바이드, 갈륨 비소, 갈륨 인화물, 인듐 인화물, 인듐 비소화물, 및/또는 인듐 안티몬화물을 포함한 화합물 반도체; SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, 및/또는 GaInAsP을 포함한 합금 반도체; 또는 이들의 조합을 포함할 수 있다. 다층 또는 구배 기판과 같은 다른 기판도 또한 사용될 수 있다. 반도체 기판(52C)은, 종종 전면이라 불리는 활성 표면(예컨대, 도 5b에서 위를 향하는 표면) 및 종종 배면이라 불리는 비활성 표면(예컨대, 도 5b에서 아래를 향하는 표면)을 갖는다.The active device die 50C can be formed on a wafer, which can include different device regions that are individualized in subsequent steps to form a plurality of active device dies. The active element 50C can be processed according to an applicable manufacturing process to form an integrated circuit. For example, the active element 50C includes a semiconductor substrate 52C, such as silicon, doped or undoped, or an active layer of an SOI substrate. The semiconductor substrate 52C includes other semiconductor materials such as germanium; Compound semiconductors including silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; Alloy semiconductors including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; Or combinations thereof. Other substrates such as multilayer or gradient substrates can also be used. The semiconductor substrate 52C has an active surface, often referred to as the front side (eg, an upward facing surface in FIG. 5B), and an inactive surface, often referred to as a back side (eg, a downward facing surface in FIG. 5B).

디바이스(54)가 반도체 기판(52)의 전면에 형성될 수 있다. 디바이스(54)는 능동 소자(예컨대, 트랜지스터, 다이오드 등), 커패시터, 저항기 등일 수 있다. 반도체 기판(52C)의 전면 위에 층간 유전체(ILD)(56C)가 형성된다. ILD(56C)는 디바이스(54)를 둘러싸고 이를 덮을 수 있다. ILD(56C)는 PSG(phosphosilicate glass), BSG(borosilicate glass), BPSG(boron-doped phosphosilicate glass), USG(undoped silicate glass) 등과 같은 재료로 형성된 하나 이상의 유전체 층을 포함할 수 있다.The device 54 can be formed on the front surface of the semiconductor substrate 52. Device 54 may be an active element (eg, transistor, diode, etc.), capacitor, resistor, or the like. An interlayer dielectric (ILD) 56C is formed on the front surface of the semiconductor substrate 52C. ILD 56C may surround and cover device 54. The ILD 56C may include one or more dielectric layers formed of materials such as phosphosilicate glass (PSG), borosilicate glass (BSG), boron-doped phosphosilicate glass (PSG), undoped silicate glass (USG), and the like.

전도성 플러그(58)가 디바이스(54)를 전기적으로 그리고 물리적으로 커플링하도록 ILD(56C)를 통해 연장한다. 예를 들어, 디바이스(54)가 트랜지스터일 때, 전도성 플러그(58)는 트랜지스터의 게이트 및 소스/드레인 영역을 커플링할 수 있다. 전도성 플러그(58)는 텅스텐, 코발트, 니켈, 구리, 은, 금, 알루미늄 등, 또는 이들의 조합으로 형성될 수 있다. 상호접속 구조물(60C)이 ILD(56C) 및 전도성 플러그(58) 위에 포함된다. 상호접속 구조물(60C)은 집적 회로를 형성하도록 디바이스(54)를 상호접속시킨다. 상호접속 구조물(60C)은 예를 들어 ILD(56C) 상의 유전체 층에서의 금속화 패턴에 의해 형성될 수 있다. 금속화 패턴은 하나 이상의 로우 k 유전체 층에 형성된 금속 라인 및 비아를 포함한다. 상호접속 구조물(60C)의 금속화 패턴은 전도성 플러그(58)에 의해 디바이스(54)에 전기적으로 커플링된다. Conductive plug 58 extends through ILD 56C to electrically and physically couple device 54. For example, when device 54 is a transistor, conductive plug 58 can couple the gate and source/drain regions of the transistor. The conductive plug 58 may be formed of tungsten, cobalt, nickel, copper, silver, gold, aluminum, or the like, or a combination thereof. Interconnect structure 60C is included over ILD 56C and conductive plug 58. Interconnect structure 60C interconnects devices 54 to form an integrated circuit. Interconnect structure 60C may be formed, for example, by a metallization pattern in a dielectric layer on ILD 56C. The metallization pattern includes metal lines and vias formed in one or more low k dielectric layers. The metallization pattern of interconnect structure 60C is electrically coupled to device 54 by conductive plug 58.

능동 소자 다이(50C)는 알루미늄 패드와 같은 패드(62C)를 더 포함하며, 이에 외부 접속이 이루어진다. 패드(62C)는 능동 소자 다이(50C)의 활성 면 상에, 예컨대 상호접속 구조물(60C)에 그리고/또는 상호접속 구조물(60C) 상에 있다. 하나 이상의 패시베이션 막(64C)이 능동 소자 다이(50C) 상에, 예컨대 상호접속 구조물(60C) 및 패드(62C)의 일부 상에 있다. 개구가 패시베이션 막(64C)을 통해 패드(62C)로 연장한다. 전도성 필라(예를 들어 구리와 같은 금속으로 형성됨)와 같은 다이 커넥터(66C)는 패시베이션 막(64C)에서의 개구를 통해 연장하고, 패드(62C)의 각자의 패드에 물리적으로 그리고 전기적으로 커플링된다. 다이 커넥터(66C)는 예를 들어 도금 등에 의해 형성될 수 있다. 다이 커넥터(66C)는 능동 소자 다이(50C)의 각자의 집적 회로를 전기적으로 커플링한다. The active element die 50C further includes a pad 62C, such as an aluminum pad, to which an external connection is made. The pad 62C is on the active side of the active element die 50C, such as on the interconnect structure 60C and/or on the interconnect structure 60C. One or more passivation films 64C are on the active device die 50C, such as on the interconnect structure 60C and a portion of the pad 62C. The opening extends through the passivation film 64C to the pad 62C. A die connector 66C, such as a conductive pillar (for example formed of a metal such as copper), extends through the opening in the passivation film 64C, and physically and electrically couples to each pad of the pad 62C do. The die connector 66C can be formed, for example, by plating or the like. The die connector 66C electrically couples the respective integrated circuit of the active element die 50C.

선택적으로, 솔더 영역(예컨대, 솔더 볼 또는 솔더 범프)이 패드(62C) 상에 배치될 수 있다. 솔더 볼은 능동 소자 다이(50C)에 대해 칩 프로브(CP) 테스팅을 수행하는데 사용될 수 있다. CP 테스팅은, 능동 소자 다이(50C)가 기지의 양호 다이(KGD)인지 여부를 확인하도록 능동 소자 다이(50C)에 대해 수행될 수 있다. 따라서, KGD인 능동 소자 다이(50C)만 후속 프로세싱을 겪으며 패키징되고, CP 테스팅에 실패한 다이는 패키징되지 않는다. 테스팅 후에, 후속 프로세싱 단계에서 솔더 영역이 제거될 수 있다.Optionally, solder regions (eg, solder balls or solder bumps) can be disposed on the pad 62C. Solder balls can be used to perform chip probe (CP) testing on the active device die 50C. CP testing may be performed on the active device die 50C to confirm whether the active device die 50C is a known good die (KGD). Therefore, only the active element die 50C, which is the KGD, is packaged through subsequent processing, and the die that failed CP testing is not packaged. After testing, solder regions may be removed in a subsequent processing step.

유전체 층(68C)이 능동 소자 다이(50C)의 전면 상에, 예컨대 패시베이션 막(64C) 및 다이 커넥터(66C) 상에 있을 수 있다. 유전체 층(68C)은 다이 커넥터(66C)를 측방향으로 봉지하며, 유전체 층(68C)은 능동 소자 다이(50C)와 측방향으로 접해 있다. 처음에, 유전체 층(68C)은, 유전체 층(68C)의 최상부 표면이 다이 커넥터(66C)의 최상부 표면 위에 있도록, 다이 커넥터(66C)를 매립할 수 있다. 솔더 영역이 다이 커넥터(66C) 상에 배치된 일부 실시예에서, 유전체 층(68C)은 솔더 영역도 매립할 수 있다. 대안으로서, 솔더 영역은 유전체 층(68C)을 형성하기 전에 제거될 수 있다. Dielectric layer 68C may be on the front side of active element die 50C, such as on passivation film 64C and die connector 66C. Dielectric layer 68C encapsulates die connector 66C laterally, dielectric layer 68C laterally abuts active element die 50C. Initially, the dielectric layer 68C can fill the die connector 66C such that the top surface of the dielectric layer 68C is above the top surface of the die connector 66C. In some embodiments where the solder area is disposed on the die connector 66C, the dielectric layer 68C may also fill the solder area. Alternatively, solder regions can be removed prior to forming dielectric layer 68C.

유전체 층(68C)은, PBO, 폴리이미드, BCB 등과 같은 폴리머; 실리콘 질화물 등과 같은 질화물; 실리콘 산화물, PSG, BSG, BPSG 등과 같은 산화물; 기타 또는 이들의 조합일 수 있다. 유전체 층(68C)은 예를 들어 스핀 코팅, 라미네이션, CVD 등에 의해 형성될 수 있다. 일부 실시예에서, 다이 커넥터(66C)는 능동 소자 다이(50C)의 형성 동안 유전체 층(68C)을 통해 노출된다. 일부 실시예에서, 다이 커넥터(66C)는 매립된 채 남아 있으며, 능동 소자 다이(50C)를 패키징하기 위한 후속 프로세스 동안 노출된다. 다이 커넥터(66C)를 노출시키는 것은, 다이 커넥터(66C) 상에 존재할 수 있는 임의의 솔더 영역을 제거할 수 있다.The dielectric layer 68C may include polymers such as PBO, polyimide, BCB, and the like; Nitrides, such as silicon nitride; Oxides such as silicon oxide, PSG, BSG, and BPSG; Other or a combination thereof. Dielectric layer 68C may be formed, for example, by spin coating, lamination, CVD, or the like. In some embodiments, die connector 66C is exposed through dielectric layer 68C during formation of active element die 50C. In some embodiments, die connector 66C remains buried and is exposed during a subsequent process to package active element die 50C. Exposing the die connector 66C can remove any solder areas that may be present on the die connector 66C.

일부 실시예에서, 능동 소자 다이(50C)는 복수의 반도체 기판(52C)을 포함하는 적층형 디바이스이다. 예를 들어, 능동 소자 다이(50C)는, 복수의 메모리 다이를 포함하는, HMC(hybrid memory cube) 모듈, HBM(high bandwidth memory) 모듈 등과 같은 메모리 디바이스일 수 있다. 이러한 실시예에서, 능동 소자 다이(50C)는 TSV에 의해 상호접속된 복수의 반도체 기판(52C)을 포함한다. 반도체 기판(52C)의 각각은 상호접속 구조물(60C)을 가질 수 있다.In some embodiments, active element die 50C is a stacked device that includes a plurality of semiconductor substrates 52C. For example, the active element die 50C may be a memory device, such as a hybrid memory cube (HMC) module, a high bandwidth memory (HBM) module, and the like, including a plurality of memory dies. In this embodiment, the active element die 50C includes a plurality of semiconductor substrates 52C interconnected by TSVs. Each of the semiconductor substrates 52C may have an interconnect structure 60C.

도 6에서, 봉지재(120)가 다이(50) 및 쓰루 비아(116) 상에 이를 둘러싸며 형성된다. 형성 후에, 봉지재(120)는 쓰루 비아(116), IPD(50A), 이산 수동 소자(50B), 및 능동 소자 다이(50C)를 봉지한다. 봉지재(120)는 몰딩 컴파운드, 에폭시 등일 수 있다. 봉지재(120)는 압축 몰딩, 트랜스퍼 몰딩 등에 의해 적용될 수 있고, 쓰루 비아(116) 및/또는 IPD(50A), 이산 수동 소자(50B), 및 능동 소자 다이(50C)가 매립되거나 덮이도록 캐리어 기판(102) 위에 형성될 수 있다. 봉지재(120)는, IPD(50A), 이산 수동 소자(50B), 및 능동 소자 다이(50C) 사이의 갭 영역에 더 형성된다. 봉지재(120)는 액상으로 또는 준액상 형태로 적용되어 그 후에 경화될 수 있다. In FIG. 6, encapsulant 120 is formed surrounding die 50 and through via 116. After formation, encapsulant 120 encapsulates through via 116, IPD 50A, discrete passive element 50B, and active element die 50C. The encapsulant 120 may be a molding compound, epoxy, or the like. The encapsulant 120 may be applied by compression molding, transfer molding, or the like, and carriers such that the through via 116 and/or the IPD 50A, the discrete passive element 50B, and the active element die 50C are embedded or covered. It may be formed on the substrate 102. The encapsulant 120 is further formed in a gap region between the IPD 50A, the discrete passive element 50B, and the active element die 50C. The encapsulant 120 may be applied in a liquid or semi-liquid form and then cured.

도 7에서, 쓰루 비아(116) 및 다이 커넥터(66A, 66B 및 66C)를 노출시키도록 봉지재(120)에 대해 평탄화 프로세스가 수행된다. 평탄화 프로세스는 또한, 다이 커넥터(66A, 66B 및 66C) 및 쓰루 비아(116)가 노출될 때까지 쓰루 비아(116), 유전체 층(68A 및 68C), 및/또는 다이 커넥터(66A, 66B, 및 66C)의 재료를 제거할 수 있다. 평탄화 프로세스 다음에, 쓰루 비아(116), 다이 커넥터(66A, 66B, 및 66C), 유전체 층(68A 및 68C), 및 봉지재(120)의 상부 표면은 서로 같은 높이일 수 있다(예컨대, 공면). 평탄화 프로세스는 예를 들어, 화학 기계적 연마(CMP; chemical-mechanical polish) 프로세스, 그라인딩 프로세스, 에칭백 프로세스 등일 수 있다. 일부 실시예에서, 평탄화 프로세스는, 예를 들어 쓰루 비아(116) 및/또는 다이 커넥터(66A, 66B, 및 66C)가 이미 노출된 경우, 생략될 수 있다. In FIG. 7, a planarization process is performed on encapsulant 120 to expose through vias 116 and die connectors 66A, 66B, and 66C. The planarization process may also include through connectors 116, dielectric layers 68A and 68C, and/or die connectors 66A, 66B, and until die connectors 66A, 66B, and 66C and through vias 116 are exposed. 66C) can be removed. Following the planarization process, the top surfaces of through vias 116, die connectors 66A, 66B, and 66C, dielectric layers 68A and 68C, and encapsulant 120 may be flush with each other (eg, coplanar) ). The planarization process may be, for example, a chemical-mechanical polish (CMP) process, a grinding process, an etchback process, or the like. In some embodiments, the planarization process can be omitted, for example, if the through vias 116 and/or die connectors 66A, 66B, and 66C have already been exposed.

도 8 내지 도 11에서, 전면 재배선 구조물(122)(도 11 참조)이 봉지재(120), 쓰루 비아(116), IPD(50A), 이산 수동 소자(50B), 및 능동 소자 다이(50C) 위에 형성된다. 전면 재배선 구조물(122)은 유전체 층(124, 128, 132 및 136), 및 금속화 패턴(126, 130 및 134)을 포함한다. 금속화 패턴은 또한, 재배선 층 또는 재배선 라인으로도 지칭될 수 있다. 도 11에 예시된 전면 재배선 구조물(122)은 3개 층의 금속화 패턴 및 4개 층의 유전체 층을 포함하지만, 더 많거나 더 적은 금속화 패턴 및 유전체 층이 전면 재배선 구조물(122)에 포함될 수 있다. 더 적은 유전체 층 및 금속화 패턴이 형성될 경우, 아래에 설명되는 단계 및 프로세스는 생략될 수 있다. 더 많은 유전체 층 및 금속화 패턴이 형성될 경우, 아래에 설명되는 단계 및 프로세스는 반복될 수 있다.In FIGS. 8-11, front redistribution structure 122 (see FIG. 11) includes encapsulant 120, through via 116, IPD 50A, discrete passive element 50B, and active element die 50C. ) Is formed on top. Front redistribution structure 122 includes dielectric layers 124, 128, 132, and 136, and metallization patterns 126, 130, and 134. The metallization pattern may also be referred to as a redistribution layer or redistribution line. The front redistribution structure 122 illustrated in FIG. 11 includes three layers of metallization patterns and four layers of dielectric layers, but more or fewer metallization patterns and dielectric layers are front redistribution structures 122 Can be included in If fewer dielectric layers and metallization patterns are formed, the steps and processes described below can be omitted. If more dielectric layers and metallization patterns are formed, the steps and processes described below can be repeated.

도 8에서, 유전체 층(124)이 봉지재(120), 쓰루 비아(116) 및 다이 커넥터(66A, 66B, 및 66C) 상에 퇴적된다. 일부 실시예에서, 유전체 층(124)은, 리소그래피 마스크를 사용하여 패터닝될 수 있는, PBO, 폴리이미드, BCB 등과 같은 감광 재료로 형성된다. 유전체 층(124)은 스핀코팅, 라미네이션, CVD 등, 또는 이들의 조합에 의해 형성될 수 있다. 그 다음, 유전체 층(124)은 패터닝된다. 패터닝은 쓰루 비아(116) 및 다이 커넥터(66A, 66B, 및 66C)의 일부를 노출시키는 개구를 형성한다. 패터닝은 수락가능한 프로세스에 의해, 예컨대 유전체 층(124)이 감광 재료일 때 유전체 층(124)을 광에 노출시킴으로써 또는 예를 들어 이방성 에칭을 사용한 에칭에 의해 이루어질 수 있다. 유전체 층(124)이 감광 재료인 경우, 유전체 층(124)은 노출 후에 현상될 수 있다.In FIG. 8, dielectric layer 124 is deposited on encapsulant 120, through via 116 and die connectors 66A, 66B, and 66C. In some embodiments, dielectric layer 124 is formed of a photosensitive material such as PBO, polyimide, BCB, and the like, which can be patterned using a lithography mask. Dielectric layer 124 may be formed by spin coating, lamination, CVD, or the like, or a combination thereof. Then, dielectric layer 124 is patterned. The patterning forms an opening exposing a portion of the through via 116 and die connectors 66A, 66B, and 66C. Patterning can be accomplished by an acceptable process, such as by exposing the dielectric layer 124 to light when the dielectric layer 124 is a photosensitive material, or by etching using, for example, anisotropic etching. When the dielectric layer 124 is a photosensitive material, the dielectric layer 124 can be developed after exposure.

그 다음, 금속화 패턴(126)이 형성된다. 금속화 패턴(126)은 유전체 층(124)의 주면 상에 이를 따라 연장하는 라인 부분(전도성 라인으로도 지칭됨)을 포함한다. 금속화 패턴(126)은, 쓰루 비아(116) 및 IPD(50A), 이산 수동 소자(50B), 및 능동 소자 다이(50C)를 물리적으로 그리고 전기적으로 커플링하도록 유전체 층(124)을 통해 연장하는 비아 부분(전도성 비아로도 지칭됨)을 더 포함한다. 예로서, 금속화 패턴(126)은, 유전체 층(124) 위에 그리고 유전체 층(124)을 통해 연장하는 개구에 먼저 시드 층을 형성함으로써 형성될 수 있다. 일부 실시예에서, 시드 층은, 단일 층 또는 상이한 재료로 형성된 복수의 서브층을 포함하는 복합층일 수 있는 금속 층이다. 일부 실시예에서, 시드 층은 티타늄 층 및 티타늄 층 위의 구리 층을 포함한다. 시드 층은 예를 들어 PVD 등을 사용하여 형성될 수 있다. 그 다음 시드 층 상에 포토레지스트가 형성되어 패터닝된다. 포토레지스트는 스핀 코팅 등에 의해 형성될 수 있고, 패터닝을 위해 광에 노출될 수 있다. 포토레지스트의 패턴은 금속화 패턴(126)에 대응한다. 패터닝은 시드 층을 노출시키도록 포토레지스트를 통해 개구를 형성한다. 그 다음, 전도성 재료가 포토레지스트의 개구에 그리고 시드 층의 노출된 부분 상에 형성된다. 전도성 재료는 전해도금 또는 무전해 도금 등과 같은 도금에 의해 형성될 수 있다. 전도성 재료는 구리, 티타늄, 텅스텐, 알루미늄 등과 같은 금속을 포함할 수 있다. 전도성 재료 및 시드 층의 아래의 부분의 조합이 금속화 패턴(126)을 형성한다. 전도성 재료가 위에 형성되지 않은 시드 층의 부분 및 포토레지스트는 제거된다. 포토레지스트는 수락가능한 애싱 또는 스트립핑 프로세스에 의해, 예컨대 산소 플라즈마 등을 사용하여 제거될 수 있다. 포토레지스트가 제거되면, 시드 층의 노출된 부분은 습식 또는 건식 에칭과 같은 수락가능한 에칭 프로세스를 사용하여 제거된다.Then, a metallization pattern 126 is formed. The metallization pattern 126 includes a line portion (also referred to as a conductive line) extending along it on the major surface of the dielectric layer 124. The metallization pattern 126 extends through the dielectric layer 124 to physically and electrically couple the through via 116 and IPD 50A, the discrete passive element 50B, and the active element die 50C. It further includes a via portion (also referred to as a conductive via). For example, the metallization pattern 126 can be formed by first forming a seed layer over the dielectric layer 124 and in openings extending through the dielectric layer 124. In some embodiments, the seed layer is a metal layer, which can be a single layer or a composite layer comprising a plurality of sub-layers formed of different materials. In some embodiments, the seed layer includes a titanium layer and a copper layer over the titanium layer. The seed layer can be formed, for example, using PVD or the like. A photoresist is then formed on the seed layer and patterned. The photoresist can be formed by spin coating or the like, and can be exposed to light for patterning. The pattern of the photoresist corresponds to the metallization pattern 126. Patterning forms openings through the photoresist to expose the seed layer. Then, a conductive material is formed in the opening of the photoresist and on the exposed portion of the seed layer. The conductive material can be formed by plating, such as electroplating or electroless plating. The conductive material can include metals such as copper, titanium, tungsten, aluminum, and the like. The combination of the conductive material and the bottom portion of the seed layer forms a metallization pattern 126. Portions of the seed layer and photoresist on which the conductive material is not formed are removed. The photoresist can be removed by an acceptable ashing or stripping process, such as using oxygen plasma or the like. When the photoresist is removed, the exposed portion of the seed layer is removed using an acceptable etching process such as wet or dry etching.

도 9에서, 유전체 층(128)이 금속화 패턴(126) 및 유전체 층(124) 상에 퇴적된다. 유전체 층(128)은 유전체 층(124)과 유사한 방식으로 형성될 수 있고, 유전체 층(124)의 재료와 유사한 재료로 형성될 수 있다. In FIG. 9, dielectric layer 128 is deposited on metallization pattern 126 and dielectric layer 124. Dielectric layer 128 may be formed in a similar manner to dielectric layer 124, and may be formed of a material similar to that of dielectric layer 124.

그 다음, 금속화 패턴(130)이 형성된다. 금속화 패턴(130)은 유전체 층(128)의 주면 상에 이를 따라 연장하는 라인 부분을 포함한다. 금속화 패턴(130)은 금속화 패턴(126)을 물리적으로 그리고 전기적으로 커플링하도록 유전체 층(128)을 통해 연장하는 비아 부분을 더 포함한다. 금속화 패턴(130)은 금속화 패턴(126)과 유사한 방식으로 형성될 수 있고, 금속화 패턴(126)의 재료와 유사한 재료로 형성될 수 있다. 일부 실시예에서, 금속화 패턴(130)은 금속화 패턴(126)과는 상이한 크기를 갖는다. 예를 들어, 금속화 패턴(130)의 전도성 라인 및/또는 비아는 금속화 패턴(126)의 전도성 라인 및/또는 비아보다 더 넓거나 더 두꺼울 수 있다. 또한, 금속화 패턴(130)은 금속화 패턴(126)보다 더 큰 피치로 형성될 수 있다. Then, a metallization pattern 130 is formed. The metallization pattern 130 includes a line portion extending along it on the main surface of the dielectric layer 128. The metallization pattern 130 further includes a via portion extending through the dielectric layer 128 to physically and electrically couple the metallization pattern 126. The metallization pattern 130 may be formed in a manner similar to the metallization pattern 126, and may be formed of a material similar to the material of the metallization pattern 126. In some embodiments, metallization pattern 130 has a different size than metallization pattern 126. For example, the conductive lines and/or vias of the metallization pattern 130 may be wider or thicker than the conductive lines and/or vias of the metallization pattern 126. Further, the metallization pattern 130 may be formed at a larger pitch than the metallization pattern 126.

도 10에서, 유전체 층(132)이 금속화 패턴(130) 및 유전체 층(128) 상에 퇴적된다. 유전체 층(132)은 유전체 층(124)과 유사한 방식으로 형성될 수 있고, 유전체 층(124)의 재료와 유사한 재료로 형성될 수 있다.In FIG. 10, dielectric layer 132 is deposited over metallization pattern 130 and dielectric layer 128. Dielectric layer 132 may be formed in a similar manner to dielectric layer 124 and may be formed of a material similar to that of dielectric layer 124.

그 다음, 금속화 패턴(134)이 형성된다. 금속화 패턴(134)은 유전체 층(132)의 주면 상에 이를 따라 연장하는 라인 부분을 포함한다. 금속화 패턴(134)은 금속화 패턴(130)을 물리적으로 그리고 전기적으로 커플링하도록 유전체 층(132)을 통해 연장하는 비아 부분을 더 포함한다. 금속화 패턴(134)은 금속화 패턴(126)과 유사한 방식으로 형성될 수 있고, 금속화 패턴(126)의 재료와 유사한 재료로 형성될 수 있다. 금속화 패턴(134)은 전면 재배선 구조물(122)의 최상부 금속화 패턴이다. 그리하여, 전면 재배선 구조물(122)의 모든 중간 금속화 패턴(예컨대, 금속화 패턴(126 및 130))은 금속화 패턴(134)과 IPD(50A), 이산 수동 소자(50B), 및 능동 소자 다이(50C) 사이에 배치된다. 일부 실시예에서, 금속화 패턴(134)은 금속화 패턴(126 및 130)과는 상이한 크기를 갖는다. 예를 들어, 금속화 패턴(134)의 전도성 라인 및/또는 비아는 금속화 패턴(126 및 130)의 전도성 라인 및/또는 비아보다 더 넓거나 더 두꺼울 수 있다. 또한, 금속화 패턴(134)은 금속화 패턴(130)보다 더 큰 피치로 형성될 수 있다.Then, a metallization pattern 134 is formed. The metallization pattern 134 includes a line portion extending along it on the major surface of the dielectric layer 132. The metallization pattern 134 further includes a via portion extending through the dielectric layer 132 to physically and electrically couple the metallization pattern 130. The metallization pattern 134 may be formed in a manner similar to the metallization pattern 126, and may be formed of a material similar to the material of the metallization pattern 126. The metallization pattern 134 is the top metallization pattern of the front redistribution structure 122. Thus, all intermediate metallization patterns of front redistribution structure 122 (eg, metallization patterns 126 and 130) are metallization pattern 134 and IPD 50A, discrete passive elements 50B, and active elements. It is disposed between the dies 50C. In some embodiments, metallization pattern 134 has a different size than metallization patterns 126 and 130. For example, the conductive lines and/or vias of the metallization pattern 134 may be wider or thicker than the conductive lines and/or vias of the metallization patterns 126 and 130. Also, the metallization pattern 134 may be formed at a larger pitch than the metallization pattern 130.

도 11에서, 유전체 층(136)이 금속화 패턴(134) 및 유전체 층(132) 상에 퇴적된다. 유전체 층(136)은 유전체 층(124)과 유사한 방식으로 형성될 수 있고, 유전체 층(124)의 재료와 유사한 재료로 형성될 수 있다. 유전체 층(136)은 전면 재배선 구조물(122)의 최상부 유전체 층이다. 그리하여, 전면 재배선 구조물(122)의 모든 금속화 패턴(예컨대, 금속화 패턴(126, 130 및 134))은 유전체 층(136)과 IPD(50A), 이산 수동 소자(50B), 및 능동 소자 다이(50C) 사이에 배치된다. 또한, 전면 재배선 구조물(122)의 모든 중간 유전체 층(예컨대, 유전체 층(124, 128, 132))은 유전체 층(136)과 IPD(50A), 이산 수동 소자(50B), 및 능동 소자 다이(50C) 사이에 배치된다.In FIG. 11, dielectric layer 136 is deposited over metallization pattern 134 and dielectric layer 132. Dielectric layer 136 may be formed in a similar manner to dielectric layer 124 and may be formed of a material similar to that of dielectric layer 124. Dielectric layer 136 is the top dielectric layer of front redistribution structure 122. Thus, all metallization patterns of front redistribution structure 122 (eg, metallization patterns 126, 130, and 134) include dielectric layer 136 and IPD 50A, discrete passive elements 50B, and active elements. It is disposed between the dies 50C. In addition, all intermediate dielectric layers of front redistribution structure 122 (e.g., dielectric layers 124, 128, 132) include dielectric layer 136 and IPD 50A, discrete passive elements 50B, and active element die. (50C).

도 12에서, 전면 재배선 구조물(122)에의 외부 접속을 위해 UBM(under bump metallurgies)(138)이 형성된다. UBM(138)은 유전체 층(136)의 주면 상에 이를 따라 연장하는 범프 부분을 가지며, 금속화 패턴(134)에 물리적으로 그리고 전기적으로 커플링하도록 유전체 층(136)을 통해 연장하는 비아 부분을 갖는다. 그 결과, UBM(138)은 쓰루 비아(116)와 IPD(50A), 이산 수동 소자(50B), 및 능동 소자 다이(50C)에 전기적으로 커플링된다. UBM(138)은 금속화 패턴(126)과 동일한 재료로 형성될 수 있다. 일부 실시예에서, UBM(138)은 금속화 패턴(126, 130 및 134)과는 상이한 크기를 가질 수 있다. In FIG. 12, under bump metallurgies (UBM) 138 are formed for external connection to the front redistribution structure 122. The UBM 138 has a bump portion extending along it on the major surface of the dielectric layer 136, and a via portion extending through the dielectric layer 136 to physically and electrically couple to the metallization pattern 134. Have As a result, UBM 138 is electrically coupled to through via 116 and IPD 50A, discrete passive element 50B, and active element die 50C. The UBM 138 may be formed of the same material as the metallization pattern 126. In some embodiments, UBM 138 may have a different size than metallization patterns 126, 130 and 134.

도 13에서, 전도성 커넥터(150)가 UBM(138) 상에 형성된다. 전도성 커넥터(150)는 볼 그리드 어레이(BGA; ball grid array) 커넥터, 솔더 볼, 금속 필라, C4(controlled collapse chip connection) 범프, 마이크로 범프, ENEPIG(electroless nickel-electroless palladium-immersion gold technique) 형성된 범프 등일 수 있다. 전도성 커넥터(150)는 솔더, 구리, 알루미늄, 금, 니켈, 은, 팔라듐, 주석 등, 또는 이들의 조합과 같은 전도성 재료를 포함할 수 있다. 일부 실시예에서, 전도성 커넥터(150)는, 증발, 전해도금, 인쇄, 솔더 전사, 볼 배치 등을 통해 처음에 솔더 층을 형성함으로써 형성된다. 솔더 층이 구조물 상에 형성되었다면, 재료를 원하는 범프 형상으로 형상화하기 위하여 리플로우가 수행될 수 있다. 또다른 실시예에서, 전도성 커넥터(150)는 스퍼터링, 인쇄, 전해 도금, 무전해 도금, CVD 등에 의해 형성된 금속 필라(예컨대 구리 필라)를 포함한다. 금속 필라는 무연일 수 있고 실질적으로 수직인 측벽을 가질 수 있다. 일부 실시예에서, 금속 캡 층이 금속 필라의 상부 상에 형성된다. 금속 캡 층은 니켈, 주석, 주석-납, 금, 은, 팔라듐, 인듐, 니켈-팔라듐-금, 니켈-금 등, 또는 이들의 조합을 포함할 수 있고, 도금 프로세스에 의해 형성될 수 있다.In FIG. 13, a conductive connector 150 is formed on the UBM 138. The conductive connector 150 includes a ball grid array (BGA) connector, a solder ball, a metal pillar, a controlled collapse chip connection (C4) bump, a micro bump, an electroless nickel-electroless palladium-immersion gold technique (ENEPIG) formed bump Etc. The conductive connector 150 may include a conductive material such as solder, copper, aluminum, gold, nickel, silver, palladium, tin, or a combination thereof. In some embodiments, the conductive connector 150 is formed by first forming a solder layer through evaporation, electroplating, printing, solder transfer, ball placement, and the like. If a solder layer has been formed on the structure, reflow can be performed to shape the material into a desired bump shape. In another embodiment, the conductive connector 150 includes a metal pillar (eg, copper pillar) formed by sputtering, printing, electrolytic plating, electroless plating, CVD, or the like. The metal pillars can be lead-free and have substantially vertical sidewalls. In some embodiments, a metal cap layer is formed on top of the metal pillar. The metal cap layer may include nickel, tin, tin-lead, gold, silver, palladium, indium, nickel-palladium-gold, nickel-gold, or the like, or a combination thereof, and may be formed by a plating process.

도 14에서, 도 13의 구조물이 플립되어 테이프(149) 상에 배치되며, 캐리어 기판(102)이 배면 재배선 구조물(106), 예컨대 유전체 층(108)으로부터 본딩 분리된다(de-bonded). 일부 실시예에 따르면, 본딩 분리는, 이형 층(104)이 광의 열 하에 분해되어 캐리어 기판(102)이 제거될 수 있도록, 이형 층(104) 상에 레이저 광 또는 UV 광과 같은 광을 투사하는 것을 포함한다. 그 다음, 구조물이 플립되어 테이프(149) 상에 배치된다.In FIG. 14, the structure of FIG. 13 is flipped and placed on tape 149, and carrier substrate 102 is de-bonded from back redistribution structure 106, such as dielectric layer 108. According to some embodiments, bonding separation projects light, such as laser light or UV light, onto the release layer 104 so that the release layer 104 can be decomposed under the heat of light to remove the carrier substrate 102. Includes. The structure is then flipped and placed on tape 149.

도 14에서 또한, 전면 재배선 구조물(122)은 프리컷(pre-cut)될 수 있다. 전면 재배선 구조물(122)에 리세스(별도로 예시되지 않음)를 형성하도록 컷팅 장치가 제1 패키지 영역(101A)과 제2 패키지 영역(101B) 사이의 스크라이브 라인 영역에서 전면 재배선 구조물(122)을 부분적으로 컷팅할 수 있다. 일부 실시예에서, 프리컷 프로세스를 위한 컷팅 장치는 레이저이다. 프리컷 프로세스는 후속 개별화 프로세스(예컨대, 도 16a 내지 도 16c 참조) 동안 전면 재배선 구조물(122) 및 그 층들의 박리를 막을 수 있다. Also in FIG. 14, the front redistribution structure 122 may be pre-cut. The front redistribution structure 122 in the scribe line area between the first package area 101A and the second package area 101B so that the cutting device forms a recess (not separately illustrated) in the front redistribution structure 122. Can be partially cut. In some embodiments, the cutting device for the precut process is a laser. The precut process can prevent peeling of the front redistribution structure 122 and its layers during subsequent individualization processes (see, eg, FIGS. 16A-16C ).

도 15에서, 금속화 패턴(110)의 일부를 노출시키도록 개구(151)가 유전체 층(108)을 통해 형성된다. 개구(151)는 예를 들어 레이저 드릴링, 에칭 등을 사용하여 형성될 수 있다.In FIG. 15, opening 151 is formed through dielectric layer 108 to expose a portion of metallization pattern 110. The opening 151 may be formed using, for example, laser drilling or etching.

도 16a 내지 도 16c에서, 제1 패키지 영역(101A) 및 제2 패키지 영역(101B)에 제1 패키지 컴포넌트(100)를 형성하도록 다양한 외부 커넥터가 개구(151)에 형성된다. 도 16a에서, 전도성 커넥터(152)가 개구(151)에서의 금속화 패턴(110) 상에 형성되며, 제1 패키지 영역(101A) 및 제2 패키지 영역(101B)에 제1 패키지 컴포넌트(100A)를 형성한다. 전도성 커넥터(152)는 볼 그리드 어레이(BGA; ball grid array) 커넥터, 솔더 볼, 금속 필라, C4(controlled collapse chip connection) 범프, 마이크로 범프, ENEPIG(electroless nickel-electroless palladium-immersion gold technique) 형성된 범프 등일 수 있다. 전도성 커넥터(152)는 솔더, 구리, 알루미늄, 금, 니켈, 은, 팔라듐, 주석 등, 또는 이들의 조합과 같은 전도성 재료를 포함할 수 있다. 일부 실시예에서, 전도성 커넥터(152)는, 증발, 전해도금, 인쇄, 솔더 전사, 볼 배치 등과 같은 이러한 일반적으로 사용되는 방법을 통해 처음에 솔더 층을 형성함으로써 형성된다. 솔더 층이 구조물 상에 형성되었다면, 재료를 원하는 범프 형상으로 형상화하기 위하여 리플로우가 수행될 수 있다.16A to 16C, various external connectors are formed in the opening 151 to form the first package component 100 in the first package area 101A and the second package area 101B. In FIG. 16A, a conductive connector 152 is formed on the metallization pattern 110 in the opening 151, and the first package component 100A in the first package region 101A and the second package region 101B. To form. The conductive connector 152 includes a ball grid array (BGA) connector, a solder ball, a metal pillar, a controlled collapse chip connection (C4) bump, a micro bump, an electroless nickel-electroless palladium-immersion gold technique (ENEPIG) formed bump Etc. The conductive connector 152 may include a conductive material such as solder, copper, aluminum, gold, nickel, silver, palladium, tin, or the like, or a combination thereof. In some embodiments, the conductive connector 152 is formed by initially forming a solder layer through this commonly used method such as evaporation, electroplating, printing, solder transfer, ball placement, and the like. If a solder layer has been formed on the structure, reflow can be performed to shape the material into a desired bump shape.

도 16b에서, 패드(154)가 개구(151)에서의 금속화 패턴(110) 상에 형성되며, 제1 패키지 영역(101A) 및 제2 패키지 영역(101B)에 제1 패키지 컴포넌트(100B)를 형성한다. 패드(154)는 전도성 커넥터(156)에 커플링하는데 사용되며, UBM(154)으로 지칭될 수 있다. 예시된 실시예에서, 패드(154)는 유전체 층(108)을 통해 금속화 패턴(110)으로 통하는 개구(151)에 형성된다. In FIG. 16B, a pad 154 is formed on the metallization pattern 110 in the opening 151, and the first package component 100B is applied to the first package area 101A and the second package area 101B. To form. The pad 154 is used to couple to the conductive connector 156 and may be referred to as UBM 154. In the illustrated embodiment, a pad 154 is formed in the opening 151 through the dielectric layer 108 to the metallization pattern 110.

예로서, 패드(154)는, 먼저 유전체 층(108) 위에 그리고 유전체 층(108)을 통해 연장하는 개구(151)에 시드 층을 형성함으로써 형성될 수 있다. 일부 실시예에서, 시드 층은, 단일 층 또는 상이한 재료로 형성된 복수의 서브층을 포함하는 복합층일 수 있는 금속 층이다. 일부 실시예에서, 시드 층은 티타늄 층 및 티타늄 층 위의 구리 층을 포함한다. 시드 층은 예를 들어 PVD 등을 사용하여 형성될 수 있다. 그 다음, 시드 층 상에 포토레지스트가 형성되어 패터닝된다. 포토레지스트는 스핀 코팅 등에 의해 형성될 수 있고, 패터닝을 위해 광에 노출될 수 있다. 포토레지스트의 패턴은 패드(154)에 대응한다. 패터닝은 시드 층을 노출시키도록 포토레지스트를 통해 개구를 형성한다. 그 다음, 전도성 재료가 포토레지스트의 개구에 그리고 시드 층의 노출된 부분 상에 형성된다. 전도성 재료는 전해도금 또는 무전해 도금 등과 같은 도금에 의해 형성될 수 있다. 전도성 재료는 구리, 티타늄, 텅스텐, 알루미늄 등과 같은 금속을 포함할 수 있다. 일부 실시예에서, 패드(154)는 무전해 니켈, 무전해 팔라듐, ENEPIG(immersion gold), 무전해 니켈, ENIG(immersion gold) 등과 같은 합금을 포함할 수 있다. 전도성 재료 및 시드 층의 아래 부분의 조합이 패드(154)를 형성한다. 전도성 재료가 위에 형성되지 않은 시드 층의 부분 및 포토레지스트가 제거된다. 포토레지스트는 수락가능한 애싱 또는 스트립핑 프로세스에 의해, 예컨대 산소 플라즈마 등을 사용하여 제거될 수 있다. 포토레지스트가 제거되면, 시드 층의 노출된 부분은 습식 또는 건식 에칭과 같은 수락가능한 에칭 프로세스를 사용하여 제거된다.As an example, pad 154 may be formed by first forming a seed layer over dielectric layer 108 and in opening 151 extending through dielectric layer 108. In some embodiments, the seed layer is a metal layer, which can be a single layer or a composite layer comprising a plurality of sub-layers formed of different materials. In some embodiments, the seed layer includes a titanium layer and a copper layer over the titanium layer. The seed layer can be formed, for example, using PVD or the like. Then, a photoresist is formed on the seed layer and patterned. The photoresist can be formed by spin coating or the like, and can be exposed to light for patterning. The pattern of photoresist corresponds to pad 154. Patterning forms openings through the photoresist to expose the seed layer. Then, a conductive material is formed in the opening of the photoresist and on the exposed portion of the seed layer. The conductive material can be formed by plating, such as electroplating or electroless plating. The conductive material can include metals such as copper, titanium, tungsten, aluminum, and the like. In some embodiments, the pad 154 may include alloys such as electroless nickel, electroless palladium, immersion gold (ENEPIG), electroless nickel, immersion gold (ENIG), and the like. The combination of the conductive material and the bottom portion of the seed layer forms the pad 154. Portions of the seed layer and photoresist on which the conductive material is not formed are removed. The photoresist can be removed by an acceptable ashing or stripping process, such as using oxygen plasma or the like. When the photoresist is removed, the exposed portion of the seed layer is removed using an acceptable etching process such as wet or dry etching.

다음으로, 전도성 커넥터(156)가 패드(154) 위에 형성된다. 전도성 커넥터(156)는 전도성 커넥터(152)와 유사한 방식으로 형성될 수 있고, 전도성 커넥터(152)의 재료와 유사한 재료로 형성될 수 있다.Next, a conductive connector 156 is formed over the pad 154. The conductive connector 156 may be formed in a similar manner to the conductive connector 152, and may be formed of a material similar to that of the conductive connector 152.

도 16c는, 전도성 커넥터가 패드(154) 위에 형성되지 않고서 패드(154)가 형성되며, 제1 패키지 영역(101A) 및 제2 패키지 영역(101B)에 제1 패키지 컴포넌트(100C)를 형성하는 실시예를 예시한다. 패드(154)는 도 16b에 관련하여 설명된 바와 유사한 방식으로 그리고 재료로 형성될 수 있다. 16C shows that the pad 154 is formed without the conductive connector being formed on the pad 154, and the first package component 100C is formed in the first package region 101A and the second package region 101B. Illustrate an example. The pad 154 can be formed of a material and in a similar manner as described with respect to FIG. 16B.

도 17a 내지 도 17c에서, 모듈(160)이 배면 재배선 구조물(106)에 본딩된다. 모듈(160)은 전원 공급 모듈, 메모리 모듈, 전압 레귤레이터 모듈, IPD(integrated passive device) 모듈 등일 수 있다. 일부 실시예에서, 모듈(160)은 플립 칩 본딩, 와이어 본딩 등을 포함할 수 있다. 모듈(160)은 CSP(chip-scale packages), MCM(multi-chip modules) 등일 수 있다. 모듈(160)은 일부 실시예에 따라 이산 집적 회로 및 수동 소자를 포함하는 PCB 모듈일 수 있다. In FIGS. 17A-C, module 160 is bonded to rear redistribution structure 106. The module 160 may be a power supply module, a memory module, a voltage regulator module, or an integrated passive device (IPD) module. In some embodiments, module 160 may include flip chip bonding, wire bonding, and the like. The module 160 may be chip-scale packages (CSP), multi-chip modules (MCM), or the like. The module 160 may be a PCB module including discrete integrated circuits and passive elements according to some embodiments.

도 17a는 도 16a에 예시된 제1 패키지 컴포넌트(100A)에 본딩된 모듈(160A)을 예시한다. 도 17a에 예시된 바와 같이, 모듈(160A)은 패드(162)를 포함할 수 있으며, 패드(162) 상에 전도성 커넥터(158)가 형성된다. 패드(162)는 패드(154)와 유사한 방식으로 형성될 수 있고, 패드(154)의 재료와 유사한 재료로 형성될 수 있다. 전도성 커넥터(158)는 전도성 커넥터(152)와 유사한 방식으로 형성될 수 있고, 전도성 커넥터(152)의 재료와 유사한 재료로 형성될 수 있다. 모듈(160A)은 픽앤플레이스(pick and place) 머신 등을 사용하여 제1 패키지 영역(101A) 및 제2 패키지 영역(101B)에 배치될 수 있다. 모듈(160A)이 배치되면, 전도성 커넥터(152) 및 전도성 커넥터(158)는 모듈(160A)을 배면 재배선 구조물(106)에 본딩하도록 리플로우될 수 있다. 17A illustrates the module 160A bonded to the first package component 100A illustrated in FIG. 16A. As illustrated in FIG. 17A, module 160A may include pad 162, and conductive connector 158 is formed on pad 162. The pad 162 may be formed in a manner similar to the pad 154, and may be formed of a material similar to the material of the pad 154. The conductive connector 158 may be formed in a similar manner to the conductive connector 152, and may be formed of a material similar to that of the conductive connector 152. The module 160A may be disposed in the first package area 101A and the second package area 101B using a pick and place machine or the like. Once the module 160A is placed, the conductive connector 152 and the conductive connector 158 can be reflowed to bond the module 160A to the rearrange redistribution structure 106.

모듈(160A)과 배면 재배선 구조물(106) 사이의 갭을 채우도록 언더필(underfill)(164)이 형성될 수 있다. 언더필(164)은 모듈(160A)이 부착된 후에 모세관 플로우 프로세스(capillary flow process)에 의해 형성될 수 있고, 또는 모듈(160A)이 부착되기 전에 적합한 퇴적 방법에 의해 형성될 수 있다. An underfill 164 may be formed to fill the gap between module 160A and back redistribution structure 106. The underfill 164 may be formed by a capillary flow process after the module 160A is attached, or may be formed by a suitable deposition method before the module 160A is attached.

도 17b는 도 16b에 예시된 제1 패키지 컴포넌트(100B)에 본딩된 모듈(160A)을 예시한다. 패드(162)는 패드(154)와 유사한 방식으로 형성될 수 있고, 패드(154)의 재료와 유사한 재료로 형성될 수 있다. 모듈(160A)은 픽앤플레이스 머신 등을 사용하여 제1 패키지 영역(101A) 및 제2 패키지 영역(101B)에 배치될 수 있다. 모듈(160A)이 배치되면, 전도성 커넥터(152)는 배면 재배선 구조물(106)에 모듈(160A)을 본딩하도록 리플로우될 수 있다. 17B illustrates a module 160A bonded to the first package component 100B illustrated in FIG. 16B. The pad 162 may be formed in a manner similar to the pad 154, and may be formed of a material similar to the material of the pad 154. The module 160A may be disposed in the first package area 101A and the second package area 101B using a pick-and-place machine or the like. Once the module 160A is placed, the conductive connector 152 can be reflowed to bond the module 160A to the backside redistribution structure 106.

모듈(160A)과 배면 재배선 구조물(106) 사이의 갭을 채우도록 언더필(164)이 형성될 수 있다. 언더필(164)은 모듈(160A)이 부착된 후에 모세관 플로우 프로세스에 의해 형성될 수 있고, 또는 모듈(160A)이 부착되기 전에 적합한 퇴적 방법에 의해 형성될 수 있다.An underfill 164 may be formed to fill the gap between the module 160A and the back redistribution structure 106. The underfill 164 may be formed by a capillary flow process after module 160A is attached, or it may be formed by a suitable deposition method before module 160A is attached.

도 17c는 도 16c에 예시된 제1 패키지 컴포넌트(100C)에 전기적으로 커플링된 모듈(160B)을 예시한다. 도 17c에 예시된 바와 같이, 모듈(160B)은 스프링 타입 콘택(spring-type contact)(166)을 포함할 수 있다. 모듈(160B)은 스프링 타입 콘택(166)이 패드(154) 상에 배치되도록 픽앤플레이스 머신 등을 사용하여 제1 패키지 컴포넌트(100C) 위에 배치될 수 있다. 그 다음, 모듈(160B)은 도 30 및 도 32에 관련하여 아래에 설명되는 패스너(fastener)(450)와 같은 패스너를 사용하여 제1 패키지 컴포넌트(100B)에 부착될 수 있다. 스프링 타입 콘택(166)은 구리, 구리 합금, 도금된 베릴륨 구리(예컨대, 니켈(Ni)로 도금된 다음 금(Au)으로 도금된 베릴륨 구리(BeCu)), 이들의 조합 등과 같은 재료로 형성될 수 있다. 스프링 타입 콘택(166)은 냉간 성형 프로세스 등에 의해 형성될 수 있다. 스프링 타입 콘택(166)을 포함하는 것은, 본딩 또는 결합 프로세스를 요구하지 않으면서 모듈(160B)이 제1 패키지 컴포넌트(100C)에 기계적으로 패스닝될(fastened) 수 있게 해주며, 모듈(160B)이 쉽게 교체될 수 있게 해준다. 도 17c에 예시된 바와 같이, 스프링 타입 콘택(166)이 모듈(160B)을 배면 재배선 구조물(106)에 부착시키는데 사용되는 실시예에서, 언더필 재료가 모듈(160B)과 배면 재배선 구조물(106) 사이에 포함되지 않을 수 있다. 17C illustrates the module 160B electrically coupled to the first package component 100C illustrated in FIG. 16C. As illustrated in FIG. 17C, module 160B may include a spring-type contact 166. The module 160B may be disposed on the first package component 100C using a pick and place machine or the like such that the spring-type contact 166 is disposed on the pad 154. The module 160B can then be attached to the first package component 100B using a fastener, such as a fastener 450 described below with respect to FIGS. 30 and 32. The spring-type contact 166 may be formed of a material such as copper, copper alloy, plated beryllium copper (e.g., beryllium copper (BeCu) plated with nickel (Ni) and then plated with gold (Au)), combinations thereof, and the like. Can be. The spring type contact 166 can be formed by a cold forming process or the like. Including the spring-type contact 166 allows the module 160B to be mechanically fastened to the first package component 100C without requiring a bonding or bonding process, and the module 160B This makes it easy to replace. As illustrated in FIG. 17C, in an embodiment where a spring-type contact 166 is used to attach the module 160B to the back redistribution structure 106, the underfill material is the module 160B and the back redistribution structure 106. ).

도 18a 내지 도 18e에서, 제1 패키지 영역(101A) 및 제2 패키지 영역(101B)에서의 제1 패키지 컴포넌트(100)가 개별화되고, 부착된 모듈(160)이 있거나 없는 제1 패키지 컴포넌트(100)의 각각이 트레이(170)에 배치된다. 제1 패키지 컴포넌트(100)는 쏘잉, 레이저 드릴링 등과 같은 다이싱 프로세스를 사용하여 개별화될 수 있다. 도 18a 내지 도 18e에 예시된 바와 같이, 제1 패키지 컴포넌트(100)는 전면 재배선 구조물(122), 봉지된 다이(50), 배면 재배선 구조물(106) 및 임의의 전도성 커넥터(152), 패드(154) 및 전도성 커넥터(156)를 포함한다. 제1 패키지(200)는 제1 패키지 컴포넌트(100)에 본딩된 모듈(160)을 포함한다. 제1 패키지 컴포넌트(100)가 개별화된 후에, 제1 패키지(200)의 각각이 테이프(149)로부터 제거되어 트레이(170) 안에 배치된다. 트레이(170)는, 제1 패키지(200)가 후속 3D 패키징 프로세스(예컨대, 도 25에 예시되는, 제1 패키지(200)를 SoW 패키지(400)에 부착시키는데 사용되는 프로세스) 동안 배치될 때까지 제1 패키지(200)에 보호를 제공하도록 사용될 수 있다. 트레이(170)에 제1 패키지(200)를 배치하는 것은 본 출원의 방법에 의해 생산된 패키지의 신뢰성 및 수율을 개선할 수 있다. 18A to 18E, the first package component 100 in the first package region 101A and the second package region 101B is individualized, and the first package component 100 with or without the attached module 160 is shown. ) Are disposed in the tray 170. The first package component 100 can be individualized using a dicing process such as sawing, laser drilling, and the like. 18A-18E, the first package component 100 includes a front redistribution structure 122, an encapsulated die 50, a back redistribution structure 106, and optional conductive connectors 152, Pad 154 and a conductive connector 156. The first package 200 includes a module 160 bonded to the first package component 100. After the first package component 100 is individualized, each of the first packages 200 is removed from the tape 149 and placed in the tray 170. The tray 170 remains until the first package 200 is placed during a subsequent 3D packaging process (eg, the process used to attach the first package 200 to the SoW package 400, illustrated in FIG. 25 ). It can be used to provide protection to the first package 200. Placing the first package 200 in the tray 170 can improve the reliability and yield of the package produced by the method of the present application.

도 18a는 제1 패키지 컴포넌트(100A)에 본딩된 모듈(160A)을 포함하는 제1 패키지(200A)를 예시한다. 모듈(160A)은 전도성 커넥터(152)와 전도성 커넥터(158) 사이에 솔더 본드에 의해 제1 패키지 컴포넌트(100A)에 본딩된다. 모듈(160A)은 패드(162), 전도성 커넥터(158), 전도성 커넥터(152) 및 배면 재배선 구조물(106)을 통해 제1 패키지 컴포넌트(100A)의 다이(50)에 커플링된다. 도 18b는 제1 패키지 컴포넌트(100B)에 본딩된 모듈(160A)을 포함하는 제1 패키지(200B)를 예시한다. 모듈(160A)은 전도성 커넥터(156)와 패드(162) 사이에 솔더 본드에 의해 제1 패키지 컴포넌트(100B)에 본딩된다. 모듈(160A)은 패드(162), 전도성 커넥터(156), 패드(154) 및 배면 재배선 구조물(106)을 통해 제1 패키지 컴포넌트(100B)의 다이(50)에 커플링된다. 도 18c는 제1 패키지 컴포넌트(100C)에 본딩된 모듈(160B)을 포함하는 제1 패키지(200C)를 예시한다. 모듈(160B)은 패드(154) 상에 배치된 스프링 타입 콘택(166)으로 제1 패키지 컴포넌트(100C) 상에 배치된다. 도 30에 관련하여 기재되는 바와 같이, 그 후에 모듈(160b)은 기계적 브레이스(mechanical brace)(450A) 등을 사용하여 제1 패키지 컴포넌트(100C)에 고정될 수 있다. 모듈(160B)은 스프링 타입 콘택(166), 패드(154) 및 배면 재배선 구조물(106)을 통해 제1 패키지 컴포넌트(100C)의 다이(50)에 커플링된다. 도 18d는, 모듈(160)이 제1 패키지 컴포넌트(100C)에 부착되지 않고서 트레이(170)에 배치된, 위에 전도성 커넥터 없이 패드(154)를 포함하는, 개별화된 제1 패키지 컴포넌트(100C)를 예시한다. 18A illustrates a first package 200A that includes a module 160A bonded to a first package component 100A. The module 160A is bonded to the first package component 100A by a solder bond between the conductive connector 152 and the conductive connector 158. Module 160A is coupled to die 50 of first package component 100A through pad 162, conductive connector 158, conductive connector 152, and back redistribution structure 106. 18B illustrates a first package 200B that includes a module 160A bonded to the first package component 100B. The module 160A is bonded to the first package component 100B by solder bonding between the conductive connector 156 and the pad 162. Module 160A is coupled to die 50 of first package component 100B via pad 162, conductive connector 156, pad 154, and back redistribution structure 106. 18C illustrates the first package 200C including the module 160B bonded to the first package component 100C. The module 160B is disposed on the first package component 100C with a spring-type contact 166 disposed on the pad 154. As described with respect to FIG. 30, the module 160b may then be secured to the first package component 100C using a mechanical brace 450A or the like. Module 160B is coupled to die 50 of first package component 100C through spring-type contact 166, pad 154, and back redistribution structure 106. 18D shows the individualized first package component 100C, including the pad 154 without a conductive connector thereon, disposed on the tray 170 without the module 160 attached to the first package component 100C. For example.

도 18e는 제1 패키지 컴포넌트(100B)에 본딩된 모듈(160C)을 포함하는 제1 패키지(200D)를 예시한다. 모듈(160C)은 그의 표면과 같은 높이인 전도성 패드(별도로 예시되지 않음)를 포함할 수 있다. 전도성 커넥터(156)는 모듈(160C)의 전도성 패드에 본딩된다. 모듈(160C)은 도 17b에 관련하여 상기에 설명된, 제1 패키지 컴포넌트(100B)에 모듈(160A)을 본딩하는데 사용되는 프로세스와 유사한 프로세스를 사용하여 제1 패키지 컴포넌트(100B)에 본딩될 수 있다. 모듈(160C)은 전도성 커넥터(156), 패드(154) 및 배면 재배선 구조물(106)을 통해 제1 패키지 컴포넌트(100B)의 다이(50)에 커플링된다. 18E illustrates the first package 200D including the module 160C bonded to the first package component 100B. Module 160C may include a conductive pad (not illustrated separately) that is flush with its surface. The conductive connector 156 is bonded to the conductive pad of the module 160C. Module 160C may be bonded to first package component 100B using a process similar to the process used to bond module 160A to first package component 100B, described above with respect to FIG. 17B. have. Module 160C is coupled to die 50 of first package component 100B through conductive connector 156, pad 154, and back redistribution structure 106.

도 19 내지 도 24는 일부 실시예에 따라 SoW(system-on-wafer) 패키지(400A)를 형성하기 위한 프로세스 중의 중간 단계의 단면도들을 예시한다. 도 19에서, 캐리어 기판(402)이 제공되고, 집적 회로 다이(405)가 캐리어 기판(402)에 부착된다. 이형 층(404)이 캐리어 기판(402) 상에 형성될 수 있다. 캐리어 기판(402)은 유리 캐리어 기판, 세라믹 캐리어 기판 등일 수 있다. 캐리어 기판(402)은 웨이퍼일 수 있으며, 그리하여 복수의 패키지가 동시에 캐리어 기판(402) 상에 형성될 수 있다. 이형 층(404)은 나중의 단계에서 형성될 위의 구조물들로부터 캐리어 기판(402)과 함께 제거될 수 있다. 일부 실시예에서, 이형 층(404)은 임의의 적합한 접착제, 에폭시, DAF(die attach film) 등이고, 캐리어 기판(402)의 표면 위에 적용된다. 19-24 illustrate cross-sectional views of an intermediate step in a process for forming a system-on-wafer (SoW) package 400A in accordance with some embodiments. In FIG. 19, a carrier substrate 402 is provided, and an integrated circuit die 405 is attached to the carrier substrate 402. A release layer 404 can be formed on the carrier substrate 402. The carrier substrate 402 may be a glass carrier substrate, a ceramic carrier substrate, or the like. The carrier substrate 402 can be a wafer, so that multiple packages can be formed on the carrier substrate 402 simultaneously. The release layer 404 can be removed together with the carrier substrate 402 from the above structures to be formed in a later step. In some embodiments, release layer 404 is any suitable adhesive, epoxy, die attach film (DAF), or the like, and is applied over the surface of carrier substrate 402.

집적 회로 다이(405)는 이형 층(404)을 사용하여 캐리어 기판(402)에 부착된다. 원하는 타입 및 양의 집적 회로 다이(405)가 캐리어 기판(102)에 부착될 수 있다. 집적 회로 다이(405)는 능동 소자 다이(50C)와 동일하거나 유사할 수 있다. 예를 들어, 집적 회로 다이(405)의 각각은, 로직 다이(예컨대, CPU(central processing unit), GPU(graphics processing unit), SoC(system-on-a-chip), AP(application processor), 마이크로컨트롤러 등), 메모리 다이(예컨대, DRAM(dynamic random access memory) 다이, SRAM(static random access memory) 다이, HBM(high bandwidth memory) 다이 등), 입력/출력(I/O) 인터페이스 다이, 전력 관리 다이(예컨대, PMIC(power management integrated circuit) 다이 등), 무선 주파수(RF; radio frequency) 다이, 센서 다이, MEMS(micro-electro-mechanical-system) 다이, 신호 프로세싱 다이(예컨대, DSP(digital signal processing) 다이 등), 프론트 엔드 다이(예컨대, AFE(analog front-end) 다이 등), 등, 또는 이들의 조합일 수 있다.The integrated circuit die 405 is attached to the carrier substrate 402 using a release layer 404. The desired type and amount of integrated circuit die 405 can be attached to the carrier substrate 102. The integrated circuit die 405 can be the same or similar to the active device die 50C. For example, each of the integrated circuit die 405 includes a logic die (eg, a central processing unit (CPU), a graphics processing unit (GPU), a system-on-a-chip (SoC), an application processor (AP), Microcontroller, etc.), memory die (e.g., dynamic random access memory (DRAM) die, static random access memory (SRAM) die, high bandwidth memory (HBM) die, etc.), input/output (I/O) interface die, power Management die (eg, power management integrated circuit (PMIC) die, etc.), radio frequency (RF) die, sensor die, micro-electro-mechanical-system (MEMS) die, signal processing die (eg, digital (DSP) signal processing (die), etc.), front end die (eg, analog front-end (AFE) die, etc.), etc., or a combination thereof.

도 19에 예시된 바와 같이, 집적 회로 다이(405)는 능동 소자 다이(50C)와 동일한 컴포넌트를 포함할 수 있다. 예를 들어, 집적 회로 다이는, 반도체 기판(52C), 반도체 기판(52C) 상의 디바이스(54), 디바이스(54)를 둘러싸는 ILD(56C), ILD(56C) 위의 상호접속 구조물(60C), 상호접속 구조물(60C) 위의 패드(62C), 상호접속 구조물(60C) 및 패드(62C) 위의 패시베이션 막(64C), 패드(62C)에 커플링된 다이 커넥터(66C), 및 다이 커넥터(66C)를 둘러싸는 유전체 층(68C)을 포함할 수 있다. As illustrated in FIG. 19, the integrated circuit die 405 can include the same components as the active device die 50C. For example, the integrated circuit die includes a semiconductor substrate 52C, a device 54 on the semiconductor substrate 52C, an ILD 56C surrounding the device 54, and an interconnect structure 60C over the ILD 56C. , A pad 62C on the interconnect structure 60C, a passivation film 64C on the interconnect structure 60C and the pad 62C, a die connector 66C coupled to the pad 62C, and a die connector Dielectric layer 68C surrounding 66C.

도 20에서, 봉지재(406)가 이형 층(404) 위에 형성되며, 집적 회로 다이(405)를 둘러싼다. 형성 후에, 봉지재(406)는 집적 회로 다이(405)를 봉지한다. 봉지재(406)는 몰딩 컴파운드, 에폭시 등일 수 있고, 압축 몰딩, 트랜스퍼 몰딩 등에 의해 적용될 수 있다. 봉지재(406)는 액상으로 또는 준액상 형태로 적용되어 그 후에 경화될 수 있다. 일부 실시예에서, 봉지재(406)는 집적 회로 다이(405)가 매립되거나 덮이도록 캐리어 기판(402) 위에 형성되며, 그 다음 봉지재(406)에 대해 평탄화 프로세스가 수행된다. 평탄화 프로세스는 봉지재(406) 뿐만 아니라, 집적 회로 다이의 유전체 층(68C)을 평탄화할 수 있고, 집적 회로 다이(405)의 다이 커넥터(66C)를 노출시킬 수 있다. 봉지재(406), 다이 커넥터(66C), 및 유전체 층(68)의 최상부 표면은 평탄화 프로세스 후에 서로 같은 높이일 수 있다. 평탄화 프로세스는 예를 들어 화학 기계적 연마(CMP; chemical-mechanical polish)일 수 있다. In FIG. 20, encapsulant 406 is formed over release layer 404 and surrounds integrated circuit die 405. After formation, encapsulant 406 encapsulates integrated circuit die 405. The encapsulant 406 may be a molding compound, epoxy, or the like, and may be applied by compression molding, transfer molding, or the like. The encapsulant 406 may be applied in a liquid or semi-liquid form and then cured. In some embodiments, encapsulant 406 is formed over carrier substrate 402 such that integrated circuit die 405 is embedded or covered, and then a planarization process is performed on encapsulant 406. The planarization process can planarize the dielectric layer 68C of the integrated circuit die, as well as the encapsulant 406, and expose the die connector 66C of the integrated circuit die 405. The top surfaces of encapsulant 406, die connector 66C, and dielectric layer 68 may be flush with each other after the planarization process. The planarization process can be, for example, chemical-mechanical polish (CMP).

도 21 내지 도 23에서, 미세 특징부(fine-featured portion)(414) 및 대략 특징부(coarse-featured portion)(426)를 갖는 재배선 구조물(430)(도 23 참조)이 봉지재(406) 및 집적 회로 다이(405) 위에 형성된다. 재배선 구조물(430)은 금속화 패턴, 유전체 층, 및 UBM을 포함한다. 금속화 패턴은 또한 재배선 층 또는 재배선 라인으로도 지칭될 수 있다. 재배선 구조물(430)은 4개의 금속화 패턴 층을 갖는 예로서 도시되어 있다. 더 많거나 더 적은 유전체 층 및 금속화 패턴이 재배선 구조물(430)에 형성될 수 있다. 더 적은 유전체 층 및 금속화 패턴이 형성될 경우, 아래에 설명되는 단계 및 프로세스는 생략될 수 있다. 더 많은 유전체 층 및 금속화 패턴이 형성될 경우, 아래에 설명되는 단계 및 프로세스는 반복될 수 있다. 재배선 구조물(430)의 미세 특징부(414) 및 대략 특징부(426)는 상이한 크기의 금속화 패턴 및 유전체 층을 포함한다. In FIGS. 21-23, a redistribution structure 430 (see FIG. 23) having a fine-featured portion 414 and a coarse-featured portion 426 (see FIG. 23) is encapsulant 406 ) And an integrated circuit die 405. Redistribution structure 430 includes a metallization pattern, a dielectric layer, and UBM. The metallization pattern may also be referred to as a redistribution layer or redistribution line. Redistribution structure 430 is shown as an example with four metallization pattern layers. More or fewer dielectric layers and metallization patterns may be formed in the redistribution structure 430. If fewer dielectric layers and metallization patterns are formed, the steps and processes described below can be omitted. If more dielectric layers and metallization patterns are formed, the steps and processes described below can be repeated. The fine features 414 and roughly features 426 of the redistribution structure 430 include different sized metallization patterns and dielectric layers.

도 21에서, 재배선 구조물(430)의 미세 특징부(414)가 형성된다. 재배선 구조물(430)의 미세 특징부(414)는 유전체 층(408 및 411), 및 금속화 패턴(410 및 412)을 포함한다. 일부 실시예에서, 유전체 층(408 및 411)은 동일한 유전체 재료로 형성되고, 동일 두께로 형성된다. 마찬가지로, 일부 실시예에서, 금속화 패턴(410 및 412)의 전도성 특징부는 동일한 재료로 형성되고, 동일 두께로 형성된다. 구체적으로, 유전체 층(408 및 411)은 제1 두께 T1을 가지며, 이는 예컨대 약 5 μm 내지 약 40 μm 범위 내로 작고, 금속화 패턴(410 및 412)의 전도성 특징부는 제2 두께 T2를 가지며, 이는 약 1 μm 내지 약 25 μm 범위 내로 작다. In FIG. 21, fine features 414 of redistribution structure 430 are formed. The fine features 414 of the redistribution structure 430 include dielectric layers 408 and 411, and metallization patterns 410 and 412. In some embodiments, dielectric layers 408 and 411 are formed from the same dielectric material and are formed from the same thickness. Likewise, in some embodiments, the conductive features of metallization patterns 410 and 412 are formed of the same material and are formed of the same thickness. Specifically, the dielectric layers 408 and 411 have a first thickness T 1 , which is small, eg, in the range of about 5 μm to about 40 μm, and the conductive features of the metallization patterns 410 and 412 have a second thickness T 2 . And is small in the range of about 1 μm to about 25 μm.

재배선 구조물(430)의 미세 특징부(414)를 형성하는 예로서, 유전체 층(408)이 봉지재(406), 유전체 층(68C), 및 다이 커넥터(66C) 상에 퇴적된다. 일부 실시예에서, 유전체 층(408)은, 리소그래피 마스크를 사용하여 패터닝될 수 있는, PBO, 폴리이미드, BCB 등과 같은 감광 재료로 형성된다. 유전체 층(408)은 스핀코팅, 라미네이션, CVD 등, 또는 이들의 조합에 의해 형성될 수 있다. 그 다음, 유전체 층(408)이 패터닝된다. 패터닝은 다이 커넥터(66C)의 일부를 노출시키는 개구를 형성한다. 패터닝은 수락가능한 프로세스에 의해, 예컨대 유전체 층(408)이 감광 재료일 때 유전체 층(408)을 광에 노출시킴으로써 또는 예를 들어 이방성 에칭을 사용한 에칭에 의해 이루어질 수 있다. 유전체 층(408)이 감광 재료인 경우, 유전체 층(408)은 노출 후에 현상될 수 있다.As an example of forming the fine features 414 of the redistribution structure 430, a dielectric layer 408 is deposited over the encapsulant 406, dielectric layer 68C, and die connector 66C. In some embodiments, dielectric layer 408 is formed of a photosensitive material, such as PBO, polyimide, BCB, etc., that can be patterned using a lithography mask. Dielectric layer 408 may be formed by spin coating, lamination, CVD, or the like, or a combination thereof. The dielectric layer 408 is then patterned. The patterning forms an opening exposing a portion of the die connector 66C. Patterning can be accomplished by an acceptable process, such as by exposing the dielectric layer 408 to light when the dielectric layer 408 is a photosensitive material, or by etching using, for example, anisotropic etching. If the dielectric layer 408 is a photosensitive material, the dielectric layer 408 can be developed after exposure.

그 다음, 금속화 패턴(410)이 형성된다. 금속화 패턴(410)은 유전체 층(408)의 주면 상에 이를 따라 연장하는 라인 부분(전도성 라인 또는 트레이스로도 지칭됨)을 갖고, 집적 회로 다이(405)의 다이 커넥터(66C)에 물리적으로 그리고 전기적으로 커플링하도록 유전체 층(408)을 통해 연장하는 비아 부분(전도성 비아로도 지칭됨)을 갖는다. 예로서, 금속화 패턴(410)은, 유전체 층(408) 위에 그리고 유전체 층(408)을 통해 연장하는 개구에 시드 층을 형성함으로써 형성될 수 있다. 일부 실시예에서, 시드 층은, 단일 층 또는 상이한 재료로 형성된 복수의 서브층을 포함하는 복합층일 수 있는 금속 층이다. 일부 실시예에서, 시드 층은 티타늄 층 및 티타늄 층 위의 구리 층을 포함한다. 시드 층은 예를 들어 물리적 기상 증착(PVD) 등을 사용하여 형성될 수 있다. 그 다음, 시드 층 상에 포토레지스트가 형성되어 패터닝된다. 포토레지스트는 스핀 코팅 등에 의해 형성될 수 있고, 패터닝을 위해 광에 노출될 수 있다. 포토레지스트의 패턴은 금속화 패턴(410)에 대응한다. 패터닝은 시드 층을 노출시키도록 포토레지스트를 통해 개구를 형성한다. 그 다음, 전도성 재료가 포토레지스트의 개구에 그리고 시드 층의 노출된 부분 상에 형성된다. 전도성 재료는 전해도금 또는 무전해 도금 등과 같은 도금에 의해 형성될 수 있다. 전도성 재료는 구리, 티타늄, 텅스텐, 알루미늄 등과 같은 금속을 포함할 수 있다. 전도성 재료 및 시드 층의 아래 부분의 조합이 금속화 패턴(410)을 형성한다. 전도성 재료가 위에 형성되지 않은 시드 층의 부분 및 포토레지스트가 제거된다. 포토레지스트는 수락가능한 애싱 또는 스트립핑 프로세스에 의해, 예컨대 산소 플라즈마 등을 사용하여 제거될 수 있다. 포토레지스트가 제거되면, 시드 층의 노출된 부분은 습식 또는 건식 에칭과 같은 수락가능한 에칭 프로세스를 사용하여 제거된다.Then, a metallization pattern 410 is formed. The metallization pattern 410 has a line portion (also referred to as a conductive line or trace) extending along it on the major surface of the dielectric layer 408, and is physically attached to the die connector 66C of the integrated circuit die 405. And a via portion (also referred to as a conductive via) that extends through dielectric layer 408 to electrically couple. By way of example, metallization pattern 410 can be formed by forming a seed layer over dielectric layer 408 and in openings extending through dielectric layer 408. In some embodiments, the seed layer is a metal layer, which can be a single layer or a composite layer comprising a plurality of sub-layers formed of different materials. In some embodiments, the seed layer includes a titanium layer and a copper layer over the titanium layer. The seed layer can be formed, for example, using physical vapor deposition (PVD) or the like. Then, a photoresist is formed on the seed layer and patterned. The photoresist can be formed by spin coating or the like, and can be exposed to light for patterning. The pattern of the photoresist corresponds to the metallization pattern 410. Patterning forms openings through the photoresist to expose the seed layer. Then, a conductive material is formed in the opening of the photoresist and on the exposed portion of the seed layer. The conductive material can be formed by plating, such as electroplating or electroless plating. The conductive material can include metals such as copper, titanium, tungsten, aluminum, and the like. The combination of the conductive material and the bottom portion of the seed layer forms a metallization pattern 410. Portions of the seed layer and photoresist on which the conductive material is not formed are removed. The photoresist can be removed by an acceptable ashing or stripping process, such as using oxygen plasma or the like. When the photoresist is removed, the exposed portion of the seed layer is removed using an acceptable etching process such as wet or dry etching.

그 다음, 유전체 층(411)이 금속화 패턴(410) 및 유전체 층(408) 상에 퇴적된다. 유전체 층(411)은 유전체 층(408)과 유사한 방식으로 형성될 수 있고, 유전체 층(408)의 재료와 유사한 재료로 형성될 수 있다. 그 다음, 금속화 패턴(412)이 형성된다. 금속화 패턴(412)은 유전체 층(411)의 주면 상에 이를 따라 연장하는 라인 부분을 가지며, 금속화 패턴(410)에 물리적으로 그리고 전기적으로 커플링하도록 유전체 층(411)을 통해 연장하는 비아 부분을 갖는다. 금속화 패턴(412)은 금속화 패턴(410)과 유사한 방식으로 형성될 수 있고, 금속화 패턴(410)의 재료와 유사한 재료로 형성될 수 있다. 미세 특징부(414)는 2개의 유전체 층 및 2개의 금속화 패턴을 포함하는 것으로서 예시되어 있지만, 임의의 수의 유전체 층 및 금속화 패턴이 미세 특징부(414)에 형성될 수 있다. Then, a dielectric layer 411 is deposited over the metallization pattern 410 and the dielectric layer 408. Dielectric layer 411 may be formed in a similar manner to dielectric layer 408, and may be formed of a material similar to that of dielectric layer 408. Then, a metallization pattern 412 is formed. The metallization pattern 412 has a line portion extending along it on the major surface of the dielectric layer 411 and vias extending through the dielectric layer 411 to physically and electrically couple to the metallization pattern 410 Have a part. The metallization pattern 412 may be formed in a manner similar to the metallization pattern 410, and may be formed of a material similar to that of the metallization pattern 410. The fine features 414 are illustrated as including two dielectric layers and two metallization patterns, however any number of dielectric layers and metallization patterns can be formed in the fine features 414.

도 22에서, 재배선 구조물(430)의 대략 특징부(426)가 형성된다. 재배선 구조물(430)의 대략 특징부(426)는 유전체 층(416, 420 및 424), 및 금속화 패턴(418 및 422)을 포함한다. 일부 실시예에서, 유전체 층(416, 420 및 424)은 동일한 유전체 재료로 형성되고, 동일 두께로 형성된다. 마찬가지로, 일부 실시예에서, 금속화 패턴(418 및 422)의 전도성 특징부는 동일한 재료로 형성되고, 동일 두께로 형성된다. 구체적으로, 유전체 층(416, 420 및 424)은 제3 두께 T3을 가지며, 이는 예컨대 약 5 μm 내지 약 40 μm 범위 내로 크고, 금속화 패턴(418 및 422)의 전도성 특징부는 제4 두께 T4를 가지며, 이는 약 1 μm 내지 약 25 μm 범위 내로 크다. 다양한 실시예에서, 제3 두께 T3는 제1 두께 T1(도 21 참조)보다 더 클 수 있고, 제4 두께 T4는 제2 두께 T2(도 21 참조)보다 더 클 수 있다. In FIG. 22, roughly feature 426 of redistribution structure 430 is formed. Roughly feature 426 of redistribution structure 430 includes dielectric layers 416, 420 and 424, and metallization patterns 418 and 422. In some embodiments, dielectric layers 416, 420 and 424 are formed of the same dielectric material and are formed of the same thickness. Likewise, in some embodiments, the conductive features of the metallization patterns 418 and 422 are formed of the same material and are formed of the same thickness. Specifically, dielectric layers 416, 420, and 424 have a third thickness T 3 , which is large, for example, in the range of about 5 μm to about 40 μm, and the conductive features of the metallization patterns 418 and 422 have a fourth thickness T 4 , which is large in the range of about 1 μm to about 25 μm. In various embodiments, the third thickness T 3 may be greater than the first thickness T 1 (see FIG. 21 ), and the fourth thickness T 4 may be greater than the second thickness T 2 (see FIG. 21 ).

재배선 구조물(430)의 대략 특징부(426)를 형성하기 위해, 유전체 층(416)이 금속화 패턴(412) 및 유전체 층(411) 상에 퇴적될 수 있다. 유전체 층(416)은 유전체 층(408)과 유사한 방식으로 형성될 수 있고, 유전체 층(408)의 재료와 유사한 재료로 형성될 수 있다. 그 다음, 금속화 패턴(418)이 형성된다. 금속화 패턴(418)은 유전체 층(416)의 주면 상에 이를 따라 연장하는 라인 부분을 가지며, 금속화 패턴(412)에 물리적으로 그리고 전기적으로 커플링하도록 유전체 층(416)을 통해 연장하는 비아 부분을 갖는다. 금속화 패턴(418)은 금속화 패턴(410)과 유사한 방식으로 형성될 수 있고, 금속화 패턴(410)의 재료와 유사한 재료로 형성될 수 있다. Dielectric layer 416 may be deposited on metallization pattern 412 and dielectric layer 411 to form approximately features 426 of redistribution structure 430. Dielectric layer 416 may be formed in a similar manner to dielectric layer 408, and may be formed of a material similar to that of dielectric layer 408. Then, a metallization pattern 418 is formed. The metallization pattern 418 has a line portion extending along it on the major surface of the dielectric layer 416 and vias extending through the dielectric layer 416 to physically and electrically couple to the metallization pattern 412. Have a part. The metallization pattern 418 may be formed in a manner similar to the metallization pattern 410, and may be formed of a material similar to the material of the metallization pattern 410.

그 다음, 유전체 층(420)이 금속화 패턴(418) 및 유전체 층(416) 상에 퇴적된다. 유전체 층(420)은 유전체 층(408)과 유사한 방식으로 형성될 수 있고, 유전체 층(408)의 재료와 유사한 재료로 형성될 수 있다. 그 다음, 금속화 패턴(422)이 형성된다. 금속화 패턴(422)은 유전체 층(420)의 주면 상에 이를 따라 연장하는 라인 부분을 가지며, 금속화 패턴(418)에 물리적으로 그리고 전기적으로 커플링하도록 유전체 층(420)을 통해 연장하는 비아 부분을 갖는다. 금속화 패턴(422)은 금속화 패턴(410)과 유사한 방식으로 형성될 수 있고, 금속화 패턴(410)의 재료와 유사한 재료로 형성될 수 있다. Dielectric layer 420 is then deposited on metallization pattern 418 and dielectric layer 416. Dielectric layer 420 may be formed in a similar manner to dielectric layer 408, and may be formed of a material similar to that of dielectric layer 408. Then, a metallization pattern 422 is formed. The metallization pattern 422 has a line portion extending along it on the major surface of the dielectric layer 420 and vias extending through the dielectric layer 420 to physically and electrically couple to the metallization pattern 418. Have a part. The metallization pattern 422 may be formed in a manner similar to the metallization pattern 410, and may be formed of a material similar to the material of the metallization pattern 410.

그 다음, 유전체 층(424)이 금속화 패턴(422) 및 유전체 층(420) 상에 퇴적된다. 유전체 층(424)은 유전체 층(408)과 유사한 방식으로 형성될 수 있고, 유전체 층(408)의 재료와 유사한 재료로 형성될 수 있다. 대략 특징부(426)는 3개의 유전체 층 및 2개의 금속화 패턴을 포함하는 것으로서 예시되어 있지만, 임의의 수의 유전체 층 및 금속화 패턴이 대략 특징부(426)에 형성될 수 있다. 일부 실시예에서, 미세 특징부(414) 및 대략 특징부(426)는 각각 3개의 유전체 층 및 3개의 금속화 패턴을 포함할 수 있다. A dielectric layer 424 is then deposited on the metallization pattern 422 and dielectric layer 420. Dielectric layer 424 may be formed in a similar manner to dielectric layer 408, and may be formed of a material similar to that of dielectric layer 408. Although roughly feature 426 is illustrated as including three dielectric layers and two metallization patterns, any number of dielectric layers and metallization patterns may be formed in roughly feature 426. In some embodiments, fine features 414 and roughly features 426 can include three dielectric layers and three metallization patterns, respectively.

대략 특징부(426)는 대략 특징부(426) 및 미세 특징부(414)에 포함된 금속화 패턴의 두께로 인해 미세 특징부(414)에 비교하여 더 낮은 저항을 가질 수 있다. 대략 특징부(426)는 더 낮은 저항으로 인해 파워 라인을 라우팅하는데 사용될 수 있다. 미세 특징부(414)는 더 낮은 저항을 요구하지 않는 신호 라인을 라우팅하는데 사용될 수 있다. 대략 특징부(426)와 미세 특징부(414) 둘 다를 포함하는 것은, 파워 라인과 신호 라인이 라우팅될 수 있게 하면서 재배선 구조물(430)의 두께를 최소화한다. Coarse feature 426 may have a lower resistance compared to fine feature 414 due to the thickness of the metallization pattern included in coarse feature 426 and fine feature 414. Roughly feature 426 can be used to route the power line due to the lower resistance. The fine features 414 can be used to route signal lines that do not require lower resistance. Including both roughly feature 426 and fine feature 414 minimizes the thickness of redistribution structure 430 while allowing power and signal lines to be routed.

도 23에서, 재배선 구조물(430)에 대한 외부 접속을 위해 UBM(428)이 형성된다. UBM(428)은 유전체 층(424)의 주면 상에 이를 따라 연장하는 범프 부분을 가지며, 금속화 패턴(422)에 물리적으로 그리고 전기적으로 커플링하도록 유전체 층(424)을 통해 연장하는 비아 부분을 갖는다. 그 결과, UBM(428)이 집적 회로 다이(405)에 전기적으로 커플링된다. UBM(428)은 금속화 패턴(410)과 유사한 방식으로 그리고 유사한 재료로 형성될 수 있다. 일부 실시예에서, UBM(428)은 금속화 패턴(410, 412, 418 및 422)과는 상이한 크기를 갖는다. In FIG. 23, UBM 428 is formed for external connection to redistribution structure 430. The UBM 428 has a bump portion extending along it on the major surface of the dielectric layer 424, and a via portion extending through the dielectric layer 424 to physically and electrically couple to the metallization pattern 422. Have As a result, UBM 428 is electrically coupled to integrated circuit die 405. The UBM 428 can be formed in a similar manner to the metallization pattern 410 and from a similar material. In some embodiments, UBM 428 has a different size than metallization patterns 410, 412, 418 and 422.

도 24에서, 전도성 커넥터(432)가 UBM(428) 상에 형성되며, SoW 패키지(400A)를 형성한다. 전도성 커넥터(432)는 볼 그리드 어레이(BGA; ball grid array) 커넥터, 솔더 볼, 금속 필라, C4(controlled collapse chip connection) 범프, 마이크로 범프, ENEPIG(electroless nickel-electroless palladium-immersion gold technique) 형성된 범프, ENIG(electroless nickel- immersion gold technique) 형성된 범프 등일 수 있다. 전도성 커넥터(432)는 솔더, 구리, 알루미늄, 금, 니켈, 은, 팔라듐, 주석 등, 또는 이들의 조합과 같은 전도성 재료를 포함할 수 있다. 일부 실시예에서, 전도성 커넥터(432)는, 증발, 전해도금, 인쇄, 솔더 전사, 볼 배치 등을 통해 처음에 솔더 층 또는 솔더 페이스트를 형성함으로써 형성된다. 솔더 층이 구조물 상에 형성되었다면, 재료를 원하는 범프 형상으로 형상화하기 위하여 리플로우가 수행될 수 있다.In FIG. 24, a conductive connector 432 is formed on the UBM 428, forming a SoW package 400A. The conductive connector 432 includes a ball grid array (BGA) connector, a solder ball, a metal pillar, a controlled collapse chip connection (C4) bump, a micro bump, an electroless nickel-electroless palladium-immersion gold technique (ENEPIG) formed bump , ENIG (electroless nickel-immersion gold technique) formed bumps, and the like. The conductive connector 432 may include a conductive material such as solder, copper, aluminum, gold, nickel, silver, palladium, tin, or the like, or a combination thereof. In some embodiments, the conductive connector 432 is formed by first forming a solder layer or solder paste through evaporation, electroplating, printing, solder transfer, ball placement, and the like. If a solder layer has been formed on the structure, reflow can be performed to shape the material into a desired bump shape.

도 25에서, 제1 패키지 컴포넌트(100A)에 본딩된 모듈(160A)을 포함하는 제1 패키지(200A) 및 외부 커넥터(434A)가 SoW 패키지(400A)에 본딩된다. 다양한 실시예에서, SoW 패키지(400A)는 10,000 mm2 이상의 영역을 갖는 초대형 팬아웃 웨이퍼 레벨 패키지일 수 있다. 제1 패키지(200A)는 픽앤플레이스 머신 등을 사용하여 SoW 패키지(400A) 위에 배치될 수 있다. 제1 패키지(200A)가 배치되면, 전도성 커넥터(432) 및 전도성 커넥터(150)는 제1 패키지(200A)를 SoW 패키지(400A)에 본딩하도록 리플로우될 수 있다. 도 25는 SoW 패키지(400A)에 부착된 제1 패키지(200A)를 예시하지만, 제1 패키지(200B) 또는 제1 패키지(200C)가 대안으로서 또는 추가적으로 SoW 패키지(400A)에 부착될 수 있다. In FIG. 25, the first package 200A and the external connector 434A including the module 160A bonded to the first package component 100A are bonded to the SoW package 400A. In various embodiments, SoW package 400A may be a very large fanout wafer level package with an area of 10,000 mm 2 or greater. The first package 200A may be disposed on the SoW package 400A using a pick-and-place machine or the like. When the first package 200A is disposed, the conductive connector 432 and the conductive connector 150 may be reflowed to bond the first package 200A to the SoW package 400A. 25 illustrates the first package 200A attached to the SoW package 400A, the first package 200B or the first package 200C may alternatively or additionally be attached to the SoW package 400A.

또한, 외부 커넥터(434A)가 SoW 패키지(400A)에 부착된다. 외부 커넥터(434A)는, 다른 SoW 패키지(400A), 다른 외부 시스템 등에 대한 SoW 패키지(400A)를 위한 전기적 및 물리적 인터페이스이다. 예를 들어, SoW 패키지(400A)가 데이터 센터와 같은 더 큰 외부 시스템의 일부로서 설치될 때, 외부 커넥터(434A)는 외부 시스템에 SoW 패키지(400A)를 커플링하는데 사용될 수 있다. 외부 커넥터(434A)의 예는 대형 와이어 본드, 리본 케이블을 위한 리셉터, 연성 인쇄 회로 등을 포함한다. 외부 커넥터(434A)는 UBM(428)과 유사할 수 있는 패드(438)를 포함한다. 외부 커넥터(434A)는 샤시, 패드(438) 및 외부 접속 핀과 같은 상이한 컴포넌트를 포함할 수 있으며, 이들은 상이한 재료를 포함할 수 있다. 외부 커넥터(434A)는 또한 패드(438) 상의 전도성 커넥터(436)를 포함하며, 이는 전도성 커넥터(432)와 유사할 수 있다. 패드(438) 및 전도성 커넥터(432)는 SoW 패키지(400A)에의 물리적 및 전기적 접속을 위해 사용된다. 외부 커넥터(434A)를 부착하는 것은, 픽앤플레이스 머신 등을 사용하여 SoW 패키지(400A) 상에 외부 커넥터(434A)를 배치한 다음, 패드(438) 및 UBM(428)에 물리적으로 그리고 전기적으로 커플링하도록 전도성 커넥터(436) 및 전도성 커넥터(432)를 리플로우하는 것을 포함할 수 있다. In addition, an external connector 434A is attached to the SoW package 400A. The external connector 434A is an electrical and physical interface for the SoW package 400A to other SoW packages 400A, other external systems, and the like. For example, when SoW package 400A is installed as part of a larger external system, such as a data center, external connector 434A can be used to couple SoW package 400A to an external system. Examples of external connectors 434A include large wire bonds, receptors for ribbon cables, flexible printed circuits, and the like. The external connector 434A includes a pad 438 that can be similar to the UBM 428. The external connector 434A can include different components such as chassis, pad 438, and external connection pins, which can include different materials. External connector 434A also includes a conductive connector 436 on pad 438, which can be similar to conductive connector 432. Pad 438 and conductive connector 432 are used for physical and electrical connections to SoW package 400A. Attaching the external connector 434A places the external connector 434A on the SoW package 400A using a pick-and-place machine or the like, and then physically and electrically couples the pad 438 and the UBM 428. It may include reflowing the conductive connector 436 and the conductive connector 432 to ring.

제1 패키지(200A)와 SoW 패키지(400A) 사이의 갭을 채우도록 언더필(440)이 형성될 수 있다. 언더필(440)은 제1 패키지(200A)가 부착된 후에 모세관 플로우 프로세스에 의해 형성될 수 있고, 또는 제1 패키지(200A)가 부착되기 전에 적합한 퇴적 방법에 의해 형성될 수 있다.An underfill 440 may be formed to fill the gap between the first package 200A and the SoW package 400A. The underfill 440 may be formed by a capillary flow process after the first package 200A is attached, or may be formed by a suitable deposition method before the first package 200A is attached.

도 26에서, 패키징된 디바이스(500A)를 형성하도록 캐리어 기판(402)이 봉지재(406) 및 집적 회로 다이(405)로부터 본딩 분리된다. 일부 실시예에 따르면, 본딩 분리는, 이형 층(404)이 광의 열을 받아 분해되어 캐리어 기판(402)이 제거될 수 있도록, 이형 층(404) 상에 레이저 광 또는 UV 광과 같은 광을 투사하는 것을 포함한다. In FIG. 26, the carrier substrate 402 is separated from the encapsulant 406 and the integrated circuit die 405 to form a packaged device 500A. According to some embodiments, bonding separation projects light, such as laser light or UV light, onto the release layer 404 so that the release layer 404 can be decomposed under heat of light to remove the carrier substrate 402. It includes doing.

도 27은, 도 25에 예시된 바와 같이 제1 패키지(200A)가 SoW 패키지에 본딩되는 것이 아니라, 제1 패키지 컴포넌트(100C)가 SoW 패키지(400A)에 본딩되는 실시예를 예시한다. 제1 패키지 컴포넌트(100C)는 픽앤플레이스 머신 등을 사용하여 SoW 패키지(400A) 위에 배치될 수 있다. 제1 패키지 컴포넌트(100C)가 배치되면, 전도성 커넥터(432) 및 전도성 커넥터(150)는 제1 패키지 컴포넌트(100C)를 SoW 패키지(400A)에 본딩하도록 리플로우될 수 있다. 패드(438) 및 전도성 커넥터(436)를 포함하는 외부 커넥터(434A)도 또한, 도 25에 관련하여 상기에 설명된 바와 동일한 방법을 사용하여 SoW 패키지(400A)에 본딩된다. FIG. 27 illustrates an embodiment in which the first package component 100C is bonded to the SoW package 400A, rather than the first package 200A bonded to the SoW package as illustrated in FIG. 25. The first package component 100C may be disposed on the SoW package 400A using a pick and place machine or the like. When the first package component 100C is disposed, the conductive connector 432 and the conductive connector 150 may be reflowed to bond the first package component 100C to the SoW package 400A. The external connector 434A, which includes the pad 438 and the conductive connector 436, is also bonded to the SoW package 400A using the same method as described above with respect to FIG.

제1 패키지 컴포넌트(100C)와 SoW 패키지(400A) 사이의 갭을 채우도록 언더필(440)이 형성될 수 있다. 언더필(440)은 제1 패키지 컴포넌트(100C)가 부착된 후에 모세관 플로우 프로세스에 의해 형성될 수 있고, 또는 제1 패키지 컴포넌트(100C)가 부착되기 전에 적합한 퇴적 방법에 의해 형성될 수 있다.An underfill 440 may be formed to fill the gap between the first package component 100C and the SoW package 400A. The underfill 440 can be formed by a capillary flow process after the first package component 100C is attached, or can be formed by a suitable deposition method before the first package component 100C is attached.

도 28에서, 캐리어 기판(402)이 봉지재(406) 및 집적 회로 다이(405)로부터 본딩 분리되며, 볼트 홀(442)이 SoW 패키지(400A)를 통해 형성된다. 일부 실시예에 따르면, 본딩 분리는, 이형 층(404)이 광의 열을 받아 분해되어 캐리어 기판(402)이 제거될 수 있도록, 이형 층(404) 상에 레이저 광 또는 UV 광과 같은 광을 투사하는 것을 포함한다. 볼트 홀(442)은 레이저 드릴링, 기계적 드릴링 등과 같은 드릴링 프로세스에 의해 형성될 수 있다. 볼트 홀(442)은, 드릴링 프로세스를 이용해 볼트 홀(442)을 위한 아웃라인을 드릴링한 다음, 아웃라인에 의해 분리된 재료를 제거함으로써 형성될 수 있다. In FIG. 28, the carrier substrate 402 is bonded separated from the encapsulant 406 and the integrated circuit die 405, and a bolt hole 442 is formed through the SoW package 400A. According to some embodiments, bonding separation projects light, such as laser light or UV light, onto the release layer 404 so that the release layer 404 can be decomposed under heat of light to remove the carrier substrate 402. It includes doing. The bolt hole 442 may be formed by a drilling process such as laser drilling or mechanical drilling. The bolt hole 442 can be formed by drilling the outline for the bolt hole 442 using a drilling process, and then removing the material separated by the outline.

도 29에서, 제1 패키지(200C)를 형성하도록, 스프링 타입 콘택(166)을 포함한 모듈(160B)이 제1 패키지 컴포넌트(100C) 상에 배치된다. 모듈(160B)은 도 17c에 관련하여 상기에 설명된 모듈(160B)과 유사하거나 동일할 수 있고, 스프링 타입 콘택(166)은 도 17c에 관련하여 상기에 설명된 스프링 타입 콘택(166)과 동일하거나 유사할 수 있다. 모듈(160B)은 픽앤플레이스 머신 등을 사용하여 제1 패키지 컴포넌트(100C) 위에 배치될 수 있다. In FIG. 29, a module 160B including a spring-type contact 166 is disposed on the first package component 100C to form the first package 200C. Module 160B may be similar or identical to module 160B described above with respect to FIG. 17C, and spring type contact 166 may be identical to spring type contact 166 described above with respect to FIG. 17C. Or similar. The module 160B may be disposed on the first package component 100C using a pick and place machine or the like.

도 30에서, 모듈(160B)을 제1 패키지 컴포넌트(100C) 및 SoW 패키지(400A)에 고정시키도록 기계적 브레이스(450A)가 설치되며, 패키징된 디바이스(500B)를 형성한다. 기계적 브레이스(450A)는 스틸, 티타늄, 코발트 등을 포함할 수 있는 금속과 같은 고강성의 재료로 형성될 수 있는 강성 지지체이다. 기계적 브레이스(450A)는 모듈(160B)의 일부와 물리적으로 맞물린다. 모듈(160C)을 제1 패키지 컴포넌트(100C) 및 SoW 패키지(400A)에 클램핑하도록 기계적 브레이스(450A)를 사용하는 것은, 패키징된 디바이스(500B)에서의 임의의 휨(warpage)을 감소시킬 수 있다. In FIG. 30, a mechanical brace 450A is installed to secure the module 160B to the first package component 100C and the SoW package 400A, forming a packaged device 500B. The mechanical brace 450A is a rigid support that can be formed of a high-rigidity material such as metal, which may include steel, titanium, cobalt, and the like. The mechanical brace 450A is physically engaged with a portion of the module 160B. Using the mechanical brace 450A to clamp the module 160C to the first package component 100C and the SoW package 400A can reduce any warpage in the packaged device 500B. .

모듈(160C)은 제1 패키지 컴포넌트(100C)와 기계적 브레이스(450A) 사이에 볼트(452A)로 패스닝된다. 볼트(452A)는 SoW 패키지(400)의 볼트 홀(442)을 통해 그리고 기계적 브레이스(450A)에서의 대응하는 볼트 홀을 통해 나사 결합된다. 패스너(454A)가 볼트(452A)에 나사결합되며, 제1 패키지 컴포넌트(100C)와 기계적 브레이스(450A) 사이에 모듈(160C)을 클램핑하도록 조여진다. 패스너(454A)는 예를 들어 볼트(452A)에 나사 결합되는 너트일 수 있다. 패스너(454A)는, 스프링 타입 콘택(166)이 압축되도록 볼트(452A) 상에서 조여질 수 있다. 부착된 후에, 기계적 브레이스(450A)의 일부가 모듈(160C)과 외부 커넥터(434A) 사이에 배치된다. 도 30에 예시된 실시예는, 모듈(160B)과 제1 패키지 컴포넌트(100C) 사이에 배치된 언더필을 포함하지 않을 수 있으며, 모듈(160B)의 일부가 개구 또는 갭에 의해 제1 패키지 컴포넌트(100C)로부터 분리될 수 있다. The module 160C is fastened with a bolt 452A between the first package component 100C and the mechanical brace 450A. The bolt 452A is threaded through the bolt hole 442 of the SoW package 400 and through the corresponding bolt hole in the mechanical brace 450A. The fastener 454A is screwed to the bolt 452A and is tightened to clamp the module 160C between the first package component 100C and the mechanical brace 450A. The fastener 454A may be, for example, a nut that is threaded to the bolt 452A. The fastener 454A can be tightened on the bolt 452A so that the spring-type contact 166 is compressed. After attachment, a portion of the mechanical brace 450A is disposed between the module 160C and the external connector 434A. The embodiment illustrated in FIG. 30 may not include an underfill disposed between the module 160B and the first package component 100C, and a portion of the module 160B may include a first package component ( 100C).

도 31은, 실시예에 따라 제1 패키지(200B)(제1 패키지 컴포넌트(100B)에 본딩된 모듈(160A)을 포함함), 제1 패키지(200D)(제1 패키지 컴포넌트(100B)에 본딩된 모듈(160C)을 포함함), 및 SoW 패키지(400B)를 포함하는 패키징된 디바이스(500C)를 예시한다. SoW 패키지(400B)는 SoW 패키지(400A)와 유사한 방식으로 형성될 수 있고, SoW 패키지(400A)의 재료와 유사한 재료로 형성될 수 있지만, 제1 패키지(200B 및 200D)가 본딩되는 2개의 영역을 포함할 수 있다. 다양한 실시예에서, SoW 패키지(400B)는 10,000 mm2 이상의 영역을 갖는 초대형 팬아웃 웨이퍼 레벨 패키지일 수 있다. 제1 패키지(200B)는 약 0.05 mm 내지 약 10 mm, 예컨대 약 0.5 mm의 거리만큼 제2 패키지(200D)로부터 떨어져 있을 수 있다. 31 shows, according to an embodiment, the first package 200B (including the module 160A bonded to the first package component 100B), the first package 200D (bonding to the first package component 100B) Packaged device 500C including the module 160C) and the SoW package 400B. The SoW package 400B may be formed in a similar manner to the SoW package 400A, and may be formed of a material similar to the material of the SoW package 400A, but the two regions to which the first packages 200B and 200D are bonded. It may include. In various embodiments, the SoW package 400B may be a very large fanout wafer level package with an area of 10,000 mm 2 or more. The first package 200B may be spaced apart from the second package 200D by a distance of about 0.05 mm to about 10 mm, such as about 0.5 mm.

제1 패키지(200B 및 200D)는 픽앤플레이스 머신 등을 사용하여 SoW 패키지(400B) 위에 배치될 수 있다. 제1 패키지(200B 및 200D)가 배치되면, 전도성 커넥터(432) 및 전도성 커넥터(150)는 제1 패키지(200B 및 200D)를 SoW 패키지(400B)에 본딩하도록 리플로우될 수 있다. 도 31은 SoW 패키지(400B)에 부착된 제1 패키지(200B 및 200D)를 예시하지만, 제1 패키지들(200A-200D) 중 임의의 조합이 대안으로서 또는 추가적으로 SoW 패키지(400B)에 부착될 수 있다. 제1 패키지(200B 및 200D)와 SoW 패키지(400B) 사이의 갭을 채우도록 언더필(440)이 형성될 수 있다. 언더필(440)은, 제1 패키지(200B 및 200D)가 부착된 후에 모세관 플로우 프로세스에 의해 형성될 수 있고, 또는 제1 패키지(200B 및 200D)가 부착되기 전에 적합한 퇴적 방법에 의해 형성될 수 있다.The first packages 200B and 200D may be disposed on the SoW package 400B using a pick and place machine or the like. When the first packages 200B and 200D are disposed, the conductive connectors 432 and the conductive connectors 150 may be reflowed to bond the first packages 200B and 200D to the SoW package 400B. 31 illustrates the first packages 200B and 200D attached to the SoW package 400B, but any combination of the first packages 200A-200D may alternatively or additionally be attached to the SoW package 400B. have. An underfill 440 may be formed to fill the gap between the first package 200B and 200D and the SoW package 400B. The underfill 440 may be formed by a capillary flow process after the first packages 200B and 200D are attached, or may be formed by a suitable deposition method before the first packages 200B and 200D are attached. .

또한, 외부 커넥터(434B)가 SoW 패키지(400B)에 부착된다. 외부 커넥터(434B)는 다른 SoW 패키지(400B), 다른 외부 시스템 등에 대한 SoW 패키지(400B)를 위한 전기적 및 물리적 인터페이스이다. 예를 들어, SoW 패키지(400B)가 데이터 센터와 같은 더 큰 외부 시스템의 일부로서 설치될 때, 외부 커넥터(434B)는 외부 시스템에 SoW 패키지(400B)를 커플링하는데 사용될 수 있다. 외부 커넥터(434B)의 예는 대형 와이어 본드, 리본 케이블을 위한 리셉터, 연성 인쇄 회로 등을 포함한다. 외부 커넥터(434B)는 UBM(428)과 유사할 수 있는 패드(438)를 포함한다. 외부 커넥터(434B)는 샤시, 패드(438) 및 외부 접속 핀과 같은 상이한 컴포넌트를 포함할 수 있으며, 이들은 상이한 재료를 포함할 수 있다. 외부 커넥터(434B)는 또한 패드(438) 상의 전도성 커넥터(436)를 포함하며, 이는 전도성 커넥터(432)와 유사할 수 있다. 패드(438) 및 전도성 커넥터(432)는 SoW 패키지(400B)에의 물리적 및 전기적 접속을 위해 사용된다. 외부 커넥터(434B)를 부착하는 것은, 픽앤플레이스 머신 등을 사용하여 SoW 패키지(400B) 상에 외부 커넥터(434B)를 배치한 다음, 패드(438) 및 UBM(428)에 물리적으로 그리고 전기적으로 커플링하도록 전도성 커넥터(436) 및 전도성 커넥터(432)를 리플로우하는 것을 포함할 수 있다. In addition, an external connector 434B is attached to the SoW package 400B. The external connector 434B is an electrical and physical interface for the SoW package 400B to other SoW packages 400B, other external systems, and the like. For example, when SoW package 400B is installed as part of a larger external system, such as a data center, external connector 434B can be used to couple SoW package 400B to an external system. Examples of external connectors 434B include large wire bonds, receptors for ribbon cables, flexible printed circuits, and the like. The external connector 434B includes a pad 438 that can be similar to the UBM 428. The external connector 434B can include different components such as chassis, pad 438 and external connection pins, which can include different materials. The external connector 434B also includes a conductive connector 436 on the pad 438, which can be similar to the conductive connector 432. Pad 438 and conductive connector 432 are used for physical and electrical connections to SoW package 400B. Attaching the external connector 434B uses a pick-and-place machine or the like to place the external connector 434B on the SoW package 400B, then physically and electrically couples the pads 438 and UBM 428. It may include reflowing the conductive connector 436 and the conductive connector 432 to ring.

SoW 패키지(400B)의 배면에 열(thermal) 모듈(460)을 고정시키도록 기계적 브레이스(450B)가 설치된다. 열 모듈(460)은 히트 싱크, 히트 스프레더, 냉각판 등일 수 있다. 기계적 브레이스(450B)는 스틸, 티타늄, 코발트 등을 포함할 수 있는 금속과 같은 고강성의 재료로 형성될 수 있는 강성 지지체이다. 기계적 브레이스(450B)는 SoW 패키지(400B)의 일부와 물리적으로 맞물린다. SoW 패키지(400B)에 열 모듈(460)을 클램핑하는데 기계적 브레이스(450B)를 사용하는 것은, SoW 패키지(400B)에서의 임의의 휨을 감소시킬 수 있다. A mechanical brace 450B is installed to fix the thermal module 460 on the back side of the SoW package 400B. The heat module 460 may be a heat sink, heat spreader, cooling plate, or the like. The mechanical brace 450B is a rigid support that can be formed of a high-rigidity material such as metal, which may include steel, titanium, cobalt, and the like. The mechanical brace 450B is physically engaged with a portion of the SoW package 400B. Using mechanical brace 450B to clamp thermal module 460 to SoW package 400B can reduce any warpage in SoW package 400B.

열 모듈(460)은 볼트(452B)를 이용해 SoW 패키지(400B)의 배면에 패스닝된다. 볼트(452B)는 SoW 패키지(400B)에 형성된 볼트 홀(442)을 통해 그리고 기계적 브레이스(450B)에서의 대응하는 볼트 홀을 통해 나사 결합된다. 패스너(454B)는 볼트(452B)에 나사 결합되고, SoW 패키지(400B)에 열 모듈(460)을 클램핑하도록 조여지며, SoW 패키지(400B)가 기계적 브레이스(450B)와 열 모듈(460) 사이에 배치된다. 패스너(454B)는 예를 들어 볼트(452B)에 나사 결합되는 너트일 수 있다. 부착된 후에, 기계적 브레이스(450B)의 일부가 제1 패키지(200B)와 제1 패키지(200B) 사이에 그리고 외부 커넥터(434B) 외부에 배치된다. The thermal module 460 is fastened to the back of the SoW package 400B using bolts 452B. The bolt 452B is screwed through the bolt hole 442 formed in the SoW package 400B and through the corresponding bolt hole in the mechanical brace 450B. The fastener 454B is screwed to the bolt 452B, and tightened to clamp the thermal module 460 to the SoW package 400B, the SoW package 400B between the mechanical brace 450B and the thermal module 460 Is placed. The fastener 454B may be, for example, a nut screwed to the bolt 452B. After being attached, a portion of the mechanical brace 450B is disposed between the first package 200B and the first package 200B and outside the outer connector 434B.

열 모듈(460)과 SoW 패키지(400B)를 함께 고정시키기 전에, TIM(thermal interface material)(462)이 SoW 패키지(400B)의 배면 상에 디스펜싱될 수 있으며, 열 모듈(460)을 SoW 패키지(400B)에 물리적으로 그리고 열적으로 커플링한다. 일부 실시예에서, TIM(462)은 인듐을 포함하는 막, 서멀 그리스(thermal grease), 서멀 시트, 상 변화 재료, 이들의 조합 등으로 형성된다. 패스닝(fastening) 동안, 패스너(454B)가 조여짐으로써, 열 모듈(460) 및 기계적 브레이스(450B)에 의해 SoW 패키지(400B)에 인가되는 기계적 힘을 증가시킨다. 패스너(454B)는 열 모듈(460)이 TIM(462)에 원하는 양의 압력을 가할 때까지 조여진다. Before fixing the thermal module 460 and the SoW package 400B together, a thermal interface material (TIM) 462 can be dispensed on the back side of the SoW package 400B, and the thermal module 460 is a SoW package. Physically and thermally coupled to (400B). In some embodiments, TIM 462 is formed from a film comprising indium, thermal grease, thermal sheet, phase change material, combinations thereof, and the like. During fastening, the fastener 454B is tightened to increase the mechanical force applied to the SoW package 400B by the thermal module 460 and the mechanical brace 450B. Fastener 454B is tightened until thermal module 460 applies the desired amount of pressure to TIM 462.

도 32는 모듈(160B), 제1 패키지 컴포넌트(100C), 기계적 브레이스(450C), 기계적 브레이스(450B), 열 모듈(460) 및 SoW 패키지(400C)를 포함하는 패키징된 디바이스(500D)를 예시한다. SoW 패키지(400C)는 SoW 패키지(400A)와 유사한 방식으로 형성될 수 있고, SoW 패키지(400A)의 재료와 유사한 재료로 형성될 수 있지만, 제1 패키지 컴포넌트(100C)가 본딩되는 2개의 영역을 포함할 수 있다. 다양한 실시예에서, SoW 패키지(400C)는 10,000 mm2 이상의 영역을 갖는 초대형 팬아웃 웨이퍼 레벨 패키지일 수 있다. 32 illustrates a packaged device 500D comprising a module 160B, a first package component 100C, a mechanical brace 450C, a mechanical brace 450B, a thermal module 460 and a SoW package 400C. do. The SoW package 400C may be formed in a manner similar to the SoW package 400A, and may be formed of a material similar to the material of the SoW package 400A, but the two regions to which the first package component 100C is bonded are formed. It can contain. In various embodiments, the SoW package 400C may be a very large fanout wafer level package with an area of 10,000 mm 2 or more.

제1 패키지 컴포넌트(100C)의 각각은 픽앤플레이스 머신 등을 사용하여 SoW 패키지(400C) 위에 배치될 수 있다. 제1 패키지 컴포넌트(100C)가 배치되면, 전도성 커넥터(432) 및 전도성 커넥터(150)는 제1 패키지 컴포넌트(100C)를 SoW 패키지(400C)에 본딩하도록 리플로우될 수 있다. 도 25는 SoW 패키지(400B)에 부착된 제1 패키지(200B 및 200D)를 예시하지만, 제1 패키지(200A-200D) 중의 임의의 조합이 대안으로서 또는 추가적으로 SoW 패키지(400B)에 부착될 수 있다. 제1 패키지 컴포넌트(100C)와 SoW 패키지(400C) 사이의 갭을 채우도록 언더필(440)이 형성될 수 있다. 언더필(440)은 제1 패키지 컴포넌트(100C)가 부착된 후에 모세관 플로우 프로세스에 의해 형성될 수 있고, 또는 제1 패키지 컴포넌트(100C)가 부착되기 전에 적합한 퇴적 방법에 의해 형성될 수 있다.Each of the first package components 100C may be disposed on the SoW package 400C using a pick-and-place machine or the like. When the first package component 100C is disposed, the conductive connector 432 and the conductive connector 150 may be reflowed to bond the first package component 100C to the SoW package 400C. 25 illustrates first packages 200B and 200D attached to SoW package 400B, any combination of first packages 200A-200D may alternatively or additionally be attached to SoW package 400B. . An underfill 440 may be formed to fill the gap between the first package component 100C and the SoW package 400C. The underfill 440 can be formed by a capillary flow process after the first package component 100C is attached, or can be formed by a suitable deposition method before the first package component 100C is attached.

또한, 외부 커넥터(434B)가 SoW 패키지(400C)에 부착된다. 외부 커넥터(434B)는 다른 SoW 패키지(400C), 다른 외부 시스템 등에 대한 SoW 패키지(400C)를 위한 전기적 및 물리적 인터페이스이다. 예를 들어, SoW 패키지(400C)가 데이터 센터와 같은 더 큰 외부 시스템의 일부로서 설치될 때, 외부 커넥터(434B)는 외부 시스템에 SoW 패키지(400C)를 커플링하는데 사용될 수 있다. 외부 커넥터(434B)의 예는 대형 와이어 본드, 리본 케이블을 위한 리셉터, 연성 인쇄 회로 등을 포함한다. 외부 커넥터(434B)는 UBM(428)과 유사할 수 있는 패드(438)를 포함한다. 외부 커넥터(434B)는 샤시, 패드(438) 및 외부 접속 핀과 같은 상이한 컴포넌트를 포함할 수 있으며, 이들은 상이한 재료를 포함할 수 있다. 외부 커넥터(434B)는 또한 패드(438) 상의 전도성 커넥터(436)를 포함하며, 이는 전도성 커넥터(432)와 유사할 수 있다. 패드(438) 및 전도성 커넥터(432)는 SoW 패키지(400C)에 대한 물리적 및 전기적 접속을 위해 사용된다. 외부 커넥터(434B)를 부착하는 것은, 픽앤플레이스 머신 등을 사용하여 SoW 패키지(400C) 상에 외부 커넥터(434B)를 배치한 다음, 패드(438) 및 UBM(428)에 물리적으로 그리고 전기적으로 커플링하도록 전도성 커넥터(436) 및 전도성 커넥터(432)를 리플로우하는 것을 포함할 수 있다. In addition, an external connector 434B is attached to the SoW package 400C. The external connector 434B is an electrical and physical interface for the SoW package 400C to other SoW packages 400C, other external systems, and the like. For example, when the SoW package 400C is installed as part of a larger external system such as a data center, the external connector 434B can be used to couple the SoW package 400C to the external system. Examples of external connectors 434B include large wire bonds, receptors for ribbon cables, flexible printed circuits, and the like. The external connector 434B includes a pad 438 that can be similar to the UBM 428. The external connector 434B can include different components such as chassis, pad 438 and external connection pins, which can include different materials. The external connector 434B also includes a conductive connector 436 on the pad 438, which can be similar to the conductive connector 432. Pad 438 and conductive connector 432 are used for physical and electrical connections to SoW package 400C. Attaching the external connector 434B uses a pick-and-place machine or the like to place the external connector 434B on the SoW package 400C, then physically and electrically couples the pads 438 and UBM 428. It may include reflowing the conductive connector 436 and the conductive connector 432 to ring.

모듈(160B)의 각각은 픽앤플레이스 머신 등을 사용하여 각자의 제1 패키지 컴포넌트(100C) 위에 배치될 수 있다. 그 다음, 모듈(160B)의 각각을 각자의 제1 패키지 컴포넌트(100C)에 고정시키도록 기계적 브레이스(450C)가 설치되며, 제1 패키지(200C)를 형성한다. 기계적 브레이스(450C)는 스틸, 티타늄, 코발트 등을 포함할 수 있는 금속과 같은 고강성의 재료로 형성될 수 있는 강성 지지체이다. 기계적 브레이스(450C)는 모듈(160B)의 일부와 물리적으로 맞물린다. 모듈(160B)을 제1 패키지 컴포넌트(100C) 및 SoW 패키지(400C)에 클램핑하도록 기계적 브레이스(450C)를 사용하는 것은, 패키징된 디바이스(500D)에서의 임의의 휨을 감소시킬 수 있다. Each of the modules 160B may be disposed on the respective first package component 100C using a pick and place machine or the like. Then, a mechanical brace 450C is installed to secure each of the modules 160B to their respective first package components 100C, forming the first package 200C. The mechanical brace 450C is a rigid support that can be formed of a high-rigidity material such as metal, which may include steel, titanium, cobalt, and the like. The mechanical brace 450C is physically engaged with a portion of the module 160B. Using the mechanical brace 450C to clamp the module 160B to the first package component 100C and the SoW package 400C can reduce any warpage in the packaged device 500D.

모듈(160B)은 제1 패키지 컴포넌트(100C)와 기계적 브레이스(450C) 사이에 볼트(452C)로 패스닝된다. 볼트(452C)는 SoW 패키지(400C)의 볼트 홀(442)을 통해 그리고 기계적 브레이스(450C)에서의 대응하는 볼트 홀을 통해 나사 결합된다. 패스너(454C)는 볼트(452C)에 나사결합되며, 제1 패키지 컴포넌트(100C)와 기계적 브레이스(450C) 사이에 모듈(160B)을 클램핑하도록 조여진다. 패스너(454C)는 예를 들어 볼트(452C)에 나사 결합되는 너트일 수 있다. 패스너(454C)는 스프링 타입 콘택(166)이 압축되도록 볼트(452C) 상에서 조여질 수 있다. 부착된 후에, 기계적 브레이스(450C)의 일부가 제1 패키지 컴포넌트(100C) 사이에 그리고 제1 패키지 컴포넌트(100C)와 외부 커넥터(434B) 사이에 배치된다.The module 160B is fastened with a bolt 452C between the first package component 100C and the mechanical brace 450C. The bolt 452C is screwed through the bolt hole 442 of the SoW package 400C and through the corresponding bolt hole in the mechanical brace 450C. The fastener 454C is screwed to the bolt 452C and is tightened to clamp the module 160B between the first package component 100C and the mechanical brace 450C. The fastener 454C may be, for example, a nut screwed to the bolt 452C. The fastener 454C can be tightened on the bolt 452C such that the spring-type contact 166 is compressed. After attachment, a portion of the mechanical brace 450C is disposed between the first package component 100C and between the first package component 100C and the external connector 434B.

SoW 패키지(400C)의 배면에 열 모듈(460)을 고정시키도록 기계적 브레이스(450B)가 설치된다. 기계적 브레이스(450C)도 또한, SoW 패키지(400C)의 배면에 열 모듈을 고정시킬 수 있다. 열 모듈(460)은 히트 싱크, 히트 스프레더, 냉각판 등일 수 있다. 기계적 브레이스(450B)는 스틸, 티타늄, 코발트 등을 포함할 수 있는 금속과 같은 고강성의 재료로 형성될 수 있는 강성 지지체이다. 기계적 브레이스(450B)는 SoW 패키지(400C)의 일부와 물리적으로 맞물린다. SoW 패키지(400C)에 열 모듈(460)을 클램핑하는데 기계적 브레이스(450B)를 사용하는 것은, SoW 패키지(400C)에서의 임의의 휨을 감소시킬 수 있다. A mechanical brace 450B is installed to secure the thermal module 460 to the back of the SoW package 400C. The mechanical brace 450C can also secure the thermal module to the back of the SoW package 400C. The heat module 460 may be a heat sink, heat spreader, cooling plate, or the like. The mechanical brace 450B is a rigid support that can be formed of a high-rigidity material such as metal, which may include steel, titanium, cobalt, and the like. The mechanical brace 450B is physically engaged with a portion of the SoW package 400C. Using mechanical brace 450B to clamp thermal module 460 to SoW package 400C can reduce any warpage in SoW package 400C.

열 모듈(460)은 볼트(452B)를 이용해 SoW 패키지(400C)의 배면에 패스닝된다. 볼트(452B)는 SoW 패키지(400C)에 형성된 볼트 홀(442)을 통해 그리고 기계적 브레이스(450B)에서의 대응하는 볼트 홀을 통해 나사 결합된다. 패스너(454B)는 볼트(452B)에 나사 결합되고, 열 모듈(460)을 SoW 패키지(400C)로 클램핑하도록 조여지며, SoW 패키지(400C)가 기계적 브레이스(450B)와 열 모듈(460) 사이에 배치된다. 패스너(454B)는 예를 들어 볼트(452B)에 나사 결합되는 너트일 수 있다. 부착된 후에, 기계적 브레이스(450A)의 일부가 외부 커넥터(434B) 외부에 배치된다.The thermal module 460 is fastened to the back of the SoW package 400C using bolts 452B. The bolt 452B is screwed through the bolt hole 442 formed in the SoW package 400C and through the corresponding bolt hole in the mechanical brace 450B. The fastener 454B is screwed to the bolt 452B, tightened to clamp the thermal module 460 to the SoW package 400C, and the SoW package 400C is between the mechanical brace 450B and the thermal module 460. Is placed. The fastener 454B may be, for example, a nut screwed to the bolt 452B. After attachment, a portion of the mechanical brace 450A is placed outside the external connector 434B.

열 모듈(460)과 SoW 패키지(400C)를 함께 패스닝하기 전에, TIM(thermal interface material)(462)이 SoW 패키지(400C)의 배면 상에 디스펜싱될 수 있으며, 열 모듈(460)을 SoW 패키지(400C)에 물리적으로 그리고 열적으로 커플링한다. 일부 실시예에서, TIM(462)은 인듐을 포함하는 막, 서멀 그리스, 서멀 시트, 상 변화 재료, 이들의 조합 등으로 형성된다. 패스닝 동안, 패스너(454B 및 454C)가 조여짐으로써, 열 모듈(460) 및 기계적 브레이스(450B 및 450C)에 의해 SoW 패키지(400C)에 인가되는 기계적 힘을 증가시킨다. 패스너(454B 및 454C)는 열 모듈(460)이 TIM(462)에 원하는 양의 압력을 가할 때까지 조여진다.Before fastening the thermal module 460 and the SoW package 400C together, a thermal interface material (TIM) 462 may be dispensed on the back side of the SoW package 400C, and the thermal module 460 is SoW. It is physically and thermally coupled to the package 400C. In some embodiments, TIM 462 is formed from a film comprising indium, thermal grease, thermal sheet, phase change material, combinations thereof, and the like. During fastening, fasteners 454B and 454C are tightened to increase the mechanical force applied to SoW package 400C by thermal module 460 and mechanical braces 450B and 450C. Fasteners 454B and 454C are tightened until thermal module 460 applies the desired amount of pressure to TIM 462.

도 33 내지 도 38은 다양한 실시예에 따른 SoW 패키지(400)의 하향식 도면들을 예시한다. 도 33 내지 도 35에 예시된 실시예에서, SoW 패키지(400)는 원형 형상을 갖는다. 도 33 내지 도 35에 예시된 SoW 패키지(400)는 중간 구조물일 수 있으며, 이는 도 36 내지 도 38에 예시된 SoW 패키지(400)를 형성하도록 쏘잉, 다이싱 등 될 수 있다. SoW 패키지(400)는 컴퓨팅 다이(405A), 메모리 다이(405B), 및 입력/출력(I/O) 다이(405C)와 같은 다양한 집적 회로 다이(405)를 포함한다. 일부 실시예에서, 컴퓨팅 다이(405A)는 CPU(central processing unit), GPU(graphics processing unit), ASIC(application-specific integrated circuit), FPGA(field programmable gate array) 등을 포함할 수 있다. 메모리 다이(405B)는 HBM(high-bandwidth memory) 다이, DRAM(dynamic random access memory) 다이, HMC(hybrid memory cube) 등을 포함할 수 있다. 33-38 illustrate top-down views of SoW package 400 according to various embodiments. In the embodiment illustrated in FIGS. 33-35, the SoW package 400 has a circular shape. The SoW package 400 illustrated in FIGS. 33-35 may be an intermediate structure, which may be sawed, diced, etc. to form the SoW package 400 illustrated in FIGS. 36-38. The SoW package 400 includes various integrated circuit dies 405, such as a computing die 405A, a memory die 405B, and an input/output (I/O) die 405C. In some embodiments, the computing die 405A may include a central processing unit (CPU), graphics processing unit (GPU), application-specific integrated circuit (ASIC), field programmable gate array (FPGA), or the like. The memory die 405B may include a high-bandwidth memory (HBM) die, a dynamic random access memory (DRAM) die, a hybrid memory cube (HMC), and the like.

도 33에 예시된 실시예에서, 제1 패키지(200)가 집적 회로 다이(405)의 각각 위에 제공된다. 제1 패키지(200)는 상기에 설명된 제1 패키지(200A-200E) 중의 임의의 제1 패키지일 수 있다. 도 34에 예시된 실시예에서, 2개의 메모리 다이(405B)의 각각의 쌍이 제1 패키지(200)를 공유하고, 4개의 컴퓨팅 다이(405A)의 각각의 세트가 제1 패키지(200)를 공유한다. 도 35에 예시된 실시예에서, 제1 패키지(200)의 2개가 I/O 다이(405C)의 각각에 대하여 제공될 수 있고, 4개의 제1 패키지(200)가 컴퓨팅 다이(405A)의 각각에 대하여 제공될 수 있다. 다른 구성이 가능하고, 임의의 수의 제1 패키지(200)가 임의의 수의 집적 회로 다이(405)에 대하여 제공될 수 있다. SoW 패키지(400)는 외부 커넥터(434)를 더 포함한다. In the embodiment illustrated in FIG. 33, a first package 200 is provided over each of the integrated circuit die 405. The first package 200 may be any first package among the first packages 200A-200E described above. In the embodiment illustrated in FIG. 34, each pair of two memory dies 405B share the first package 200, and each set of four computing dies 405A share the first package 200. do. In the embodiment illustrated in FIG. 35, two of the first packages 200 may be provided for each of the I/O dies 405C, and four first packages 200 of each of the computing dies 405A Can be provided against. Other configurations are possible, and any number of first packages 200 can be provided for any number of integrated circuit dies 405. SoW package 400 further includes an external connector 434.

도 36 내지 도 38에 예시된 실시예에서, SoW 패키지(400)는 팔각형 형상을 갖는다. 이는 상호접속된 SoW 패키지(400)가 서로 더 밀집하게 배치될 수 있게 해줄수 있다. SoW 패키지(400)는 컴퓨팅 다이(405A), 메모리 다이(405B), 및 입력/출력(I/O) 다이(405C)와 같은 다양한 집적 회로 다이(405)를 포함한다. 도 36에 예시된 실시예에서, 제1 패키지(200)가 집적 회로 다이(405)의 각각 위에 제공된다. 제1 패키지(200)는 상기에 설명된 제1 패키지(200A-200E) 중의 임의의 제1 패키지일 수 있다. 도 37에 예시된 실시예에서, 2개의 메모리 다이(405B)의 각각의 쌍이 제1 패키지(200)를 공유하고, 4개의 컴퓨팅 다이(405A)의 각각의 세트가 제1 패키지(200)를 공유한다. 도 38에 예시된 실시예에서, 제1 패키지(200)의 2개가 I/O 다이(405C)의 각각에 대하여 제공될 수 있고, 4개의 제1 패키지(200)가 컴퓨팅 다이(405A)의 각각에 대하여 제공될 수 있다. 다른 구성이 가능하고, 임의의 수의 제1 패키지(200)가 임의의 수의 집적 회로 다이(405)에 대하여 제공될 수 있다. SoW 패키지(400)는 외부 커넥터(434)를 더 포함한다. In the embodiment illustrated in Figures 36-38, SoW package 400 has an octagonal shape. This may allow interconnected SoW packages 400 to be more densely arranged with each other. The SoW package 400 includes various integrated circuit dies 405, such as a computing die 405A, a memory die 405B, and an input/output (I/O) die 405C. In the embodiment illustrated in FIG. 36, a first package 200 is provided on each of the integrated circuit die 405. The first package 200 may be any first package among the first packages 200A-200E described above. In the embodiment illustrated in Figure 37, each pair of two memory dies 405B shares the first package 200, and each set of four computing dies 405A shares the first package 200. do. In the embodiment illustrated in FIG. 38, two of the first packages 200 may be provided for each of the I/O dies 405C, and four first packages 200 of each of the computing dies 405A Can be provided against. Other configurations are possible, and any number of first packages 200 can be provided for any number of integrated circuit dies 405. SoW package 400 further includes an external connector 434.

모듈(160)과 SoW 패키지(400) 사이에 배치된 제1 패키지 컴포넌트(100)(그 안에 배치된 다이(50)를 포함함)를 포함하는 패키징된 디바이스(500)를 제공하는 것은, 제1 패키지 컴포넌트(100)에 포함된 IPD와 SoW 패키지(400)에 포함된 기능 다이 사이의 거리를 감소시킨다. 이는 전력 분배 네트워크(PDN) 성능을 강화한다. 상기에 설명된 다양한 실시예는, 데이터 센터 애플리케이션, 서버 애플리케이션 등에서의 고성능 컴퓨팅(HPC; high performance computing)(예컨대, 인공 지능(AI; artificial intelligence) 서버의 가속도계, 클라우드 컴퓨팅 시스템, 에지 컴퓨팅 시스템 등)에 적용가능할 수 있다. Providing a packaged device 500 including a first package component 100 (including a die 50 disposed therein) disposed between the module 160 and the SoW package 400 is a first The distance between the IPD included in the package component 100 and the functional die included in the SoW package 400 is reduced. This enhances the power distribution network (PDN) performance. The various embodiments described above include high performance computing (HPC) in data center applications, server applications, etc. (e.g., accelerometers of artificial intelligence (AI) servers, cloud computing systems, edge computing systems, etc.) It may be applicable to.

다른 특징 및 프로세스도 또한 포함될 수 있다. 예를 들어, 3D 패키징 또는 3DIC 디바이스의 검증 테스트를 돕기 위해 테스트 구조가 포함될 수 있다. 테스트 구조는 예를 들어 재배선 층에 또는 기판 상에 형성된 테스트 패드를 포함할 수 있으며, 이는 3D 패키징 또는 3DIC의 테스트, 프로브 및/또는 프로브 카드의 사용 등을 가능하게 한다. 검증 테스트는 최종 구조물 뿐만 아니라 중간 구조물에 대해서도 수행될 수 있다. 또한, 여기에 개시된 구조물 및 방법은, 수율을 증가시키고 비용을 감소시키기 위해 기지의 양호 다이의 중간 검증을 통합한 테스트 방법과 함께 사용될 수 있다.Other features and processes may also be included. For example, a test structure may be included to assist in 3D packaging or verification testing of 3DIC devices. The test structure can include, for example, a test pad formed on a redistribution layer or on a substrate, which enables 3D packaging or testing of 3DIC, use of probes and/or probe cards, and the like. Verification tests can be performed on the final structure as well as the intermediate structure. In addition, the structures and methods disclosed herein can be used with test methods that incorporate intermediate verification of known good die to increase yield and reduce cost.

실시예에 따르면, 디바이스는, 제1 패키지 컴포넌트로서, 제1 집적 회로 다이와; 상기 제1 집적 회로 다이를 적어도 부분적으로 둘러싸는 제1 봉지재(encapsulant)와; 상기 제1 봉지재 상에 있으며 상기 제1 집적 회로 다이에 커플링된 재배선 구조물을 포함하는, 상기 제1 패키지 컴포넌트; 상기 제1 패키지 컴포넌트에 본딩된 제2 패키지 컴포넌트로서, 집적 수동 소자와; 상기 집적 수동 소자를 적어도 부분적으로 둘러싸는 제2 봉지재를 포함하는, 상기 제2 패키지 컴포넌트; 및 상기 제2 패키지 컴포넌트를 통해 상기 제1 패키지 컴포넌트에 부착된 파워 모듈을 포함한다. 실시예에서, 상기 디바이스는, 상기 재배선 구조물에 커플링된 전기 커넥터를 더 포함하며, 상기 전기 커넥터는 상기 제2 패키지 컴포넌트를 둘러싼다. 실시예에서, 상기 제2 패키지는 상기 제2 봉지재에 배치된 능동 소자를 포함하지 않는다. 실시예에서, 상기 파워 모듈은 솔더 본드를 사용하여 상기 제2 패키지 컴포넌트에 본딩된다. 실시예에서, 상기 파워 모듈은 스프링-타입 콘택(spring-type contact)을 사용하여 상기 제2 패키지에 커플링된다. 실시예에서, 상기 디바이스는, 상기 제1 패키지 컴포넌트를 통해 연장하는 기계적 브레이스(mechanical brace)를 더 포함하며, 상기 기계적 브레이스는 상기 파워 모듈과 접촉하고, 상기 기계적 브레이스는 상기 파워 모듈을 상기 제2 패키지 컴포넌트에 부착시킨다. 실시예에서, 상기 디바이스는, 상기 제1 패키지 컴포넌트에 부착된 열(thermal) 모듈을 더 포함하고, 상기 제2 패키지 컴포넌트는 상기 제1 패키지 컴포넌트의 제1 측부 상에 배치되며, 상기 제2 패키지 컴포넌트는 상기 제1 측부와 반대쪽에 있는, 상기 제1 패키지 컴포넌트의 제2 측부 상에 배치된다. 실시예에서, 상기 디바이스는, 상기 열 모듈과 상기 제1 패키지 컴포넌트 사이에 배치된 TIM(thermal interface material)을 더 포함하고, 기계적 브레이스가 상기 열 모듈을 상기 제1 패키지 컴포넌트에 부착시킨다. According to an embodiment, a device comprises: a first package component, comprising: a first integrated circuit die; A first encapsulant surrounding at least partially the first integrated circuit die; The first package component on the first encapsulant and including a redistribution structure coupled to the first integrated circuit die; A second package component bonded to the first package component, comprising: an integrated passive element; A second package component comprising a second encapsulant at least partially surrounding the integrated passive element; And a power module attached to the first package component through the second package component. In an embodiment, the device further comprises an electrical connector coupled to the redistribution structure, the electrical connector surrounding the second package component. In an embodiment, the second package does not include active elements disposed on the second encapsulant. In an embodiment, the power module is bonded to the second package component using solder bonds. In an embodiment, the power module is coupled to the second package using a spring-type contact. In an embodiment, the device further comprises a mechanical brace extending through the first package component, the mechanical brace contacting the power module, and the mechanical brace connecting the power module to the second Attach to the package component. In an embodiment, the device further includes a thermal module attached to the first package component, the second package component is disposed on a first side of the first package component, and the second package The component is disposed on the second side of the first package component, opposite the first side. In an embodiment, the device further comprises a thermal interface material (TIM) disposed between the thermal module and the first package component, and a mechanical brace attaches the thermal module to the first package component.

또다른 실시예에 따르면, 방법은, 제1 패키지 컴포넌트를 형성하는 단계로서, 제1 집적 수동 소자를 제1 재배선 구조물에 부착시키는 단계와; 상기 제1 집적 수동 소자를 봉지재로 봉지하는 단계와; 상기 제1 집적 수동 소자 및 상기 봉지재 위에 제2 재배선 구조물을 형성하는 단계를 포함하는, 상기 제1 패키지 컴포넌트 형성 단계; 파워 모듈을 상기 제2 재배선 구조물에 부착시키는 단계; 및 상기 제1 재배선 구조물을 제2 패키지 컴포넌트의 제3 재배선 구조물에 본딩하는 단계를 포함하고, 상기 제2 패키지 컴포넌트는 상기 제3 재배선 구조물에 커플링된 제1 집적 회로 다이를 포함한다. 실시예에서, 상기 방법은, 상기 파워 모듈을 상기 제1 패키지 컴포넌트에 부착한 후에 그리고 상기 제1 패키지 컴포넌트를 상기 제2 패키지 컴포넌트에 본딩하기 전에, 상기 제1 패키지 컴포넌트를 개별화(singulate)하는 단계를 더 포함한다. 실시예에서, 상기 파워 모듈은, 상기 제1 패키지 컴포넌트가 상기 제2 패키지 컴포넌트에 본딩된 후에, 상기 제1 패키지 컴포넌트에 부착된다. 실시예에서, 상기 파워 모듈을 상기 제1 패키지에 부착시키는 것은, 픽앤플레이스(pick-and-place) 머신을 사용하여 상기 제1 패키지 컴포넌트 위에 상기 파워 모듈을 배치하고, 기계적 브레이스를 사용하여 상기 제1 패키지 컴포넌트에 상기 파워 모듈을 고정시키는(fasten) 것을 포함하며, 상기 기계적 브레이스는 상기 제2 패키지 컴포넌트를 통해 연장하는 볼트를 포함한다. 실시예에서, 상기 파워 모듈은 스프링-타입 콘택을 포함하고, 상기 기계적 브레이스는 상기 스프링-타입 콘택을 압축하도록 상기 스프링-타입 콘택에 압력을 가한다. 실시예에서, 상기 방법은, 상기 파워 모듈과 상기 제1 패키지 컴포넌트의 제1 측부 사이에 제1 언더필 재료를 퇴적하는 단계 및 상기 제1 패키지 컴포넌트의 제2 측부와 상기 제2 패키지 컴포넌트 사이에 제2 언더필 재료를 퇴적하는 단계를 더 포함한다. According to another embodiment, a method includes forming a first package component, the method comprising: attaching a first integrated passive element to a first redistribution structure; Sealing the first integrated passive element with an encapsulant; Forming a first redistribution structure on the first integrated passive element and the encapsulant, wherein the first package component is formed; Attaching a power module to the second redistribution structure; And bonding the first redistribution structure to a third redistribution structure of a second package component, wherein the second package component includes a first integrated circuit die coupled to the third redistribution structure. . In an embodiment, the method comprises singulating the first package component after attaching the power module to the first package component and before bonding the first package component to the second package component. It further includes. In an embodiment, the power module is attached to the first package component after the first package component is bonded to the second package component. In an embodiment, attaching the power module to the first package comprises placing the power module on the first package component using a pick-and-place machine, and using the mechanical brace And fastening the power module to one package component, the mechanical brace including a bolt extending through the second package component. In an embodiment, the power module includes a spring-type contact, and the mechanical brace applies pressure to the spring-type contact to compress the spring-type contact. In an embodiment, the method further comprises depositing a first underfill material between the power module and a first side of the first package component, and between a second side of the first package component and the second package component. 2 further comprising depositing the underfill material.

또 다른 실시예에 따르면, 디바이스는, 복수의 집적 회로 다이를 포함하는 제1 패키지; 상기 제1 패키지 위의 복수의 파워 모듈로서, 상기 파워 모듈의 각각은 상기 제1 패키지의 주면에 수직인 방향으로 상기 집적 회로 다이 바로 위에 배치되는 것인, 상기 복수의 파워 모듈; 및 상기 집적 회로 다이와 상기 파워 모듈 사이에 개재된 복수의 패키지 컴포넌트를 포함하고, 상기 패키지 컴포넌트의 각각은 집적 소동 소자(IPD; integrated passive device)를 포함한다. 실시예에서, 상기 파워 모듈 중의 하나 및 상기 패키지 컴포넌트 중의 하나가 상기 집적 회로 다이의 각각 위에 배치된다. 실시예에서, 상기 파워 모듈 중의 하나보다 많은 파워 모듈 및 상기 패키지 컴포넌트 중의 하나보다 많은 패키지 컴포넌트가 상기 집적 회로 다이의 각각 위에 배치된다. 실시예에서, 상기 파워 모듈 중의 하나 및 상기 패키지 컴포넌트 중의 하나가 상기 집적 회로 다이 중의 하나보다 많은 집적 회로 다이에 배치된다. 실시예에서, 상기 패키지 컴포넌트의 각각은, 전면 재배선 구조물로서, 상기 IPD가 상기 전면 재배선 구조물 위에 배치되는 것인, 상기 전면 재배선 구조물; 상기 전면 재배선 구조물 위에 배치되며 상기 IPD를 둘러싸는 봉지재; 상기 봉지재를 통해 연장하며 상기 전면 재배선 구조물에 커플링된 쓰루 비아; 및 상기 쓰루 비아, 상기 IPD, 및 상기 봉지재 위의 배면 재배선 구조물을 포함하며, 상기 배면 재배선 구조물은 상기 쓰루 비아를 통해 상기 전면 재배선 구조물에 커플링된다. 실시예에서, 상기 제1 패키지는 하향식 도면에서 팔각형 형상을 갖는다.According to another embodiment, a device includes a first package comprising a plurality of integrated circuit dies; A plurality of power modules on the first package, each of the power modules being disposed directly above the integrated circuit die in a direction perpendicular to the main surface of the first package; And a plurality of package components interposed between the integrated circuit die and the power module, and each of the package components includes an integrated passive device (IPD). In an embodiment, one of the power modules and one of the package components are disposed on each of the integrated circuit die. In an embodiment, more than one of the power modules and more than one of the package components are disposed on each of the integrated circuit die. In an embodiment, one of the power modules and one of the package components is disposed on more integrated circuit dies than one of the integrated circuit dies. In an embodiment, each of the package components includes a front redistribution structure, wherein the IPD is disposed on the front redistribution structure; An encapsulant disposed on the front redistribution structure and surrounding the IPD; A through via extending through the encapsulant and coupled to the front redistribution structure; And a rear redistribution structure on the through via, the IPD, and the encapsulant, and the rear redistribution structure is coupled to the front redistribution structure through the through via. In an embodiment, the first package has an octagonal shape in a top-down view.

전술한 바는 당해 기술 분야에서의 숙련자들이 본 개시의 양상을 보다 잘 이해할 수 있도록 여러 실시예들의 특징을 나타낸 것이다. 당해 기술 분야에서의 숙련자라면, 여기에서 소개된 실시예와 동일한 목적을 수행하고/하거나 동일한 이점을 달성하기 위해 다른 프로세스 및 구조를 설계 또는 수정하기 위한 기반으로서 본 개시를 용이하게 사용할 수 있다는 것을 알아야 한다. 당해 기술 분야에서의 숙련자는 또한, 이러한 등가의 구성이 본 개시의 진정한 의미 및 범위로부터 벗어나지 않으며, 본 개시의 진정한 의미 및 범위에서 벗어나지 않고서 다양한 변경, 치환 및 대안을 행할 수 있다는 것을 알아야 한다. The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that the present disclosure can be readily used as a basis for designing or modifying other processes and structures to accomplish the same objectives and/or achieve the same advantages as the embodiments introduced herein. do. Those skilled in the art should also appreciate that such equivalent constructions are not departed from the true meaning and scope of the present disclosure, and various changes, substitutions, and alternatives can be made without departing from the true meaning and scope of the present disclosure.

실시예Example

실시예 1. 디바이스에 있어서, Example 1. In a device,

제1 패키지 컴포넌트로서, As a first package component,

제1 집적 회로 다이와; A first integrated circuit die;

상기 제1 집적 회로 다이를 적어도 부분적으로 둘러싸는 제1 봉지재(encapsulant)와; A first encapsulant surrounding at least partially the first integrated circuit die;

상기 제1 봉지재 상에 있으며 상기 제1 집적 회로 다이에 커플링된 재배선 구조물 A redistribution structure on the first encapsulant and coupled to the first integrated circuit die

을 포함하는, 상기 제1 패키지 컴포넌트; Including, The first package component;

상기 제1 패키지 컴포넌트에 본딩된 제2 패키지 컴포넌트로서, As a second package component bonded to the first package component,

집적 수동 소자와; An integrated passive element;

상기 집적 수동 소자를 적어도 부분적으로 둘러싸는 제2 봉지재 A second encapsulant at least partially surrounding the integrated passive element

를 포함하는, 상기 제2 패키지 컴포넌트; 및Including, The second package component; And

상기 제2 패키지 컴포넌트를 통해 상기 제1 패키지 컴포넌트에 부착된 파워 모듈Power module attached to the first package component through the second package component

을 포함하는 디바이스. Device comprising a.

실시예 2. 실시예 1에 있어서, 상기 재배선 구조물에 커플링된 전기 커넥터를 더 포함하며, 상기 전기 커넥터는 상기 제2 패키지 컴포넌트를 둘러싸는 것인 디바이스. Embodiment 2 The device of embodiment 1, further comprising an electrical connector coupled to the redistribution structure, the electrical connector surrounding the second package component.

실시예 3. 실시예 2에 있어서, 상기 제2 패키지는 상기 제2 봉지재에 배치된 능동 소자를 포함하지 않는 것인 디바이스. Embodiment 3 The device of embodiment 2, wherein the second package does not include an active element disposed in the second encapsulant.

실시예 4. 실시예 1에 있어서, 상기 파워 모듈은 솔더 본드를 사용하여 상기 제2 패키지 컴포넌트에 본딩되는 것인 디바이스. Embodiment 4 The device of embodiment 1, wherein the power module is bonded to the second package component using a solder bond.

실시예 5. 실시예 1에 있어서, 상기 파워 모듈은 스프링-타입 콘택(spring-type contact)을 사용하여 상기 제2 패키지에 커플링되는 것인 디바이스. Embodiment 5 The device of embodiment 1, wherein the power module is coupled to the second package using a spring-type contact.

실시예 6. 실시예 5에 있어서, 상기 제1 패키지 컴포넌트를 통해 연장하는 기계적 브레이스(mechanical brace)를 더 포함하며, 상기 기계적 브레이스는 상기 파워 모듈과 접촉하고, 상기 기계적 브레이스는 상기 파워 모듈을 상기 제2 패키지 컴포넌트에 부착시키는 것인 디바이스. Embodiment 6 The method of Embodiment 5, further comprising a mechanical brace extending through the first package component, wherein the mechanical brace contacts the power module, and the mechanical brace contacts the power module. A device that is attached to a second package component.

실시예 7. 실시예 1에 있어서, 상기 제1 패키지 컴포넌트에 부착된 열(thermal) 모듈을 더 포함하고, 상기 제2 패키지 컴포넌트는 상기 제1 패키지 컴포넌트의 제1 측부 상에 배치되며, 상기 제2 패키지 컴포넌트는 상기 제1 측부와 반대쪽에 있는, 상기 제1 패키지 컴포넌트의 제2 측부 상에 배치되는 것인 디바이스. Embodiment 7 In Embodiment 1, further comprising a thermal module attached to the first package component, the second package component is disposed on a first side of the first package component, and the first A device in which two package components are disposed on a second side of the first package component, opposite the first side.

실시예 8. 실시예 7에 있어서, 상기 열 모듈과 상기 제1 패키지 컴포넌트 사이에 배치된 TIM(thermal interface material)을 더 포함하고, 기계적 브레이스가 상기 열 모듈을 상기 제1 패키지 컴포넌트에 부착시키는 것인 디바이스. Embodiment 8. The method of Embodiment 7, further comprising a thermal interface material (TIM) disposed between the thermal module and the first package component, wherein a mechanical brace attaches the thermal module to the first package component. Device.

실시예 9. 방법에 있어서, Example 9. In a method,

제1 패키지 컴포넌트를 형성하는 단계로서, Forming a first package component,

제1 집적 수동 소자를 제1 재배선 구조물에 부착시키는 단계와; Attaching the first integrated passive element to the first redistribution structure;

상기 제1 집적 수동 소자를 봉지재로 봉지하는 단계와; Sealing the first integrated passive element with an encapsulant;

상기 제1 집적 수동 소자 및 상기 봉지재 위에 제2 재배선 구조물을 형성하는 단계 Forming a second redistribution structure on the first integrated passive element and the encapsulant

를 포함하는, 상기 제1 패키지 컴포넌트 형성 단계; Including, The first package component forming step;

파워 모듈을 상기 제2 재배선 구조물에 부착시키는 단계; 및 Attaching a power module to the second redistribution structure; And

상기 제1 재배선 구조물을 제2 패키지 컴포넌트의 제3 재배선 구조물에 본딩하는 단계를 포함하고, 상기 제2 패키지 컴포넌트는 상기 제3 재배선 구조물에 커플링된 제1 집적 회로 다이를 포함하는 것인 방법. Bonding the first redistribution structure to a third redistribution structure of a second package component, wherein the second package component comprises a first integrated circuit die coupled to the third redistribution structure. How to be.

실시예 10. 실시예 9에 있어서, 상기 파워 모듈을 상기 제1 패키지 컴포넌트에 부착한 후에 그리고 상기 제1 패키지 컴포넌트를 상기 제2 패키지 컴포넌트에 본딩하기 전에, 상기 제1 패키지 컴포넌트를 개별화(singulate)하는 단계를 더 포함하는 방법. Embodiment 10. In Embodiment 9, after attaching the power module to the first package component and before bonding the first package component to the second package component, singulate the first package component. The method further comprising the step of.

실시예 11. 실시예 9에 있어서, 상기 파워 모듈은, 상기 제1 패키지 컴포넌트가 상기 제2 패키지 컴포넌트에 본딩된 후에, 상기 제1 패키지 컴포넌트에 부착되는 것인 방법. Embodiment 11. The method of embodiment 9, wherein the power module is attached to the first package component after the first package component is bonded to the second package component.

실시예 12. 실시예 11에 있어서, 상기 파워 모듈을 상기 제1 패키지에 부착시키는 것은, 픽앤플레이스(pick-and-place) 머신을 사용하여 상기 제1 패키지 컴포넌트 위에 상기 파워 모듈을 배치하고, 기계적 브레이스를 사용하여 상기 제1 패키지 컴포넌트에 상기 파워 모듈을 고정시키는(fasten) 것을 포함하며, 상기 기계적 브레이스는 상기 제2 패키지 컴포넌트를 통해 연장하는 볼트를 포함하는 것인 방법. Embodiment 12. In Embodiment 11, attaching the power module to the first package comprises placing the power module on the first package component using a pick-and-place machine and mechanically And fastening the power module to the first package component using a brace, wherein the mechanical brace comprises a bolt extending through the second package component.

실시예 13. 실시예 12에 있어서, 상기 파워 모듈은 스프링-타입 콘택을 포함하고, 상기 기계적 브레이스는 상기 스프링-타입 콘택을 압축하도록 상기 스프링-타입 콘택에 압력을 가하는 것인 방법. Example 13. The method of example 12, wherein the power module comprises a spring-type contact, and the mechanical brace applies pressure to the spring-type contact to compress the spring-type contact.

실시예 14. 실시예 9에 있어서, 상기 파워 모듈과 상기 제1 패키지 컴포넌트의 제1 측부 사이에 제1 언더필 재료를 퇴적하는 단계 및 상기 제1 패키지 컴포넌트의 제2 측부와 상기 제2 패키지 컴포넌트 사이에 제2 언더필 재료를 퇴적하는 단계를 더 포함하는 방법. Embodiment 14. The method of embodiment 9, comprising depositing a first underfill material between the power module and the first side of the first package component and between the second side of the first package component and the second package component. Further comprising depositing a second underfill material.

실시예 15. 디바이스에 있어서, Example 15. In a device,

복수의 집적 회로 다이를 포함하는 제1 패키지; A first package comprising a plurality of integrated circuit dies;

상기 제1 패키지 위의 복수의 파워 모듈로서, 상기 파워 모듈의 각각은 상기 제1 패키지의 주면에 수직인 방향으로 상기 집적 회로 다이 바로 위에 배치되는 것인, 상기 복수의 파워 모듈; 및A plurality of power modules on the first package, each of the power modules being disposed directly above the integrated circuit die in a direction perpendicular to the main surface of the first package; And

상기 집적 회로 다이와 상기 파워 모듈 사이에 개재된 복수의 패키지 컴포넌트를 포함하고, 상기 패키지 컴포넌트의 각각은 집적 소동 소자(IPD; integrated passive device)를 포함하는 것인 디바이스. And a plurality of package components interposed between the integrated circuit die and the power module, each of the package components comprising an integrated passive device (IPD).

실시예 16. 실시예 15에 있어서, 상기 파워 모듈 중의 하나 및 상기 패키지 컴포넌트 중의 하나가 상기 집적 회로 다이의 각각 위에 배치되는 것인 디바이스. Embodiment 16 The device of embodiment 15, wherein one of the power modules and one of the package components are disposed on each of the integrated circuit die.

실시예 17. 실시예 15에 있어서, 상기 파워 모듈 중의 하나보다 많은 파워 모듈 및 상기 패키지 컴포넌트 중의 하나보다 많은 패키지 컴포넌트가 상기 집적 회로 다이의 각각 위에 배치되는 것인 디바이스. Embodiment 17 The device of embodiment 15, wherein more than one of the power modules and more than one of the package components are disposed on each of the integrated circuit die.

실시예 18. 실시예 15에 있어서, 상기 파워 모듈 중의 하나 및 상기 패키지 컴포넌트 중의 하나가 상기 집적 회로 다이 중의 하나보다 많은 집적 회로 다이에 배치되는 것인 디바이스. Embodiment 18. The device of embodiment 15, wherein one of the power modules and one of the package components are disposed on more integrated circuit dies than one of the integrated circuit dies.

실시예 19. 실시예 15에 있어서, 상기 패키지 컴포넌트의 각각은, Embodiment 19. In Embodiment 15, each of the package components,

전면 재배선 구조물로서, 상기 IPD가 상기 전면 재배선 구조물 위에 배치되는 것인, 상기 전면 재배선 구조물; A front redistribution structure, wherein the IPD is disposed on the front redistribution structure;

상기 전면 재배선 구조물 위에 배치되며 상기 IPD를 둘러싸는 봉지재; An encapsulant disposed on the front redistribution structure and surrounding the IPD;

상기 봉지재를 통해 연장하며 상기 전면 재배선 구조물에 커플링된 쓰루 비아; 및A through via extending through the encapsulant and coupled to the front redistribution structure; And

상기 쓰루 비아, 상기 IPD, 및 상기 봉지재 위의 배면 재배선 구조물을 포함하며, 상기 배면 재배선 구조물은 상기 쓰루 비아를 통해 상기 전면 재배선 구조물에 커플링되는 것인 디바이스. And a rear redistribution structure on the through via, the IPD, and the encapsulant, wherein the rear redistribution structure is coupled to the front redistribution structure through the through via.

실시예 20. 실시예 15에 있어서, 상기 제1 패키지는 하향식 도면에서 팔각형 형상을 갖는 것인 디바이스. Embodiment 20. The device of embodiment 15, wherein the first package has an octagonal shape in a top-down view.

Claims (10)

디바이스에 있어서,
제1 패키지 컴포넌트로서,
제1 집적 회로 다이와;
상기 제1 집적 회로 다이를 적어도 부분적으로 둘러싸는 제1 봉지재(encapsulant)와;
상기 제1 봉지재 상에 있으며 상기 제1 집적 회로 다이에 커플링된 재배선 구조물
을 포함하는, 상기 제1 패키지 컴포넌트;
상기 제1 패키지 컴포넌트에 본딩된 제2 패키지 컴포넌트로서,
집적 수동 소자와;
상기 집적 수동 소자를 적어도 부분적으로 둘러싸는 제2 봉지재
를 포함하는, 상기 제2 패키지 컴포넌트; 및
상기 제2 패키지 컴포넌트를 통해 상기 제1 패키지 컴포넌트에 부착된 파워 모듈
을 포함하는 디바이스.
In the device,
As a first package component,
A first integrated circuit die;
A first encapsulant surrounding at least partially the first integrated circuit die;
A redistribution structure on the first encapsulant and coupled to the first integrated circuit die
Including, The first package component;
As a second package component bonded to the first package component,
An integrated passive element;
A second encapsulant at least partially surrounding the integrated passive element
Including, The second package component; And
Power module attached to the first package component through the second package component
Device comprising a.
청구항 1에 있어서, 상기 재배선 구조물에 커플링된 전기 커넥터를 더 포함하며, 상기 전기 커넥터는 상기 제2 패키지 컴포넌트를 둘러싸는 것인 디바이스. The device of claim 1, further comprising an electrical connector coupled to the redistribution structure, the electrical connector surrounding the second package component. 청구항 2에 있어서, 상기 제2 패키지는 상기 제2 봉지재에 배치된 능동 소자를 포함하지 않는 것인 디바이스. The device of claim 2, wherein the second package does not include active elements disposed on the second encapsulant. 청구항 1에 있어서, 상기 파워 모듈은 솔더 본드를 사용하여 상기 제2 패키지 컴포넌트에 본딩되는 것인 디바이스. The device of claim 1, wherein the power module is bonded to the second package component using a solder bond. 청구항 1에 있어서, 상기 파워 모듈은 스프링-타입 콘택(spring-type contact)을 사용하여 상기 제2 패키지에 커플링되는 것인 디바이스. The device of claim 1, wherein the power module is coupled to the second package using a spring-type contact. 청구항 5에 있어서, 상기 제1 패키지 컴포넌트를 통해 연장하는 기계적 브레이스(mechanical brace)를 더 포함하며, 상기 기계적 브레이스는 상기 파워 모듈과 접촉하고, 상기 기계적 브레이스는 상기 파워 모듈을 상기 제2 패키지 컴포넌트에 부착시키는 것인 디바이스. The method according to claim 5, further comprising a mechanical brace (mechanical brace) extending through the first package component, the mechanical brace is in contact with the power module, the mechanical brace is the power module to the second package component Device to attach. 청구항 1에 있어서, 상기 제1 패키지 컴포넌트에 부착된 열(thermal) 모듈을 더 포함하고, 상기 제2 패키지 컴포넌트는 상기 제1 패키지 컴포넌트의 제1 측부 상에 배치되며, 상기 제2 패키지 컴포넌트는 상기 제1 측부와 반대쪽에 있는, 상기 제1 패키지 컴포넌트의 제2 측부 상에 배치되는 것인 디바이스. The method according to claim 1, further comprising a thermal module attached to the first package component, the second package component is disposed on the first side of the first package component, the second package component is the A device that is disposed on a second side of the first package component, opposite the first side. 청구항 7에 있어서, 상기 열 모듈과 상기 제1 패키지 컴포넌트 사이에 배치된 TIM(thermal interface material)을 더 포함하고, 기계적 브레이스가 상기 열 모듈을 상기 제1 패키지 컴포넌트에 부착시키는 것인 디바이스. The device of claim 7, further comprising a thermal interface material (TIM) disposed between the thermal module and the first package component, wherein a mechanical brace attaches the thermal module to the first package component. 방법에 있어서,
제1 패키지 컴포넌트를 형성하는 단계로서,
제1 집적 수동 소자를 제1 재배선 구조물에 부착시키는 단계와;
상기 제1 집적 수동 소자를 봉지재로 봉지하는 단계와;
상기 제1 집적 수동 소자 및 상기 봉지재 위에 제2 재배선 구조물을 형성하는 단계
를 포함하는, 상기 제1 패키지 컴포넌트 형성 단계;
파워 모듈을 상기 제2 재배선 구조물에 부착시키는 단계; 및
상기 제1 재배선 구조물을 제2 패키지 컴포넌트의 제3 재배선 구조물에 본딩하는 단계를 포함하고, 상기 제2 패키지 컴포넌트는 상기 제3 재배선 구조물에 커플링된 제1 집적 회로 다이를 포함하는 것인 방법.
In the way,
Forming a first package component,
Attaching the first integrated passive element to the first redistribution structure;
Sealing the first integrated passive element with an encapsulant;
Forming a second redistribution structure on the first integrated passive element and the encapsulant
Including, The first package component forming step;
Attaching a power module to the second redistribution structure; And
Bonding the first redistribution structure to a third redistribution structure of a second package component, wherein the second package component comprises a first integrated circuit die coupled to the third redistribution structure. How to be.
디바이스에 있어서,
복수의 집적 회로 다이를 포함하는 제1 패키지;
상기 제1 패키지 위의 복수의 파워 모듈로서, 상기 파워 모듈의 각각은 상기 제1 패키지의 주면에 수직인 방향으로 상기 집적 회로 다이 바로 위에 배치되는 것인, 상기 복수의 파워 모듈; 및
상기 집적 회로 다이와 상기 파워 모듈 사이에 개재된 복수의 패키지 컴포넌트를 포함하고, 상기 패키지 컴포넌트의 각각은 집적 소동 소자(IPD; integrated passive device)를 포함하는 것인 디바이스.
In the device,
A first package comprising a plurality of integrated circuit dies;
A plurality of power modules on the first package, each of the power modules being disposed directly above the integrated circuit die in a direction perpendicular to the main surface of the first package; And
And a plurality of package components interposed between the integrated circuit die and the power module, each of the package components comprising an integrated passive device (IPD).
KR1020190152673A 2018-12-26 2019-11-25 Integrated circuit package and method KR102352525B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862785133P 2018-12-26 2018-12-26
US62/785,133 2018-12-26
US16/589,758 US11183487B2 (en) 2018-12-26 2019-10-01 Integrated circuit package and method
US16/589,758 2019-10-01

Publications (2)

Publication Number Publication Date
KR20200081231A true KR20200081231A (en) 2020-07-07
KR102352525B1 KR102352525B1 (en) 2022-01-19

Family

ID=71079777

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190152673A KR102352525B1 (en) 2018-12-26 2019-11-25 Integrated circuit package and method

Country Status (3)

Country Link
KR (1) KR102352525B1 (en)
CN (1) CN111384043B (en)
DE (1) DE102019126974B4 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11527518B2 (en) * 2020-07-27 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Heat dissipation in semiconductor packages and methods of forming same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020012231A1 (en) * 1999-12-29 2002-01-31 Rakesh Bhatia Low thermal resistance interface for attachment of thermal materials to a processor die
US20070278669A1 (en) * 2006-05-31 2007-12-06 Infineon Technologies Ag Semiconductor circuit arrangement
KR20160088233A (en) * 2014-12-19 2016-07-25 인텔 아이피 코포레이션 Stacked semiconductor device package with improved interconnect bandwidth

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7170165B2 (en) * 2005-02-02 2007-01-30 Agilent Technologies, Inc. Circuit board assembly with a brace surrounding a ball-grid array device
US7790511B2 (en) * 2007-06-11 2010-09-07 International Business Machines Corporation Method for direct heat sink attachment
CN101471313A (en) * 2007-12-27 2009-07-01 育霈科技股份有限公司 Stereo electronic packaging structure containing conduction support base material
KR101922875B1 (en) * 2016-03-31 2018-11-28 삼성전기 주식회사 Electronic component package
US9991235B2 (en) * 2016-07-22 2018-06-05 Invensas Corporation Package on-package devices with upper RDL of WLPS and methods therefor
CN207367957U (en) * 2017-09-01 2018-05-15 清华大学 Electric device and electric device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020012231A1 (en) * 1999-12-29 2002-01-31 Rakesh Bhatia Low thermal resistance interface for attachment of thermal materials to a processor die
US20070278669A1 (en) * 2006-05-31 2007-12-06 Infineon Technologies Ag Semiconductor circuit arrangement
KR20160088233A (en) * 2014-12-19 2016-07-25 인텔 아이피 코포레이션 Stacked semiconductor device package with improved interconnect bandwidth

Also Published As

Publication number Publication date
DE102019126974B4 (en) 2022-11-17
KR102352525B1 (en) 2022-01-19
CN111384043B (en) 2022-05-17
CN111384043A (en) 2020-07-07
DE102019126974A1 (en) 2020-07-02

Similar Documents

Publication Publication Date Title
TWI724706B (en) Packaged device and method of forming the same
US10957616B2 (en) Package structure and method
US11664322B2 (en) Multi-stacked package-on-package structures
US11189603B2 (en) Semiconductor packages and methods of forming same
TWI642157B (en) Semiconductor package and method of forming the same
US20190148301A1 (en) Semiconductor Package and Method
US11749644B2 (en) Semiconductor device with curved conductive lines and method of forming the same
US20220359231A1 (en) Integrated Circuit Package and Method
KR20190142270A (en) Integrated circuit package and method of forming same
US20200176387A1 (en) Integrated Circuit Package and Method
US11735576B2 (en) Integrated circuit package and method
KR20200066544A (en) Integrated circuit package and method
US20220367420A1 (en) Integrated Circuit Package and Method
US20230260896A1 (en) Integrated circuit package and method
KR102352525B1 (en) Integrated circuit package and method
US20230402403A1 (en) Semiconductor package and manufacturing method of semiconductor package
TW202310306A (en) Semiconductor package and manufacturing method thereof

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)