KR20200037102A - Mram fabrication and device - Google Patents

Mram fabrication and device Download PDF

Info

Publication number
KR20200037102A
KR20200037102A KR1020190120009A KR20190120009A KR20200037102A KR 20200037102 A KR20200037102 A KR 20200037102A KR 1020190120009 A KR1020190120009 A KR 1020190120009A KR 20190120009 A KR20190120009 A KR 20190120009A KR 20200037102 A KR20200037102 A KR 20200037102A
Authority
KR
South Korea
Prior art keywords
layer
upper electrode
mtj
mram
electrode
Prior art date
Application number
KR1020190120009A
Other languages
Korean (ko)
Other versions
KR102318307B1 (en
Inventor
정-탕 우
맹-유 우
츄-후아 우
친-츄 리
웬친 린
한-팅 차이
유-젠 치엥
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20200037102A publication Critical patent/KR20200037102A/en
Application granted granted Critical
Publication of KR102318307B1 publication Critical patent/KR102318307B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/02Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements
    • G11C11/16Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using elements in which the storage effect is based on magnetic spin effect
    • G11C11/161Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using elements in which the storage effect is based on magnetic spin effect details concerning the memory cell structure, e.g. the layers of the ferromagnetic memory cell
    • H01L43/12
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F10/00Thin magnetic films, e.g. of one-domain structure
    • H01F10/26Thin magnetic films, e.g. of one-domain structure characterised by the substrate or intermediate layers
    • H01F10/30Thin magnetic films, e.g. of one-domain structure characterised by the substrate or intermediate layers characterised by the composition of the intermediate layers, e.g. seed, buffer, template, diffusion preventing, cap layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F10/00Thin magnetic films, e.g. of one-domain structure
    • H01F10/32Spin-exchange-coupled multilayers, e.g. nanostructured superlattices
    • H01F10/324Exchange coupling of magnetic film pairs via a very thin non-magnetic spacer, e.g. by exchange with conduction electrons of the spacer
    • H01F10/3254Exchange coupling of magnetic film pairs via a very thin non-magnetic spacer, e.g. by exchange with conduction electrons of the spacer the spacer being semiconducting or insulating, e.g. for spin tunnel junction [STJ]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F41/00Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties
    • H01F41/14Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties for applying magnetic films to substrates
    • H01F41/30Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties for applying magnetic films to substrates for applying nanostructures, e.g. by molecular beam epitaxy [MBE]
    • H01F41/302Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties for applying magnetic films to substrates for applying nanostructures, e.g. by molecular beam epitaxy [MBE] for applying spin-exchange-coupled multilayers, e.g. nanostructured superlattices
    • H01F41/305Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties for applying magnetic films to substrates for applying nanostructures, e.g. by molecular beam epitaxy [MBE] for applying spin-exchange-coupled multilayers, e.g. nanostructured superlattices applying the spacer or adjusting its interface, e.g. in order to enable particular effect different from exchange coupling
    • H01F41/307Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties for applying magnetic films to substrates for applying nanostructures, e.g. by molecular beam epitaxy [MBE] for applying spin-exchange-coupled multilayers, e.g. nanostructured superlattices applying the spacer or adjusting its interface, e.g. in order to enable particular effect different from exchange coupling insulating or semiconductive spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F41/00Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties
    • H01F41/32Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties for applying conductive, insulating or magnetic material on a magnetic film, specially adapted for a thin magnetic film
    • H01F41/34Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties for applying conductive, insulating or magnetic material on a magnetic film, specially adapted for a thin magnetic film in patterns, e.g. by lithography
    • H01L43/02
    • H01L43/08
    • H01L43/10
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/10Magnetoresistive devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/80Constructional details
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/80Constructional details
    • H10N50/85Magnetic active materials
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices

Abstract

The present invention relates to an MRAM manufacturing and device. In MRAM manufacturing, a top electrode of a magnetoresistive random access memory (MRAM) device over a magnetic tunnel junction (MTJ) is formed using a film of titanium nitride oriented in a (111) crystal structure rather than a top electrode which uses tantalum, tantalum nitride, and/or a multilayer including tantalum and tantalum nitride.

Description

MRAM 제조 및 디바이스{MRAM FABRICATION AND DEVICE}MRAM FABRICATION AND DEVICE

우선권 주장 및 교차 참조Priority claims and cross-references

본 출원은 2018년 9월 28일자로 출원되고, 발명의 명칭이 “MRAM 제조 및 디바이스(MRAM Fabrication and Device)”인 미국 가출원 제62/738,681호의 이점을 향유하며, 이 미국 특허의 전체 내용은 참조에 의해 여기에 포함된다.This application is filed on September 28, 2018, and enjoys the advantages of U.S. Provisional Application No. 62 / 738,681 entitled "MRAM Fabrication and Device", the entire contents of this U.S. patent. By is included here.

반도체 메모리는, 예컨대 라디오, 텔레비전, 휴대폰 및 개인용 컴퓨팅 디바이스를 포함하는 전자 어플리케이션을 위한 집적 회로에서 사용된다. 한가지 타입의 반도체 메모리 디바이스는, 반도체 기술과 자성 재료 및 디바이스를 조합하는 스핀 일렉트로닉스(spin electronics)를 필요로 한다. 전자의 스핀은 전자의 전하보다는 그 자기 모멘트를 통해 비트를 나타내는 데 사용된다. Semiconductor memories are used in integrated circuits for electronic applications, including, for example, radios, televisions, cell phones, and personal computing devices. One type of semiconductor memory device requires spin electronics that combines semiconductor technology with magnetic materials and devices. The spin of an electron is used to represent the bit through its magnetic moment rather than the charge of the electron.

한가지 그러한 전자 디바이스는 자기저항 랜덤 액세스 메모리(Magnetoresistive Random Access Memory; MRAM) 어레이로, 상이한 방향, 예컨대 상이한 금속층에서 서로 수직하게 위치 설정되는 도전성 라인(워드 라인 및 비트 라인)들을 포함한다. 도전성 라인들은 자기 터널 접합부(Magnetic Tunnel Junction; MTJ)를 사이에 끼워, 자기 메모리 셀로서 기능한다.One such electronic device is a magnetoresistive random access memory (MRAM) array, which includes conductive lines (word lines and bit lines) positioned vertically to each other in different directions, such as different metal layers. The conductive lines sandwich a magnetic tunnel junction (MTJ) to function as a magnetic memory cell.

실시예는, 비아 위에 저부 전극을 형성하는 단계로서, 비아는 저부 전극을 자기저항 랜덤 액세스 메모리 디바이스(MRAM)를 위한 제어 라인에 전기적으로 커플링하는 것인 저부 전극 형성 단계를 포함하는 방법이다. 자기 터널 접합부(MTJ)가 저부 전극 위에 형성된다. 상부 전극이 MTJ 위에 형성되고, 상부 전극의 재료는 10초 이하에서 450 ℃보다 높은 산화 온도를 갖는 제1 재료로 형성된다. An embodiment is a method comprising forming a bottom electrode over a via, wherein the via is electrically coupling the bottom electrode to a control line for a magnetoresistive random access memory device (MRAM). A magnetic tunnel junction (MTJ) is formed on the bottom electrode. An upper electrode is formed on the MTJ, and the material of the upper electrode is formed of a first material having an oxidation temperature higher than 450 ° C in 10 seconds or less.

다른 실시예는, 자기저항 랜덤 액세스 메모리 디바이스(MRAM)의 저부 전극을 형성하는 단계를 포함하는 방법이다. 자기 터널 접합부(MTJ)는 저부 전극 위에 형성되고, MTJ는 반강자성층, 피닝층 및 자유층을 포함한다. 상부 전극은 MTJ 위에 형성되고, 상부 전극은 MTJ의 자유층에 물리적으로 커플링되며, 질화티탄을 포함한다. Another embodiment is a method comprising forming a bottom electrode of a magnetoresistive random access memory device (MRAM). The magnetic tunnel junction (MTJ) is formed on the bottom electrode, and the MTJ includes an antiferromagnetic layer, a pinning layer, and a free layer. The upper electrode is formed over the MTJ, the upper electrode is physically coupled to the free layer of MTJ, and contains titanium nitride.

다른 실시예는, 질화티탄 필름을 포함하고, 우세한 결정 방위 농도(dominant crystal orientation concentration)로서 결정 방위 (111)을 포함하는 상부 전극을 포함하는 자기저항 랜덤 액세스 메모리(MRAM) 셀이다. MRAM 셀은 상부 전극 아래에 배치되는 자기 터널 접합부(MTJ)와, MTJ 아래에 배치되는 저부 전극을 더 포함한다.Another embodiment is a magnetoresistive random access memory (MRAM) cell comprising a titanium nitride film and including an upper electrode comprising a crystal orientation 111 as a dominant crystal orientation concentration. The MRAM cell further includes a magnetic tunnel junction (MTJ) disposed under the upper electrode and a bottom electrode disposed under the MTJ.

다른 실시예는, 저부 전극 비아에 의해 하부 기판의 금속 피쳐에 접속되는 저부 전극을 포함하는 자기저항 랜덤 액세스 메모리(MRAM) 디바이스이다. MRAM 디바이스는 저부 전극 위에 배치되는 자기 터널 접합부(MTJ)와, MTJ 위에 배치되고, 10초 이하에서 450 ℃의 산화 온도를 갖는 재료를 포함하는 상부 전극을 더 포함한다. Another embodiment is a magnetoresistive random access memory (MRAM) device that includes a bottom electrode connected to a metal feature of the bottom substrate by bottom electrode vias. The MRAM device further includes a magnetic tunnel junction (MTJ) disposed over the bottom electrode, and an upper electrode disposed over the MTJ and comprising a material having an oxidation temperature of 450 ° C. in 10 seconds or less.

본 개시의 양태는 아래의 상세한 설명을 첨부도면과 함께 읽어볼 때에 가장 잘 이해된다. 업계에서의 표준 관례에 따르면, 다양한 피쳐들이 실척으로 도시되지 않는다는 점에 주목하라. 사실상, 다양한 피쳐들의 치수는 설명의 명확성을 위해 임의로 증가 또는 감소될 수 있다.
도 1 내지 도 7은 몇몇 실시예에 따른 자기저항 랜덤 액세스 메모리(MRAM) 디바이스를 형성하는 프로세스 흐름을 위한 중간 단계를 예시하는 도면.
도 8a 및 도 8b는 몇몇 실시예에 따른 MRAM 디바이스의 상부 전극을 형성하는 데 사용 가능한 성막실을 예시하는 도면.
도 9a 및 도 9b는 몇몇 실시예에 따른 MRAM 디바이스의 상부 전극 필름을 예시하는 도면.
도 10 및 도 11은 몇몇 실시예에 따른 MRAM 디바이스의 다양한 특성을 예시하는 도면.
도 12는 몇몇 실시예에 따른 MRAM 디바이스를 형성하는 프로세스 흐름에서의 중간 단계를 예시하는 도면.
도 13은 몇몇 실시예에 따른, MRAM 디바이스의 단면도.
도 14는 몇몇 실시예에 따른 MRAM 디바이스의 다양한 특성을 예시하는 도면.
Aspects of the present disclosure are best understood when reading the detailed description below in conjunction with the accompanying drawings. Note that according to standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features can be arbitrarily increased or decreased for clarity of explanation.
1-7 illustrate intermediate steps for a process flow forming a magnetoresistive random access memory (MRAM) device in accordance with some embodiments.
8A and 8B illustrate a deposition chamber usable to form an upper electrode of an MRAM device in accordance with some embodiments.
9A and 9B illustrate top electrode films of MRAM devices in accordance with some embodiments.
10 and 11 illustrate various characteristics of an MRAM device in accordance with some embodiments.
12 illustrates an intermediate step in a process flow forming an MRAM device in accordance with some embodiments.
13 is a cross-sectional view of an MRAM device, in accordance with some embodiments.
14 illustrates various characteristics of an MRAM device in accordance with some embodiments.

아래의 개시는 본 발명의 상이한 피쳐들을 구현하기 위한 여러 상이한 실시예들 또는 예들을 제시한다. 본 개시를 평이하게 하기 위해, 구성요소 및 배치의 특정예들이 아래에서 설명된다. 이들은 단순히 예일 뿐임은 물론이며, 제한하는 것으로 의도되지 않는다. 예컨대, 후속하는 설명에 있어서 제2 피쳐 위에 또는 제2 피쳐 상에 제1 피쳐의 형성은, 제1 및 제2 피쳐가 직접 접촉한 상태로 형성되는 실시예를 포함할 수 있고, 제1 피쳐와 제2 피쳐가 직접 접촉할 수 없도록 제1 피쳐와 제2 피쳐 사이에 다른 피쳐가 형성될 수 있는 실시예도 또한 포함할 수 있다. 또한, 본 개시는 다양한 예에 있어서 참조부호 및/또는 문자를 반복할 수 있다. 이러한 반복은 간결성 및 명확성을 위한 것이며, 그 자체로 설명되는 다양한 실시예들 및/또는 구성들 간의 관계를 나타내는 것은 아니다. The disclosure below presents several different embodiments or examples for implementing different features of the invention. To simplify the present disclosure, specific examples of components and arrangements are described below. These are, of course, merely examples, and are not intended to be limiting. For example, in the following description, the formation of the first feature on the second feature or on the second feature may include embodiments in which the first and second features are formed in direct contact with the first feature. It may also include embodiments in which other features can be formed between the first feature and the second feature such that the second feature cannot be in direct contact. In addition, the present disclosure may repeat reference signs and / or characters in various examples. This repetition is for brevity and clarity, and does not represent relationships between the various embodiments and / or configurations described by itself.

더욱이, “아래(beneath)”, “밑(below)”, “하부(lower)”, “위(above)”, “상부(upper)” 등과 같은 공간적 상대 용어는 여기에서는 도면에 예시된 바와 같은 하나의 요소 또는 피쳐의 다른 요소(들) 또는 피쳐(들)에 대한 관계를 기술하는 설명의 편의성을 위해 사용될 수 있다. 공간적인 상대 용어는 도면에 도시한 방위뿐만 아니라 사용 시 또는 공정 시에 디바이스의 상이한 방위를 포괄하는 것으로 의도된다. 장치는 달리 배향될 수 있고(90도 회전되거나 다른 방위로 배향됨), 본 명세서에서 사용되는 공간적으로 상대적인 기술어는 그에 따라 해석될 수 있다.Moreover, spatial relative terms such as “beneath”, “below”, “lower”, “above”, “upper”, etc., are as illustrated herein in the drawings. It can be used for convenience of description describing the relationship of one element or feature to another element (s) or feature (s). Spatial relative terms are intended to encompass different orientations of the device in use or in process as well as orientation shown in the figures. The device can be oriented differently (rotated 90 degrees or oriented in a different orientation), and the spatially relative descriptors used herein can be interpreted accordingly.

자기저항 랜덤 액세스 메모리(MRAM) 디바이스를 형성하는 데 있어서, 상부 전극을 형성한 후, 후속 프로세싱 단계는 층들을 개별 셀로 패터닝하는 단계를 포함한다. 패터닝 동안에 상부 전극 및/또는 하부층의 산화가 MRAM 셀의 자기 터널 접합(MTJ) 공정에 대한 문제를 야기할 수 있다. 특히, 산소는 MTJ에서의 전자 스핀과, MTJ의 자유층의 자기적 가역성(magnetic reversibility)을 억제할 수 있다. 실시예의 프로세스는, 후속 프로세싱에 기인할 수 있는 산소 오염을 줄이는, 상부 전극을 형성하는 성막 기술을 이용한다. 상부 전극의 결정 방위는 하부층의 산소 오염을 줄인다. 예컨대, 아래에서 보다 상세히 설명하겠지만, 결정 방위가 (111)(면심 입방 구조)인, 질화티탄으로 형성된 단일층 상부 전극이 사용되어, MRAM 셀에 있는 MTJ의 자유층을 포함하는 하부층을 위한 산소 억제 특성을 제공할 수 있다. 다른 재료로 이루어진 단일층 또는 질화티탄과 다른 재료로 이루어진 다층도 또한 사용될 수 있다. 질화티탄은 또한 이들 프로세스에서 약 450 ℃가 넘는 비교적 높은 산화 온도를 갖는다는 장점도 갖는다.In forming a magnetoresistive random access memory (MRAM) device, after forming the upper electrode, subsequent processing steps include patterning the layers into individual cells. Oxidation of the top electrode and / or bottom layer during patterning can cause problems for the magnetic tunnel junction (MTJ) process of MRAM cells. In particular, oxygen can suppress electron spin in MTJ and magnetic reversibility of the free layer of MTJ. The process of the embodiment utilizes a deposition technique to form the top electrode, reducing oxygen contamination that can be attributed to subsequent processing. The crystal orientation of the upper electrode reduces oxygen contamination in the lower layer. For example, as will be described in more detail below, a single layer top electrode formed of titanium nitride, having a crystal orientation of (111) (face-centered cubic structure), is used to suppress oxygen for the lower layer including the free layer of MTJ in the MRAM cell. Characteristics can be provided. A single layer made of other materials or a multilayer made of titanium nitride and other materials may also be used. Titanium nitride also has the advantage of having a relatively high oxidation temperature above about 450 ° C in these processes.

도 1 내지 도 13은 MRAM 디바이스(10) 제조의 중간 단계를 예시한다. 도 1에는, 기판(90)이 예시되어 있다. 몇몇 실시예에서, 기판(90)은 캐리어 기판이고, MRAM 디바이스(10)는 캐리어 기판 상에 형성된다. MRAM 디바이스(10)는 MRAM 셀(20) 및 MRAM 셀(25)을 포함하여 다수의 MRAM 셀 영역을 포함할 수 있다. MRAM 셀의 각 층들이 MRAM 디바이스(10)로 형성된 후, 셀들은 개별 MRAM 셀로 패터닝된다. 1 to 13 illustrate an intermediate step of manufacturing the MRAM device 10. In Fig. 1, a substrate 90 is illustrated. In some embodiments, the substrate 90 is a carrier substrate, and the MRAM device 10 is formed on a carrier substrate. The MRAM device 10 may include a plurality of MRAM cell regions including the MRAM cell 20 and the MRAM cell 25. After each layer of the MRAM cell is formed of the MRAM device 10, the cells are patterned into individual MRAM cells.

몇몇 실시예에서, 기판(90)은 실리콘, 실리콘 게르마늄 등과 같은 반도체 재료로 형성될 수 있다. 몇몇 실시예에서, 기판(90)은 결정질 실리콘 기판, 결정질 실리콘 카본 기판, 결정질 실리콘 게르마늄 기판, III-V족 화합물 반도체 기판 등과 같은 결정질 반도체 기판이다. 실시예에서, 기판(90)은 벌크 실리콘, 도핑형 또는 언도핑형, 또는 실리콘 온 인슐레이터(Silicon-On-Insulator; SOI) 기판의 활성층을 포함할 수 있다. 일반적으로, SOI 기판은 실리콘, 게르마늄, 실리콘 게르마늄, 또는 실리콘 게르마늄 온 인슐레이터(Silicon Germanium On Insulator; SGOI)와 같은 이들의 조합과 같은 반도체 재료층을 포함한다. 사용될 수 있는 다른 기판으로는 다층 기판, 그래디언트 기판(gradient substrate) 또는 하이브리드 배향 기판(hybrid orientation substrate)이 있다.In some embodiments, the substrate 90 may be formed of a semiconductor material such as silicon, silicon germanium, or the like. In some embodiments, the substrate 90 is a crystalline semiconductor substrate, such as a crystalline silicon substrate, a crystalline silicon carbon substrate, a crystalline silicon germanium substrate, a III-V compound semiconductor substrate, and the like. In an embodiment, the substrate 90 may include an active layer of a bulk silicon, doped or undoped, or silicon-on-insulator (SOI) substrate. Generally, an SOI substrate includes a layer of semiconductor material, such as silicon, germanium, silicon germanium, or a combination of these, such as Silicon Germanium On Insulator (SGOI). Other substrates that can be used are multilayer substrates, gradient substrates, or hybrid orientation substrates.

몇몇 실시예에서, 기판(90)은 상호 접속 또는 재분배 구조의 일부일 수 있다. 기판(90)은 유전체와 같은 격리 재료로 형성될 수 있다. 몇몇 실시예에서, 기판(90)은 IMD(Inter-Metal Dielectric; 금속간 유전체)층 또는 ILD(Inter-Layer Dielectric; 층간 유전체)층을 포함할 수 있으며, 예컨대 3.8 미만, 약 3.0 미만 또는 약 2.5 미만의 유전 상수(k 값)를 갖고 내부에 도전성 피쳐(feature)가 형성된 유전체 재료를 포함할 수 있다. 기판(90)의 격리 재료는 PSG(PhosphoSilicate Glass), BSG(BoroSilicate Glass), BPSG(Boron-doped PhosphoSilicate Glass), FSG(Fluorine-doped Silicate Glass), TEOS(TetraEthyl OrthoSilicate), 블랙 다이아몬드(Black Diamond)(Applied Materials Inc.의 등록 상표), 탄소 함유 저유전상수 유전체 재료, HSQ(Hydrogen SilsesQuioxane), MSQ(MethylSilsesQuioxane) 등으로 형성될 수 있다.In some embodiments, substrate 90 may be part of an interconnect or redistribution structure. The substrate 90 can be formed of an insulating material, such as a dielectric. In some embodiments, the substrate 90 may include an Inter-Metal Dielectric (IMD) layer or an Inter-Layer Dielectric (ILD) layer, such as less than 3.8, less than about 3.0, or about 2.5 It may include a dielectric material having a dielectric constant less than (k value) and having conductive features formed therein. The insulating material of the substrate 90 is PSG (PhosphoSilicate Glass), BSG (BoroSilicate Glass), BPSG (Boron-doped PhosphoSilicate Glass), FSG (Fluorine-doped Silicate Glass), TEOS (TetraEthyl OrthoSilicate), Black Diamond (Black Diamond) (Registered trademark of Applied Materials Inc.), a low dielectric constant dielectric material containing carbon, Hydrogen SilsesQuioxane (HSQ), MethylSilsesQuioxane (MSQ), and the like.

층(100)이 기판(90) 위에 형성된다. 몇몇 실시예에서, 층(100)은 실리콘, 실리콘 게르마늄 등과 같은 반도체 재료로 형성될 수 있다. 몇몇 실시예에서, 층(100)은 결정질 실리콘, 결정질 실리콘 카본, 결정질 실리콘 게르마늄 기판, III-V족 화합물 반도체 등과 같은 결정질 반도체이다. 실시예에서, 층(100)은 벌크 실리콘, 도핑형 또는 언도핑형, 또는 실리콘 온 인슐레이터(SOI) 기판의 활성층을 포함할 수 있다. Layer 100 is formed over substrate 90. In some embodiments, layer 100 may be formed of a semiconductor material such as silicon, silicon germanium, or the like. In some embodiments, layer 100 is a crystalline semiconductor, such as crystalline silicon, crystalline silicon carbon, crystalline silicon germanium substrate, group III-V compound semiconductor, and the like. In an embodiment, the layer 100 may include an active layer of bulk silicon, doped or undoped, or silicon on insulator (SOI) substrates.

몇몇 실시예에서, 층(100)은 상호 접속 또는 재분배 구조의 일부일 수 있다. 층(100)은 유전체 재료와 같은 격리 재료로 형성될 수 있다. 몇몇 실시예에서, 층(100)은, 예컨대 3.8 미만, 약 3.0 미만 또는 약 2.5 미만의 유전 상수(k 값)를 갖는 IMD층 또는 ILD층과, 도전성 피쳐(105)와 같은 도전성 피쳐를 포함할 수 있다. 층(100)의 격리 재료는 PSG, BSG, BPSG, FSG, TEOS, 블랙 다이아몬드(Applied Materials Inc.의 등록 상표), 탄소 함유 저유전상수 유전재, HSQ, MSQ 등으로 형성될 수 있다.In some embodiments, layer 100 may be part of an interconnect or redistribution structure. Layer 100 may be formed of an insulating material, such as a dielectric material. In some embodiments, layer 100 may include an IMD layer or ILD layer having a dielectric constant (k value) of, for example, less than 3.8, less than about 3.0, or less than about 2.5, and conductive features such as conductive features 105. You can. The insulating material of the layer 100 may be formed of PSG, BSG, BPSG, FSG, TEOS, black diamond (registered trademark of Applied Materials Inc.), low dielectric constant dielectric material containing carbon, HSQ, MSQ, and the like.

도전성 피쳐(105)는 기판(90) 또는 층(100)에 매립될 수 있는 능동 또는 수동 디바이스(예컨대, 트랜지스터 또는 다른 전기 소자)에 커플링될 수 있다. 도전성 피쳐(105)는, 예컨대 트랜지스터의 소스/드레인 영역, 게이트 전극, 접촉 패드, 비아의 일부, 금속 라인의 일부 등을 포함할 수 있다. 능동 디바이스는 트랜지스터 등과 같은 매우 다양한 능동 디바이스를 포함할 수 있고, 수동 디바이스는 원하는 구조적 및 기능적 구성 부분을 형성하기 위해 함께 사용될 수 있는 커패시터, 레지스터, 인덕터 등과 같은 디바이스를 포함할 수 있다. 능동 디바이스는 임의의 적절한 방법을 이용하여 기판(90) 또는 층(100) 내에 또는 기판 또는 층 상의 다른 부분에 형성될 수 있다. The conductive feature 105 can be coupled to an active or passive device (eg, transistor or other electrical element) that can be embedded in the substrate 90 or layer 100. The conductive features 105 may include, for example, source / drain regions of transistors, gate electrodes, contact pads, portions of vias, portions of metal lines, and the like. Active devices can include a wide variety of active devices, such as transistors, and passive devices can include devices such as capacitors, resistors, inductors, and the like, which can be used together to form desired structural and functional components. The active device can be formed in the substrate 90 or layer 100 or in other portions on the substrate or layer using any suitable method.

층(100)에 형성되는 도전성 피쳐(105)는, 예컨대 구리 또는 구리 합금으로 형성될 수 있는 컨택트나 금속 라인을 포함할 수 있다, 몇몇 실시예에서, 도전성 피쳐(105)는 MRAM 디바이스(10)에 형성될 MRAM 셀에 대한 어드레싱을 제공하는 상호 접속부의 일부일 수 있다. 상기한 실시예에서, 도전성 피쳐(105)는 비트 라인이나 워드 라인과 같은 제어 라인일 수 있다. 몇몇 실시예에서, 도전성 피쳐(105)는 텅스텐, 알루미늄 등과 같은 다른 도전성 재료를 포함할 수 있다. 더욱이, 도전성 피쳐(105)는 도전성 피쳐(105) 아래에 위치하여 이 도전성 피쳐를 에워싸는 도전성 확산 배리어층(도시하지 않음)으로 둘러싸일 수 있다. 도전성 확산 배리어층은 티탄, 질화티탄, 탄탈, 질화탄탈 등으로 형성될 수 있다. Conductive features 105 formed in layer 100 may include contacts or metal lines that may be formed of, for example, copper or a copper alloy. In some embodiments, conductive features 105 are MRAM devices 10 It may be part of an interconnect that provides addressing for the MRAM cell to be formed in. In the above-described embodiment, the conductive feature 105 may be a control line such as a bit line or word line. In some embodiments, conductive features 105 may include other conductive materials, such as tungsten, aluminum, and the like. Moreover, the conductive feature 105 can be positioned below the conductive feature 105 and surrounded by a conductive diffusion barrier layer (not shown) surrounding the conductive feature. The conductive diffusion barrier layer may be formed of titanium, titanium nitride, tantalum, tantalum nitride, or the like.

도전성 피쳐(105)는 임의의 적절한 프로세스에 의해 형성될 수 있다. 예컨대, 도전성 피쳐(105)에 대응하는 개구가 형성되는 패터닝 및 도금 프로세스에 의해, (만약 사용된다면) 도전성 확산 배리어층이 개구에 성막되고, 시드층이 후속한다. 다음에, 도전성 피쳐(105)가 전해도금 또는 무전해도금을 포함하는 도금 프로세스와 같은 임의의 적절한 프로세스에 의해 형성된다. 도전성 피쳐(105) 형성에 후속하여, 과도한 시드층과 도전성 확산 배리어층을 따른 임의의 과량의 재료가 적절한 에칭 및/또는 화학 기계적 연마(Chemical Mechanical Polishing; CMP) 프로세스와 같은 연마 프로세스에 의해 제거될 수 있다. 다른 적절한 프로세스가 도전성 피쳐(105)를 형성하기 위해 이용될 수 있다.The conductive feature 105 can be formed by any suitable process. For example, by a patterning and plating process in which an opening corresponding to the conductive feature 105 is formed, a conductive diffusion barrier layer (if used) is deposited on the opening, followed by a seed layer. Next, the conductive feature 105 is formed by any suitable process, such as a plating process including electroplating or electroless plating. Following formation of the conductive feature 105, any excess material along the excess seed layer and conductive diffusion barrier layer may be removed by a polishing process, such as a suitable etching and / or chemical mechanical polishing (CMP) process. You can. Other suitable processes can be used to form the conductive features 105.

몇몇 실시예에서는, 에칭 정지층(110) 및/또는 에칭 정지층(120)과 같은 하나 이상의 에칭 정지층이 층(100) 위에 성막될 수 있다. 몇몇 실시예에서, 에칭 정지층(110)과 에칭 정지층(120)은 질화물, 산화물, 탄소 도핑 산화물 및/또는 이들의 조합을 포함할 수 있다. 몇몇 실시예에서, 에칭 정지층(110)과 에칭 정지층(120)은 금속의 산화물, 질화물 또는 탄화물이나 반도체 재료와 같은 금속 또는 반도체 재료도 또한 포함할 수 있다. 상기한 재료로는, 예컨대 질화알루미늄, 산화알루미늄, 질화규소, 탄화규소 등을 들 수 있다. 에칭 정지층(110)은 에칭 정지층(120)과 상이한 재료 또는 동일한 재료로 형성될 수 있다. 일실시예에서, 에칭 정지층(110)은 질화알루미늄으로 형성될 수 있고, 에칭 정지층(120)은 산화알루미늄으로 형성될 수 있다. 에칭 정지층(110)과 에칭 정지층(120)은 플라즈마 증강 화학적 기상 증착(Plasma Enhanced Chemical Vapor Deposition; PECVD)과 같은 임의의 적절한 방법 또는 고밀도 플라즈마 CVD(High-Density Plasma CVD; HDPCVD), 원자층 증착(Atomic Layer Deposition; ALD), 저압 CVD(Low Pressure CVD; LPCVD), 물리적 기상 증착(Physical Vapor Deposition; PVD)과 같은 다른 방법 등에 의해 형성될 수 있다. 몇몇 실시예에 따르면, 에칭 정지층(110) 및/또는 에칭 정지층(120)은 또한 구리와 같은 바람직하지 않은 원소가 후속 형성되는 층으로 확산되는 것을 방지하는 확산 배리어층으로서 활용될 수도 있다. 몇몇 실시예에서, 에징 정지층(110) 및/또는 에칭 정지층(120) 각각은 하나 이상의 별개의 층을 포함할 수 있다. 에칭 정지층(110) 및/또는 에칭 정지층(120)은 각각 50 Å와 같은, 약 30 Å 내지 약 100 Å의 총 두께로 성막될 수 있다. In some embodiments, one or more etch stop layers such as etch stop layer 110 and / or etch stop layer 120 may be deposited over layer 100. In some embodiments, the etch stop layer 110 and the etch stop layer 120 may include nitride, oxide, carbon doped oxide, and / or combinations thereof. In some embodiments, the etch stop layer 110 and the etch stop layer 120 may also include metal or semiconductor materials such as oxides, nitrides, or carbides or semiconductor materials of metal. Examples of the above materials include aluminum nitride, aluminum oxide, silicon nitride, and silicon carbide. The etch stop layer 110 may be formed of a different material or the same material as the etch stop layer 120. In one embodiment, the etch stop layer 110 may be formed of aluminum nitride, and the etch stop layer 120 may be formed of aluminum oxide. The etch stop layer 110 and the etch stop layer 120 can be any suitable method such as plasma enhanced chemical vapor deposition (PECVD) or high-density plasma CVD (HDPCVD), atomic layer It may be formed by other methods such as atomic layer deposition (ALD), low pressure CVD (LPCVD), physical vapor deposition (PVD), or the like. According to some embodiments, the etch stop layer 110 and / or the etch stop layer 120 may also be utilized as a diffusion barrier layer that prevents undesirable elements, such as copper, from diffusing into the subsequently formed layer. In some embodiments, each of the etch stop layer 110 and / or etch stop layer 120 may include one or more separate layers. The etch stop layer 110 and / or the etch stop layer 120 may be deposited to a total thickness of about 30 mm 2 to about 100 mm 2, such as 50 mm 2, respectively.

에칭 정지층(120)[또는 에칭 정지층(120)이 생략되는 경우에는 에칭 정지층(110)]을 성막한 데 이어서, 유전체층(130)이 임의의 적절한 재료를 이용하여 임의의 적절한 형성 프로세스에 의해 형성될 수 있다. 일실시예에서, 유전체층(130)은 테트라에틸오르토실리케이트(TEOS)에 의해 또는 TEOS로부터 형성된 산화규소와 같은 산화규소 네트워크 등을 포함할 수 있다. 유전체층(130)은 플라즈마 증강 화학적 기상 증착(PECVD), 고밀도 플라즈마(HDP) 증착 등과 같은 임의의 적절한 프로세스에 의해 형성될 수 있다. 테트라메틸오르토실리케이트(TetraMethylOrthoSilicate; TMOS) 등과 같은 다른 실리케이트 산화물이 TEOS 대신에 사용될 수 있다. 몇몇 실시예에서, 유전체층(130)은 탄화규소, 산질화규소 등을 포함할 수 있다. The etch stop layer 120 (or the etch stop layer 110 if the etch stop layer 120 is omitted) is deposited, followed by the dielectric layer 130 using any suitable material for any suitable forming process. It can be formed by. In one embodiment, dielectric layer 130 may include a silicon oxide network, such as silicon oxide formed by tetraethyl orthosilicate (TEOS) or from TEOS. Dielectric layer 130 may be formed by any suitable process, such as plasma enhanced chemical vapor deposition (PECVD), high density plasma (HDP) deposition, and the like. Other silicate oxides such as TetraMethylOrthoSilicate (TMOS) can be used instead of TEOS. In some embodiments, dielectric layer 130 may include silicon carbide, silicon oxynitride, and the like.

몇몇 실시예에서는, 유전체층(130) 형성에 이어서, 무질소 반사 방지 코팅(Nitrogen-Free Anti-Reflective Coating; NF-ARC)(140)이 형성될 수 있고, 이 코팅은 후속하는 포토 패터닝 프로세스에 기여할 수 있다. NF-ARC(140)은 임의의 허용 가능한 프로세스를 이용하여 형성될 수 있고, 임의의 적절한 산화물을 포함할 수 있다. 몇몇 실시예에서, 유전체층(130)은 별개의 층을 포함하기 보다는 NF-ARC로서 이용될 수 있다.In some embodiments, following dielectric layer 130 formation, a nitrogen-free anti-reflective coating (NF-ARC) 140 may be formed, which will contribute to the subsequent photo patterning process. You can. NF-ARC 140 can be formed using any acceptable process, and can include any suitable oxide. In some embodiments, dielectric layer 130 may be used as NF-ARC rather than including separate layers.

다음에, 저부 전극 비아(145)가 임의의 적절한 방법에 의해 형성될 수 있다. 예컨대, 개구가, 패턴화 포토레지스트(도시하지 않음)를 사용하여, 포토-패터닝 프로세스와 같은 임의의 적절한 프로세스에 의해 NF-ARC(140), 유전체층(130), 에칭 정지층(120) 및 에칭 정지층(110)에 형성될 수 있다. 패턴화 포토레지스트의 패턴이 각 층의 재료에 대해 선택적인 에칭제를 사용하는 적절한 에칭 프로세스에 의해 각각의 층에 전사될 수 있다. 몇몇 실시예에서, NF-ARC(140)는 하드마스크로서 작용할 수 있다. 다른 실시예에서, 별개의 하드마스크(도시하지 않음)가, 저부 전극 비아(145)를 위한 개구를 에칭하기 이전에 NF-ARC(140) 위에 성막될 수 있다. 도전성 피쳐(105)가 이러한 개구에 의해 노출된 후, 개구는 도전성 재료로 충전되어 저부 전극 비아(145)를 형성한다. Next, the bottom electrode vias 145 can be formed by any suitable method. For example, the NF-ARC 140, dielectric layer 130, etch stop layer 120 and etch are etched by any suitable process, such as a photo-patterning process, using a patterned photoresist (not shown). It may be formed on the stop layer 110. The pattern of patterned photoresist can be transferred to each layer by an appropriate etching process using an etchant that is selective for the material of each layer. In some embodiments, NF-ARC 140 can act as a hardmask. In another embodiment, a separate hardmask (not shown) can be deposited over the NF-ARC 140 prior to etching the opening for the bottom electrode via 145. After the conductive features 105 are exposed by these openings, the openings are filled with conductive material to form bottom electrode vias 145.

몇몇 실시예에서, 도전성 배리어층(도시하지 않음)이 먼저 개구에 형성될 수 있다. 도전성 배리어층은 도전성 피쳐(105)에 대하여 전술한 것과 유사할 수 있다. 몇몇 실시예에서, 저부 전극 비아(145)의 도전성 재료는 비아 개구를 과충전할 수 있고, 화학 기계적 연마(CMP) 프로세스와 같은 평탄화 프로세스가 저부 전극 비아(145)의 과량의 도전성 재료를 제거하하고, 저부 전극 비아(145)의 상부와 NF-ARC(140)의 상부를 평탄화하기 위해 이용될 수 있다. 비아 개구를 라이닝하기 위해 도전성 배리어층을 사용하는 실시예에서, NF-ARC(140) 상에 형성될 수 있는 도전성 배리어층의 과량의 부분도 또한 평탄화 프로세스를 통해 제거될 수 있다.In some embodiments, a conductive barrier layer (not shown) may first be formed in the opening. The conductive barrier layer can be similar to that described above for the conductive feature 105. In some embodiments, the conductive material of the bottom electrode via 145 can overfill the via opening, and a planarization process, such as a chemical mechanical polishing (CMP) process, removes excess conductive material of the bottom electrode via 145 and , It can be used to planarize the top of the bottom electrode via 145 and the top of the NF-ARC 140. In embodiments that use a conductive barrier layer to line the via opening, an excess portion of the conductive barrier layer that may be formed on the NF-ARC 140 may also be removed through a planarization process.

저부 전극 비아(145)의 도전성 재료는 전해도금, 무전해도금, CVD, PVD 등과 같은 임의의 적절한 성막 프로세스에 의해 형성될 수 있다. 저부 전극 비아(145)의 도전성 재료는 질화티탄, 구리, 알루미늄 등과 같은 임의의 적절한 도전성 재료를 포함할 수 있다.The conductive material of the bottom electrode via 145 can be formed by any suitable film deposition process, such as electroplating, electroless plating, CVD, PVD, and the like. The conductive material of the bottom electrode via 145 can include any suitable conductive material, such as titanium nitride, copper, aluminum, and the like.

도 2를 참고하면, MRAM 디바이스(10)의 저부 전극(170)이 형성될 수 있다. 몇몇 실시예에서, 저부 전극(170)은 단일 층을 포함할 수 있으며, 다른 실시예에, 저부 전극(170)은 동일한 재료나 별개의 재료로 이루어진 다수의 별개의 층을 포함할 수 있다. 몇몇 실시예에서, 저부 전극(170)은 질화티탄, 질화탄탈, 질소, 티탄, 탄탈, 텅스텐, 코발트, 구리 등으로 이루어진 단일층을 포함할 수 있다. 몇몇 실시예에서, 저부 전극(170)은 질화티탄, 티탄 및 질화티탄; 질화탄탈, 탄탈 및 질화탄탈; 탄탈, 질화탄탈 및 탄탈; 티탄, 질화티탄 및 티탄; 탄탈 및 질화티탄; 티탄 및 질화탄탈; 질화티탄 및 질화탄탈; 질화티탄 및 텅스텐; 질화탄탈 및 텅스텐; 등의 다층을 포함할 수 있다. 요약하자면, 저부 전극(170)이 다층 형성부를 갖는 실시예에서, 층들은 단일층 재료로 이루어진 2개 이상의 층을 포함할 수 있다. Referring to FIG. 2, the bottom electrode 170 of the MRAM device 10 may be formed. In some embodiments, the bottom electrode 170 may include a single layer, and in other embodiments, the bottom electrode 170 may include multiple distinct layers of the same material or separate materials. In some embodiments, the bottom electrode 170 may include a single layer of titanium nitride, tantalum nitride, nitrogen, titanium, tantalum, tungsten, cobalt, copper, and the like. In some embodiments, the bottom electrode 170 includes titanium nitride, titanium and titanium nitride; Tantalum nitride, tantalum and tantalum nitride; Tantalum, tantalum nitride and tantalum; Titanium, titanium nitride and titanium; Tantalum and titanium nitride; Titanium and tantalum nitride; Titanium nitride and tantalum nitride; Titanium nitride and tungsten; Tantalum nitride and tungsten; And the like. In summary, in embodiments where the bottom electrode 170 has a multi-layered formation, the layers can include two or more layers of a single layer material.

저부 전극(170)은 DC PVD, RFDC PVD, CVD, ALD, 펄스 DC 등을 포함하는 임의의 적절한 프로세스를 이용하여 형성될 수 있다. 저부 전극(170)은 약 50 Å 내지 약 3000 Å의 두께로 성막될 수 있지만, 다른 두께도 고려되고 이용될 수 있다. The bottom electrode 170 can be formed using any suitable process including DC PVD, RFDC PVD, CVD, ALD, pulsed DC, and the like. The bottom electrode 170 may be formed to a thickness of about 50 mm2 to about 3000 mm2, but other thicknesses may be considered and used.

예컨대, 저부 전극(170)의 제1 층(150)은 질화탄탈을 포함하거나 질화탄탈로 구성될 수 있고, DC PVD, RFDC PVD, CVD, ALD, 펄스 DC 등에 의해 약 50 Å 내지 약 3000 Å의 두께로 성막될 수 있다. 몇몇 실시예에서, 제1 층(150)의 성막에 이어서, 평탄화 프로세스가 제1 층(150)을 박막화 및/또는 평평하게 하기 위해 이용될 수 있다.For example, the first layer 150 of the bottom electrode 170 may include tantalum nitride or be composed of tantalum nitride, and may be of about 50 Å to about 3000 의해 by DC PVD, RFDC PVD, CVD, ALD, pulsed DC, etc. It can be deposited to a thickness. In some embodiments, following the deposition of the first layer 150, a planarization process may be used to thin and / or flatten the first layer 150.

도 3을 참고하면, 제1 층(150)의 형성에 이어서, 저부 전극(170)의 제2 층(160)은 질화티탄을 포함하거나 질화티탄으로 구성될 수 있고, DC PVD, RFDC PVD, CVD, ALD, 펄스 DC 등에 의해 약 50 Å 내지 약 3000 Å의 두께로 성막될 수 있다. 몇몇 실시예에서, 저부 전극(170)의 제2 층(160)은 질화티탄을 포함할 수 있으며, 제2 층은 우세한 결정 방위 (111)를 달성하기 위해 상부 전극(190)(도 7 참고)에 대하여 설명한 프로세스에 따라 성막된다.Referring to FIG. 3, following the formation of the first layer 150, the second layer 160 of the bottom electrode 170 may include titanium nitride or be composed of titanium nitride, and DC PVD, RFDC PVD, CVD , ALD, pulsed DC or the like can be formed to a thickness of about 50 Å to about 3000 Å. In some embodiments, the second layer 160 of the bottom electrode 170 may include titanium nitride, and the second layer is an upper electrode 190 (see FIG. 7) to achieve a predominant crystal orientation 111. It is formed according to the process described for.

도 4를 참고하면, 제2 층(160)의 성막에 이어서, CMP 프로세스와 같은 평탄화 프로세스가 제2 층(160)을 박막화 및/또는 평탄화하기 위해 이용될 수 있다. 저부 전극(170)의 형성에 후속하여, 저부 전극(170)의 총 두께는 약 50 Å 내지 약 3000 Å일 수 있지만, 다른 두께도 고려되고, 이용될 수 있다.Referring to FIG. 4, subsequent to the deposition of the second layer 160, a planarization process, such as a CMP process, can be used to thin and / or planarize the second layer 160. Following the formation of the bottom electrode 170, the total thickness of the bottom electrode 170 may be from about 50 mm 2 to about 3000 mm 2, but other thicknesses are contemplated and can be used.

도 5를 참고하면, MRAM 디바이스(10)의 저부 전극(170) 형성에 이어서, 자기 터널 접합(MTJ) 구조(180)가 형성될 수 있다. MTJ 구조(180)는 MRAM 디바이스(10)와 같은 MRAM 디바이스의 MTJ를 위한 임의의 적절한 구성을 포함할 수 있다. MTJ 구조(180)를 위한 다양한 구성이 도 6a, 도 6b 및 도 6c에 대하여 설명된다.Referring to FIG. 5, following formation of the bottom electrode 170 of the MRAM device 10, a magnetic tunnel junction (MTJ) structure 180 may be formed. MTJ structure 180 may include any suitable configuration for MTJ of an MRAM device, such as MRAM device 10. Various configurations for the MTJ structure 180 are described with respect to FIGS. 6A, 6B and 6C.

도 6a, 도 6b 및 도 6c를 참고하면, 몇몇 실시예에 따른 MTJ 구조의 다양한 예시적인 구성이 예시되어 있다. 임의의 적절한 구조가 MTJ 구조(180)를 위해 사용될 수 있다는 점을 이해해야만 한다. 6A, 6B and 6C, various exemplary configurations of MTJ structures in accordance with some embodiments are illustrated. It should be understood that any suitable structure can be used for MTJ structure 180.

도 6a에서, MTJ 구조(180)의 층들은 반강자성층(182), 피닝층(184) 및 자유층(188)을 포함할 수 있다. 도 6b 및 도 6c에서, MTJ 구조(180)는 하나 이상의 터널 배리어(186)층도 또한 포함할 수 있다. 도 6b에서, 터널 배리어층(186)은 피닝층(184)과 자유층(188) 사이에 배치된다. 도 6c에서, 터널 배리어층(186)은 반강자성층(182)과 피닝층(184) 사이에 배치된다. 터널 배리어층(186)은 도 6c에 예시한 위치 각각에 배치될 수 있다. 추가로, 추가의 터널 배리어층, 반강자성층, 피닝층 및 자유층을 포함하는, 보다 많은 층의 MTJ 구조(180)가 MRAM 디바이스(10)에 포함될 수 있다. In FIG. 6A, layers of the MTJ structure 180 may include an antiferromagnetic layer 182, a pinning layer 184, and a free layer 188. 6B and 6C, the MTJ structure 180 may also include one or more layers of tunnel barrier 186. In FIG. 6B, the tunnel barrier layer 186 is disposed between the pinning layer 184 and the free layer 188. In FIG. 6C, the tunnel barrier layer 186 is disposed between the antiferromagnetic layer 182 and the pinning layer 184. The tunnel barrier layer 186 may be disposed at each of the locations illustrated in FIG. 6C. Additionally, more layers of MTJ structures 180 may be included in the MRAM device 10, including additional tunnel barrier layers, antiferromagnetic layers, pinning layers, and free layers.

반강자성층(182)은 저부 전극(170) 상에 형성되고, 피닝층(184)은 반강자성층(182) 위에 형성되며, 자유층(188)은 피닝층(184) 위에 형성된다. 그러나, 다른 구성의 MTJ 구조(180)도 고려된다. 예컨대, 층들은 역순으로 형성될 수 있다. 반강자성층(182), 피닝층(184) 및 자유층(188)이 순차적으로 형성될 수 있다. The antiferromagnetic layer 182 is formed on the bottom electrode 170, the pinning layer 184 is formed on the antiferromagnetic layer 182, and the free layer 188 is formed on the pinning layer 184. However, other configurations of the MTJ structure 180 are also contemplated. For example, the layers can be formed in reverse order. The antiferromagnetic layer 182, the pinning layer 184, and the free layer 188 may be sequentially formed.

피닝층(184)은, 예컨대 플래티넘 망간(PtMn)으로 형성될 수 있다. 반강자성층(182)은, 예컨대 이리듐 망간(IrMn), 플래티넘 망간(PtMn), 아이언 망간(FeMn), 루테늄 망간(RuMn), 니켈 망간(NiMn) 및 팔라듐 플래티넘 망간(PdPtMn) 등이나 이들의 합금으로 형성될 수 있다. 자유층(188)은 코발트-철-붕소(CoFeB)로 형성될 수 있다. 터널 배리어층(186)은 MTJ 구조(180) 내에 포함되는 경우에 산화망간(MgO)로 형성될 수 있다. MTJ 구조(180)의 다양한 층들은 다른 재료로 형성될 수 있다는 점을 이해해야만 한다. 반강자성층(182), 피닝층(184), 자유층(188) 및 터널 배리어층(186)은 임의의 적절한 프로세스를 이용하여, 예컨대 DC PVD, RFDC PVD, CVD, ALD, 펄스 DC 등에 의해 각각 형성될 수 있다.The pinning layer 184 may be formed of, for example, platinum manganese (PtMn). The antiferromagnetic layer 182 is, for example, iridium manganese (IrMn), platinum manganese (PtMn), iron manganese (FeMn), ruthenium manganese (RuMn), nickel manganese (NiMn), and palladium platinum manganese (PdPtMn), or alloys thereof. It can be formed as. The free layer 188 may be formed of cobalt-iron-boron (CoFeB). The tunnel barrier layer 186 may be formed of manganese oxide (MgO) when included in the MTJ structure 180. It should be understood that the various layers of MTJ structure 180 can be formed of different materials. The antiferromagnetic layer 182, pinning layer 184, free layer 188, and tunnel barrier layer 186 may be any suitable process, such as by DC PVD, RFDC PVD, CVD, ALD, pulsed DC, etc. Can be formed.

도 7을 참고하면, MTJ 구조(180)의 형성에 이어서 상부 전극(190)이 형성된다. 상부 전극(190)은 산화되기 쉬운 질화탄탈, 탄탈 및 질화탄탈로 이루어진 다층 구조로 형성되기 보다는 질화티탄로 이루어진 단일층 또는 다층 구조로 형성될 수 있다. MRAM 디바이스(10)의 상부 전극(190)을 위해 질화티탄의 단일층을 사용하는 것은 유리하게는 상부 전극(190)을 형성하는 프로세스를 간소화한다. 또한, 상부 전극(190)의 결정 방위 (111)은 산소가 MTJ 구조(180)로 확산되는 것을 방지하는 데 기여한다. 상부 전극(190)의 재료를 위해 질화티탄을 사용하는 적절한 성막 프로세스는 상부 전극(190)의 우세한 결정 방위 (111)을 형성할 수 있다. 질화티탄 대신에, 상부 전극(190)의 다층들 중 하나 이상의 층으로서 질화티탄을 포함할 수도 있고, 포함하지 않을 수도 있는 다층을 포함하는 다른 재료도 또한 사용할 수 있다. 결정 방위 (111)은 아래에서 열거되는 다른 재료로 달성될 수 있지만, 우세한 방위는 아닐 수 있다. 이와 같이, 질화티탄 의외의 재료가 상부 전극(190) 형성에 사용되는 실시예에서, 상부 전극(190)이 두꺼울수록, MTJ 구조(180)의 산화가 보다 양호하게 방지될 수 있다.Referring to FIG. 7, an upper electrode 190 is formed following the formation of the MTJ structure 180. The upper electrode 190 may be formed of a single layer or a multi-layer structure made of titanium nitride rather than a multi-layer structure made of tantalum nitride, tantalum, and tantalum nitride, which is easily oxidized. Using a single layer of titanium nitride for the top electrode 190 of the MRAM device 10 advantageously simplifies the process of forming the top electrode 190. In addition, the crystal orientation 111 of the upper electrode 190 contributes to preventing oxygen from diffusing into the MTJ structure 180. An appropriate deposition process using titanium nitride for the material of the upper electrode 190 can form the dominant crystal orientation 111 of the upper electrode 190. Instead of titanium nitride, other materials may also be used, including a multilayer, which may or may not include titanium nitride as one or more of the multilayers of upper electrode 190. Crystal orientation 111 may be achieved with other materials listed below, but may not be the predominant orientation. As such, in an embodiment in which a material other than titanium nitride is used to form the upper electrode 190, the thicker the upper electrode 190, the better the oxidation of the MTJ structure 180 can be prevented.

몇몇 실시예에서, 상부 전극(190)은 질화티탄, 질화탄탈, 티탄, 탄탈, 텅스텐, 코발트, 구리 등으로 이루어진 단일층을 포함할 수 있다. 몇몇 실시예에서, 상부 전극(190)은 질화티탄, 티탄 및 질화티탄; 질화탄탈, 탄탈 및 질화탄탈; 탄탈, 질화탄탈 및 탄탈; 티탄, 질화티탄 및 티탄; 탄탈 및 질화티탄; 티탄 및 질화탄탈; 질화티탄 및 질화탄탈; 질화티탄 및 텅스텐; 질화탄탈 및 텅스텐; 등의 다층을 포함할 수 있다. 요약하자면, 다층 구성을 갖는 상부 전극(190)은 단일층 재료로 이루어진 2개 이상의 층을 포함할 수 있다. In some embodiments, the upper electrode 190 may include a single layer made of titanium nitride, tantalum nitride, titanium, tantalum, tungsten, cobalt, copper, and the like. In some embodiments, the upper electrode 190 includes titanium nitride, titanium and titanium nitride; Tantalum nitride, tantalum and tantalum nitride; Tantalum, tantalum nitride and tantalum; Titanium, titanium nitride and titanium; Tantalum and titanium nitride; Titanium and tantalum nitride; Titanium nitride and tantalum nitride; Titanium nitride and tungsten; Tantalum nitride and tungsten; And the like. In summary, the upper electrode 190 having a multi-layer configuration may include two or more layers of a single layer material.

상부 전극(190)이 질화티탄을 포함하는 실시예에서, 상부 전극(190)은 약 1000 Å과 같은 약 50 Å 내지 약 3000 Å의 두께로 성막될 수 있지만, 다른 두께도 고려되고 이용될 수 있다. 상부 전극(190)이 질화티탄을 포함하지 않는 재료를 함유하는 실시예에서는, 우세한 결정 방위 (111)이 나타나지 않을 수 있다. 상기한 실시예에서, 상부 전극은 2000 Å과 같은 약 200 Å 내지 약 5000 Å의 두께, 또는 약 2000 Å과 같은 약 1000 Å 내지 약 5000 Å의 두께로 성막될 수 있지만, 다른 두께도 고려되고 이용될 수 있다. 일반적으로, 상부 전극(190)이 두꺼울수록 산소 침투를 보다 양호하게 억제하는 능력이 제공되지만, 우세한 결정 방위 (111)을 지닌 질화티탄으로 형성된 상부 전극(190)을 이용함으로써 상부 전극(190)의 두께는, 우세한 결정 방위 (111)을 지닌 질화티탄을 포함하지 않는 두꺼운 상부 전극(190)과 동일한 산소 억제 효과를 달성하도록 감소될 수 있다. 몇몇 실시예에서, 우세한 결정 방위 (111)을 지닌 산화티탄으로 형성된 상부 전극(190)의 두께는, 우세한 결정 방위 (111)의 질화티탄을 포함하지 않는 재료로 형성된 상부 전극 두께의 약 25 % 내지 약 60 %일 수 있다. 이것은 유리하게는 보다 얇은 필름 스택을 형성한다. 상부 전극(190)을 형성하는 데 있어서, 워크피스[예컨대, MRAM 디바이스(10)]는, 정전 척에 위치하는 가열 제어 요소를 포함하는 임의의 허용 가능한 툴에 의해, 램프 히터 등에 의해 예열될 수 있다. 몇몇 실시예에서, 상부 전극(190)을 성막하기 전후에, 플라즈마 처리, 가열, 질소 처리 등을 포함하는 예비 세정 프로세스가 이용될 수 있다. In embodiments in which the upper electrode 190 includes titanium nitride, the upper electrode 190 may be deposited to a thickness of about 50 mm 2 to about 3000 mm 2, such as about 1000 mm 2, but other thicknesses may also be considered and used. . In embodiments where the upper electrode 190 contains a material that does not contain titanium nitride, the predominant crystal orientation 111 may not appear. In the above embodiment, the upper electrode may be deposited to a thickness of about 200 mm 2 to about 5000 mm 2, such as 2000 mm 2, or about 1000 mm to about 5000 mm 2, such as about 2000 mm 2, but other thicknesses are contemplated and used Can be. In general, the thicker the upper electrode 190, the better the ability to suppress oxygen penetration, but by using the upper electrode 190 formed of titanium nitride having a predominant crystal orientation 111, the upper electrode 190 The thickness can be reduced to achieve the same oxygen suppression effect as the thick upper electrode 190 that does not contain titanium nitride with a predominant crystal orientation 111. In some embodiments, the thickness of the upper electrode 190 formed of titanium oxide having the predominant crystal orientation 111 is from about 25% to about the thickness of the top electrode formed of a material that does not contain titanium nitride in the predominant crystal orientation 111. It may be about 60%. This advantageously forms a thinner film stack. In forming the upper electrode 190, the workpiece (eg, the MRAM device 10) can be preheated by a lamp heater or the like by any allowable tool including a heating control element located on the electrostatic chuck. have. In some embodiments, before and after depositing the upper electrode 190, a pre-cleaning process including plasma treatment, heating, nitrogen treatment, and the like may be used.

도 8a 및 도 8b를 참고하면, 상부 전극(190)은 DC PVD, 바이어스 DC PVD, RFDC PVD 및 마그네트론에 의한 RFDC PVD를 포함하는 임의의 적절한 프로세스를 이용하여 형성될 수 있다. DC PVD 및 바이어스 DC PVD에 있어서, 예시적인 성막 챔버가 도 8a에 예시된다. RFDC PVD 및 마그네트론에 의한 RFDC PVD에 있어서, 예시적인 성막 챔버가 도 8b에 예시된다. MRAM 디바이스(10)로 형성될 워크피스(11)는 정전 척(810)과 같은 척 상에 위치 설정된다. 타겟(830)이 워크피스(11) 상에 성막되는 재료를 위한 소스로서 챔버 내에 위치 설정된다. 캐소드(820)가 전압 및/또는 고주파(RF)를 이용하여 바이어스될 수 있다. 워크피스(11)와 타겟 사이의 거리(D1)는 제어 가능하다. 도 8b에서는, 마그네트론(835)이 사용될 수 있고, 타겟 위에 위치 설정될 수 있으며, 마그네트론(835)과 타겟(830) 사이의 거리(D2)는 제어 가능하다.8A and 8B, the upper electrode 190 may be formed using any suitable process including DC PVD, bias DC PVD, RFDC PVD and RFDC PVD by magnetron. For DC PVD and bias DC PVD, an exemplary deposition chamber is illustrated in FIG. 8A. For RFDC PVD and RFDC PVD by magnetron, an exemplary deposition chamber is illustrated in FIG. 8B. The workpiece 11 to be formed of the MRAM device 10 is positioned on the same chuck as the electrostatic chuck 810. The target 830 is positioned in the chamber as a source for the material to be deposited on the workpiece 11. Cathode 820 may be biased using voltage and / or radio frequency (RF). The distance D1 between the workpiece 11 and the target is controllable. In FIG. 8B, a magnetron 835 can be used, can be positioned over the target, and the distance D2 between the magnetron 835 and the target 830 is controllable.

타겟(830)은 워크피스(11) 상에 성막되는 재료로 제조된다. MRAM 디바이스(10)의 상부 전극(190)을 형성하는 데 있어서, 상부 전극(190)의 하나 이상의 층 각각을 위한 성막 대상 재료는 티탄이나 탄탈과 같은 금속을 포함할 수 있다. 다층 상부 전극(190)을 사용하는 실시예에서, 타겟(830)은 각 층에 대해서 하나의 재료에서 다른 재료로 변경될 수 있다. 타겟(830)이 챔버에서 생성되는 플라즈마에 의해 가격될 때, 재료는 타겟(830)에서 워크피스로 전달될 것이다. 질화티탄이 성막되는 경우, 타겟은 티탄 또는 질화티탄으로 형성될 수 있다. 타겟이 티탄으로 형성되는 실시예에서, 티탄이 타겟에서 워크피스(11)로 전달될 때, 질소를 포함하는 공정 가스(840)가 성막 이전 또는 성막 중에 티탄을 직접 질화시킬 수 있고, 이에 의해 워크피스(11) 상에 질화티탄층이 형성된다. 타겟(830)은 성막된 필름의 균일성을 향상시키기 위해 워크피스(11)의 크기보다 클 수 있다. 타겟(830)의 형상은 원형, 직사각형, 타원형, 계란형, 정사각형, 삼각형, 규칙적이거나 불규칙적인 다각형 등으로 획정될 수 있다. 몇몇 실시예에서, 타겟(830)의 형상은 워크피스(11)[예컨대, MRAM 디바이스(10)]와 동일한 형상일 수 있다. 공정 가스(840)는 또한 워크피스(11)와 타겟(830) 사이로 유입되는 불활성 가스도 포함할 수 있다. 아르곤(Ar)이 사용될 수 있지만, 여기에서는 몇몇 어플리케이션에서 불활성이거나 불활성이 아닌 다른 가스가 공정 가스(840)로서 아르곤에 추가하여, 또는 아르곤 대신에 채용될 수 있다는 점이 이해된다. 예컨대, 아르곤과 질소의 혼합물이 티탄 타겟으로부터 질화티탄을 성막하기 위해 사용될 수 있다. The target 830 is made of a material deposited on the workpiece 11. In forming the upper electrode 190 of the MRAM device 10, the material to be formed for each of the one or more layers of the upper electrode 190 may include a metal such as titanium or tantalum. In an embodiment using a multi-layered top electrode 190, the target 830 can be changed from one material to another for each layer. When target 830 is priced by the plasma generated in the chamber, material will be transferred from target 830 to the workpiece. When titanium nitride is formed, the target may be formed of titanium or titanium nitride. In an embodiment in which the target is formed of titanium, when the titanium is transferred from the target to the workpiece 11, a process gas 840 containing nitrogen may directly nitride the titanium before or during deposition, thereby allowing the workpiece to be nitrided. A titanium nitride layer is formed on the piece 11. The target 830 may be larger than the size of the work piece 11 to improve the uniformity of the deposited film. The shape of the target 830 may be defined as circular, rectangular, oval, oval, square, triangular, regular or irregular polygons, and the like. In some embodiments, the shape of the target 830 may be the same shape as the workpiece 11 (eg, MRAM device 10). The process gas 840 may also include an inert gas flowing between the workpiece 11 and the target 830. Argon (Ar) may be used, but it is understood herein that in some applications other gases that are inert or non-inert may be employed in addition to or instead of argon as process gas 840. For example, a mixture of argon and nitrogen can be used to deposit titanium nitride from a titanium target.

도 8a를 참고하여, 바이어스 DC PVD 공정이 먼저 설명된다. 바이어스 DC PVD 공정에서, DC 전압이 워크피스(11)와 타겟(830) 사이에 인가된다. 예컨대, 음의 DC 바이어스가 워크피스(11)에 대해 타겟(830)에 인가될 수 있다. 따라서, 타겟(830)은 캐소드이고, 워크피스(11)는 애노드이다. DC 전압을 인가한 결과, 워크피스(11)와 타겟(830) 사이에 전기장이 형성된다. 워크피스(11)는 접지될 수 있고, 타겟(830)은 접지에 대해 음의 바이어스를 제공할 수 있다. 전기장의 영향 하에서, 전자는 타겟(830)을 떠나 워크피스(11)를 향해 가속된다. 불활성 공정 가스와 같은 공정 가스(840)의 원자와 충돌 시에, 전자는 공정 가스(840)의 원자를 이온화시켜, 새로운 자유 전자와 불활성 가스 이온을 형성한다. 불활성 가스 이온은 양으로 대전되기 때문에, 음으로 바이어스된 타겟(830)에 부착된다. 불활성 가스 이온은 타겟(830)과 충돌하여, 타겟(830)으로부터 멀어지게 타겟(830) 재료의 타겟 원자를 방출한다. 타겟 원자는 워크피스(11)[예컨대, MRAM 디바이스(10)] 상에 안착하여, 상부 전극(190) 형성에 기여한다. 여기에서는, 전술한 단일 이온화 이벤트는 예시적인 특성이며, 사실상 많은 전자와 불활성 가스 원자를 수반하는 많은 이온화 이벤트가 발생한다는 점이 이해된다. 더욱이, 타겟(830)을 떠나는 전자에 더하여, 이온화 이벤트에서 생성되는 전자도 또한 워크피스(11)를 향해 가속되고, 공정 가스(840)의 추가의 불활성 가스 원자를 이온화시킬 수 있다. 이러한 방식으로, 많은 전자 및 이온을 포함하는 플라즈마가 타겟(830)과 워크피스(11) 사이에 형성되어, 타겟(830)으로부터 많은 원자가 스퍼터링(sputtering)되고 상부 전극(190)으로서 형성된다.8A, the bias DC PVD process is first described. In the bias DC PVD process, a DC voltage is applied between the workpiece 11 and the target 830. For example, a negative DC bias can be applied to the target 830 relative to the workpiece 11. Therefore, the target 830 is a cathode, and the workpiece 11 is an anode. As a result of applying the DC voltage, an electric field is formed between the workpiece 11 and the target 830. Workpiece 11 may be grounded and target 830 may provide a negative bias with respect to ground. Under the influence of the electric field, electrons leave the target 830 and are accelerated toward the workpiece 11. Upon collision with an atom of a process gas 840, such as an inert process gas, the electrons ionize the atoms of the process gas 840, forming new free electrons and inert gas ions. Since the inert gas ions are positively charged, they are attached to the negatively biased target 830. The inert gas ions collide with the target 830 and emit target atoms of the target 830 material away from the target 830. The target atom sits on the workpiece 11 (eg, the MRAM device 10), contributing to the formation of the upper electrode 190. Here, it is understood that the aforementioned single ionization event is an exemplary property, and that in fact, many ionization events involving many electrons and inert gas atoms occur. Moreover, in addition to the electrons leaving the target 830, the electrons generated in the ionization event are also accelerated towards the workpiece 11 and can ionize additional inert gas atoms of the process gas 840. In this way, a plasma containing many electrons and ions is formed between the target 830 and the workpiece 11, so that many atoms from the target 830 are sputtered and formed as the upper electrode 190.

상부 전극(190)이 질화티탄을 포함하는 경우에 바이어스 DC PVD를 사용하면, DC 출력 범위가 약 10 kW와 같은 약 1 kW 내지 30 kW일 때, 질화티탄은 적절한 결정 방위를 갖게 형성될 수 있지만, 다른 출력값도 이용될 수 있다. DC 바이어스 전압은 약 500 V와 같은, 약 200 V 내지 약 900 V일 수 있지만, 다른 값도 고려되고 이용될 수 있다. 전류 제어는 약 10 A와 같은, 약 5 A 내지 약 35 A일 수 있지만, 다른 값도 고려되고 이용될 수 있다. 공정 가스는 질소(N2) 및 아르곤(Ar)을 포함할 수 있고, 약 400 sccm과 같은, 약 10 내지 1000 sccm의 유량으로 흐를 수 있지만, 다른 유량도 이용될 수 있다. 공정 가스는 약 50 mTorr과 같은, 약 10 내지 400 mTorr의 압력으로 제공될 수 있지만, 다른 압력도 이용될 수 있다. 워크피스(11)[예컨대, MRAM 디바이스(10)]는 약 300 ℃와 같은, 약 200 ℃ 내지 약 450 ℃로 가열될 수 있지만, 다른 온도도 이용될 수 있다.When bias DC PVD is used when the upper electrode 190 includes titanium nitride, when the DC output range is from about 1 kW to 30 kW, such as about 10 kW, titanium nitride may be formed to have an appropriate crystal orientation. , Other output values can also be used. The DC bias voltage can be from about 200 V to about 900 V, such as about 500 V, but other values can also be considered and used. The current control can be from about 5 A to about 35 A, such as about 10 A, but other values can also be considered and used. The process gas can include nitrogen (N 2 ) and argon (Ar) and can flow at a flow rate of about 10 to 1000 sccm, such as about 400 sccm, but other flow rates can also be used. The process gas can be provided at a pressure of about 10 to 400 mTorr, such as about 50 mTorr, but other pressures can also be used. The workpiece 11 (eg, MRAM device 10) may be heated to about 200 ° C. to about 450 ° C., such as about 300 ° C., but other temperatures may also be used.

계속해서 도 8a를 참고하면, DC PVD도 또한 바이어스 없이 이용될 수 있다. DC PVD에서, 플라즈마는 바이어스 제어를 이용하지 않고 공정 가스(840)로부터 생성된다. 플라즈마는 타겟(830)을 가격하고 타겟(830)으로부터 재료를 방출시키는 것을 포함하여 모든 방향으로 팽창하는 공정 가스(840)의 라디칼과 이온을 형성한다. 라디컬과 이온으로부터 재료로의 에너지 전달은 재료가 워크피스(11)를 향하는 것을 포함하여 다양한 방향으로 가속되게 하여, 타겟(830)으로부터 많은 원자가 스퍼터링되고 상부 전극(190)으로서 형성된다. With continued reference to FIG. 8A, DC PVD can also be used without bias. In DC PVD, plasma is generated from process gas 840 without using bias control. Plasma forms radicals and ions of the process gas 840 that expand in all directions, including hitting the target 830 and releasing material from the target 830. The transfer of energy from radicals and ions to the material causes the material to accelerate in various directions, including towards the work piece 11, so that many atoms from the target 830 are sputtered and formed as the upper electrode 190.

상부 전극(190)이 질화티탄을 포함하는 경우에 DC PVD를 사용하면, DC 출력 범위가 약 10 kW와 같은 약 1 kW 내지 30 kW일 때, 질화티탄은 적절한 결정 방위를 갖게 형성될 수 있다. 공정 가스(840)는 질소(N2) 및 아르곤(Ar)을 포함할 수 있고, 약 400 sccm과 같은, 약 10 내지 1000 sccm의 유량으로 흐를 수 있지만, 다른 유량도 이용될 수 있다. 공정 가스(840)는 약 50 mTorr와 같은, 약 1 내지 100 mTorr의 압력으로 제공될 수 있지만, 다른 압력도 이용될 수 있다. 워크피스(11)[MRAM 디바이스(10) 포함]는 약 300 ℃와 같은, 약 200 ℃ 내지 약 450 ℃로 가열될 수 있지만, 다른 온도도 이용될 수 있다.When DC PVD is used when the upper electrode 190 includes titanium nitride, when the DC output range is about 1 kW to 30 kW, such as about 10 kW, titanium nitride can be formed to have an appropriate crystal orientation. Process gas 840 may include nitrogen (N 2 ) and argon (Ar), and may flow at a flow rate of about 10 to 1000 sccm, such as about 400 sccm, but other flow rates may also be used. Process gas 840 may be provided at a pressure of about 1 to 100 mTorr, such as about 50 mTorr, but other pressures may also be used. The workpiece 11 (including the MRAM device 10) can be heated to about 200 ° C to about 450 ° C, such as about 300 ° C, but other temperatures can also be used.

도 8b를 참고하여, RF PVD와 RFDC PVD 공정을 설명한다. RF PVD와 RFDC PVD 기술 모두는 바이어스 DC 공정과 유사한 방식으로 작동한다. 그러나, RF PVD 공정에서는 RF 전압(즉, AC) 바이어스가 DC 출력 대신에 인가될 수 있다. RFDC PVD 공정에서는, RF 전압 바이어스와 DC 바이어스 모두가 인가된다. RF 바이어스를 포함하며, 각각의 절반의 사이클 동안에 타겟(830)에 수집된 임의의 양전하가 이어지는 절반의 사이클 동안에 상쇄되어, 시간 경과에 따른 상당한 전하 축적을 방지한다.Referring to FIG. 8B, RF PVD and RFDC PVD processes will be described. Both RF PVD and RFDC PVD technology operate in a similar way to the bias DC process. However, in the RF PVD process, an RF voltage (ie, AC) bias can be applied instead of the DC output. In the RFDC PVD process, both RF voltage bias and DC bias are applied. Includes RF bias, and any positive charge collected on the target 830 during each half cycle is canceled out over the next half cycle, preventing significant charge buildup over time.

상부 전극(190)이 질화티탄을 포함하는 경우에 바이어스 RF PVD 또는 RFDC PVD를 사용하면, RF 바이어스 주파수가 약 40 MHz보다 큰 것과 같은, 약 13.56 MHz 이상일 때, 질화티탄은 적절한 결정 방위를 갖게 형성될 수 있다. AC 바이어스 출력은 약 500 W와 같은, 약 100 W 내지 약 1000 W로 제어될 수 있지만, 다른 값도 이용될 수 있다. DC 바이어스가 또한 사용되는 경우(RFDC PVD), DC 출력 범위는 약 5 kW와 같은, 약 1 kW 내지 30 kW일 수 있으며, 다른 값도 이용될 수 있다. DC 바이어스 전압은 약 500 V와 같은, 약 200 V 내지 약 900 V일 수 있지만, 다른 값도 고려되고 이용될 수 있다. DC 전류 제어는 약 10 A와 같은, 약 5 A 내지 약 35 A 또는 약 20 A와 같은, 약 15 A 내지 약 25 A일 수 있지만, 다른 값도 고려되고 이용될 수 있다. 공정 가스(840)는 질소(N2) 및 아르곤(Ar)을 포함할 수 있고, 약 400 sccm과 같은, 약 10 내지 1500 sccm의 유량으로 흐를 수 있지만, 다른 유량도 이용될 수 있다. 공정 가스(840)는 약 50 mTorr와 같은, 약 10 내지 400 mTorr의 압력으로 제공될 수 있지만, 다른 압력도 이용될 수 있다. 워크피스(11)[예컨대, MRAM 디바이스(10)]는 약 300 ℃와 같은, 약 200 ℃ 내지 약 450 ℃로 가열될 수 있지만, 다른 온도도 이용될 수 있다. 워크피스[예컨대, MRAM 디바이스(10)]와 타겟 사이의 이격 거리(D1)는 약 60 mm와 같은, 약 55 내지 65 mm일 수 있지만, 다른 값도 이용될 수 있다.When the upper electrode 190 includes titanium nitride, when using bias RF PVD or RFDC PVD, when the RF bias frequency is greater than about 40 MHz, about 13.56 MHz or more, titanium nitride is formed to have an appropriate crystal orientation. Can be. The AC bias output can be controlled from about 100 W to about 1000 W, such as about 500 W, but other values can also be used. When DC bias is also used (RFDC PVD), the DC output range can be from about 1 kW to 30 kW, such as about 5 kW, and other values can also be used. The DC bias voltage can be from about 200 V to about 900 V, such as about 500 V, but other values can also be considered and used. DC current control can be from about 15 A to about 25 A, such as from about 5 A to about 35 A or from about 20 A, such as about 10 A, but other values can also be considered and used. Process gas 840 may include nitrogen (N 2 ) and argon (Ar), and may flow at a flow rate of about 10 to 1500 sccm, such as about 400 sccm, but other flow rates may also be used. Process gas 840 may be provided at a pressure of about 10 to 400 mTorr, such as about 50 mTorr, but other pressures may also be used. The workpiece 11 (eg, MRAM device 10) may be heated to about 200 ° C. to about 450 ° C., such as about 300 ° C., but other temperatures may also be used. The separation distance D1 between the workpiece (eg, MRAM device 10) and the target may be about 55 to 65 mm, such as about 60 mm, but other values may also be used.

몇몇 실시예에서, 도 8b에 예시한 바와 같이 마그네트론(835)이 사용될 수 있다. DC PVD, 바이어스 DC PVD, RF PVD 및 RFDC PVD를 포함하는 전술한 성막 기술 중 임의의 기술이 마그네트론(835)을 사용할 수 있다. 성막 프로세스의 효율은 마그네트론 구성의 사용을 통해 향상될 수 있다. 마그네트론 PVD 성막 시스템에서는, 타겟(830) 근처에 자기장을 생성하기 위해 자석이 사용될 수 있다. 결과적인 자기장의 방향은 타겟(830) 대부분에 걸친 전기장에 대해 대략 수직이다. 전자는 실질적으로 이들 교차하는 장들에 봉쇄되고, 이에 따라 실질적으로 플라즈마는 타겟(830) 근처에 집중된다. 이러한 봉쇄는 전자와 워크피스(11) 간의 유해한 충돌 가능성을 줄이고, 성막 프로세스의 효율을 증가시킨다. 타겟(830)과 마그네트론(835) 사이의 이격 거리(D2)는 약 42 mm와 같은, 약 38 내지 46 mm일 수 있지만, 다른 값도 이용될 수 있다. In some embodiments, a magnetron 835 may be used as illustrated in FIG. 8B. Any of the deposition techniques described above, including DC PVD, bias DC PVD, RF PVD and RFDC PVD, can use the magnetron 835. The efficiency of the deposition process can be improved through the use of a magnetron configuration. In a magnetron PVD deposition system, magnets can be used to create a magnetic field near the target 830. The direction of the resulting magnetic field is approximately perpendicular to the electric field across most of the target 830. The electrons are substantially blocked in these intersecting fields, so that substantially the plasma is concentrated near the target 830. Such containment reduces the likelihood of harmful collisions between the electron and the workpiece 11 and increases the efficiency of the deposition process. The separation distance D2 between the target 830 and the magnetron 835 may be about 38 to 46 mm, such as about 42 mm, but other values may also be used.

몇몇 실시예에서는, 펄싱(pulsing)이 이용될 수 있다. 다수 회의 성막 사이클이 공정 가스(840)를 사용하거나 사용하지 않고 진공 하에서 펄싱 공정으로 수행될 수 있다. 다른 실시예에서는, ALD, CVD 등과 같은 다른 성막 기술이 이용될 수 있다.In some embodiments, pulsing can be used. Multiple deposition cycles may be performed in a pulsing process under vacuum with or without process gas 840. In other embodiments, other deposition techniques, such as ALD, CVD, etc., can be used.

MRAM 디바이스(10)의 후속 프로세싱에서 산소 효과를 완화시키기 위해 원하는 결정질 필름을 얻는 것은 강력한 결정 방위 (111)을 갖는 결정질 필름의 성장을 통해 달성될 수 있다. 배향성 입자(oriented grain)를 성장시키는 것은 저에너지 성막 기술을 이용하는 것에 의해 달성될 수 있다. 저에너지 성막 기술에서는 고에너지 성막에서보다 전자 에너지가 더욱 제어된다. 바이어스 제어를 이용하는 것은 고강도를 유지하면서 보다 낮은 이온 에너지를 이용하는 능력을 제공한다. RF 바이어스도 또한 강력한 강도를 제공하지만, 증가된 이온 에너지도 또한 가질 수 있다. 마그네트론(835)을 사용함으로써, 원하는 것보다 많은 에너지를 지닌 이온의 과량의 에너지의 일부를 상쇄 및 제어할 수 있다. 타겟 재료의 이온이 워크피스(11)에 충격을 가할 때, 이온은 에너지가 낮기 때문에 워크피스(11) 상에 이미 성막된 다른 원자를 제거, 대체 또는 손상시킬 가능성이 적다. 손실 전자는 타겟 재료의 이온을 축적 및 탈이온화하여, (111) 방위의 결정질 구조를 형성할 수 있다. Obtaining the desired crystalline film to mitigate the oxygen effect in subsequent processing of the MRAM device 10 can be achieved through growth of the crystalline film with strong crystal orientation 111. Growing oriented grains can be achieved by using low energy deposition techniques. In low-energy deposition technology, electronic energy is more controlled than in high-energy deposition. Using bias control provides the ability to use lower ionic energy while maintaining high strength. RF bias also provides strong strength, but may also have increased ionic energy. By using the magnetron 835, it is possible to cancel and control some of the excess energy of the ions having more energy than desired. When the ions of the target material impact the workpiece 11, the ions are low in energy, so there is less likelihood of removing, replacing or damaging other atoms already deposited on the workpiece 11. Lost electrons can accumulate and deionize ions of the target material, forming a (111) oriented crystalline structure.

도 9a 및 도 9b를 참고하면, 2개의 상이한 성막 기술을 이용한 예시적인 상부 전극(190) 층이 예시된다. 도 9a에서는, DC 바이어스가 이용되어, 강력한 배향성 결정질 필름을 형성한다. 필름의 상부도 또한 매우 매끄럽다. 이와 대조적으로, 질화탄탈의 상부면은 도 9a에 예시된 질화티탄 필름의 상부면보다 거칠다. 질화탄탈층과 질화티탄층을 포함하는 실시예에서, 질화티탄층의 상부면은 질화탄탈층의 상부면보다 매끄러울 것이다. 도 9a에 도시한 상부 전극(190)은 MRAM 디바이스(10)를 형성하는 후속 프로세싱에서 산소 침투를 보다 양호하게 저지할 수 있다. 도 9b에서는 바이어스가 이용되지 않는다. 그 결과, 입자는 배향성이 강하지 않고, 상부면은 더 거칠다. 9A and 9B, exemplary top electrode 190 layers using two different film deposition techniques are illustrated. In FIG. 9A, DC bias is used to form a strong oriented crystalline film. The top of the film is also very smooth. In contrast, the upper surface of the tantalum nitride is rougher than the upper surface of the titanium nitride film illustrated in FIG. 9A. In an embodiment comprising a tantalum nitride layer and a titanium nitride layer, the top surface of the titanium nitride layer will be smoother than the top surface of the tantalum nitride layer. The upper electrode 190 shown in FIG. 9A can better prevent oxygen penetration in subsequent processing forming the MRAM device 10. In Fig. 9b, no bias is used. As a result, the particles are not highly oriented, and the upper surface is rougher.

도 10을 참고하면, 몇몇 실시예에서 상부 전극(190)은 강력한 결정 방위 (111)을 나타내도록 성막될 수 있다. 그래프(1010)는 마커(1020)에서의 결정 방위 (111)의 강도가 다른 프로세스 조건에서 입증된 격자면(lattice plane)들 중에서 가장 큰 것을 예시한다. 그래프(1010)는 마커(1030)에서의 결정 방위 (200)의 강도가 다른 프로세스 조건에서 입증된 격자면들 중에서 두번째로 큰 것을 예시한다. (111)의 결정 방위의 강도는 (200)의 결정 방위의 강도보다 약 25 % 내지 약 100 % 더 클 수 있다.Referring to FIG. 10, in some embodiments, the upper electrode 190 may be formed to exhibit a strong crystal orientation 111. The graph 1010 illustrates that the strength of the crystal orientation 111 at the marker 1020 is the largest of the lattice planes proven in different process conditions. Graph 1010 illustrates that the strength of crystal orientation 200 at marker 1030 is the second largest of the lattice surfaces proven under different process conditions. The strength of the crystal orientation of (111) may be about 25% to about 100% greater than the strength of the crystal orientation of (200).

도 11을 참고하면, 상부 전극(190)은 필름 응력을 제어하도록 성막될 수 있다. 몇몇 실시예에서, 상부 전극(190)의 인장 응력은 약 400 Mpa보다 크도록 제어될 수 있지만, 다른 값도 고려되고 이용될 수 있다. 상부 전극(190)의 필름의 응력을 약 400 Mpa보다 크게 제어하는 것은 또한 산소가 MTJ 구조(180)에 침투하는 것을 방지하는 데 기여한다. 도 11의 그래프(1110)에 나타낸 바와 같이, AC 바이어스가 증가될 때에 필름 응력은 상이한 기판 온도에서 증가될 수 있다. 몇몇 실시예에서, 상부 전극(190)은 필름 응력을 향상 및/또는 더욱 제어하기 위해 탄소 또는 실리콘과 같은 적절한 도펀트로 도핑될 수 있다. 탄소는 약 1.0 x 1022 cm-3 내지 1.0 x 1024 cm-3의 농도로 도핑될 수 있다. 실리콘은 약 1.0 x 1022 cm-3 내지 1.0 x 1024 cm-3의 농도로 도핑될 수 있다. 실리콘 또는 탄소는 상부 전극(190)을 형성하는 동안에 인시츄(in situ)식으로 도핑될 수도 있고, 후속 이온 주입에 의해 도핑될 수도 있다. 도펀트의 농도가 높을수록 상부 전극(190)의 응력이 커진다. 상기 범위의 도핑 농도를 갖도록 탄소 및/또는 실리콘을 선택하면 상부 전극(190)의 도전성 특성에 부정적인 영향을 미치지 않으면서 조율 가능한 필름 응력이 제공된다. 다른 도펀트 농도도 고려되고, 대신 이용될 수 있다.Referring to FIG. 11, the upper electrode 190 may be formed to control film stress. In some embodiments, the tensile stress of the upper electrode 190 can be controlled to be greater than about 400 Mpa, but other values can also be considered and used. Controlling the stress of the film of the upper electrode 190 greater than about 400 Mpa also contributes to preventing oxygen from penetrating the MTJ structure 180. As shown in the graph 1110 of FIG. 11, the film stress can be increased at different substrate temperatures when the AC bias is increased. In some embodiments, the upper electrode 190 may be doped with a suitable dopant, such as carbon or silicon, to enhance and / or further control film stress. Carbon can be doped at a concentration of about 1.0 x 10 22 cm -3 to 1.0 x 10 24 cm -3 . The silicon can be doped to a concentration of about 1.0 x 10 22 cm -3 to 1.0 x 10 24 cm -3 . Silicon or carbon may be doped in situ while forming the upper electrode 190, or may be doped by subsequent ion implantation. The higher the concentration of the dopant, the greater the stress of the upper electrode 190. Selecting carbon and / or silicon to have a doping concentration in the above range provides tunable film stress without adversely affecting the conductive properties of the upper electrode 190. Other dopant concentrations are also contemplated and can be used instead.

도 12를 참고하면, 상부 전극(190)의 형성에 이어서, 상부 전극(190)은 원하는 두께로 박막화될 수 있다. 박막화는 임의의 적절한 프로세스에 의해 행해질 수 있다. 몇몇 실시예에서는, 상부 전극(190)을 원하는 두께로 박막화하기 위해 이온 빔 에칭 세정 프로세스가 수행될 수 있다. 다른 실시예에서는, 습식 에칭이 이용될 수 있다. 또 다른 실시예에서는, 화학 기계적 연마(CMP) 프로세스가 이용될 수 있다.Referring to FIG. 12, following formation of the upper electrode 190, the upper electrode 190 may be thinned to a desired thickness. Thinning can be done by any suitable process. In some embodiments, an ion beam etch cleaning process may be performed to thin the upper electrode 190 to a desired thickness. In other embodiments, wet etching may be used. In another embodiment, a chemical mechanical polishing (CMP) process can be used.

도 13을 참고하면, MRAM 셀(20), MRAM 셀(30) 및 MRAM 셀(40)과 같은 별개의 MRAM 셀들로 패터닝된 후의 MRAM 디바이스(10)의 단면도가 예시되어 있다. 도 13의 단면은 도 12에 예시된 단면에 수직한 MRAM 디바이스(10)의 단면이다. Referring to FIG. 13, a cross-sectional view of the MRAM device 10 after patterning into separate MRAM cells such as the MRAM cell 20, MRAM cell 30 and MRAM cell 40 is illustrated. The cross section of FIG. 13 is a cross section of the MRAM device 10 perpendicular to the cross section illustrated in FIG. 12.

MRAM 셀 각각은 포토-패터닝 기술과 같은 임의의 적절한 기술을 이용하여 패터닝될 수 있다. 패터닝 동안, 상부 전극(190)의 강한 결정 방위 (111)로 인해 MTJ 구조(180)에 대한 산소 침투가 감소되거나 제거된다. 질화티탄을 상부 전극(190)의 재료로 선택하는 것도 또한 MTJ 구조(180)에 대한 산소 침투를 감소시키거나 제거하는 데 기여한다. MRAM 디바이스(10)를 MRAM 셀로 패터닝한 후, 보호 유전체층(210)이 MTJ 구조의 측벽에 성막되어, MTJ 구조가 측벽면을 통해 산화되는 것을 방지할 수 있다. 보호 유전체층(210)은 PVD, CVD 등과 같은 임의의 적절한 기술에 의해 형성되는 질화규소 또는 다른 적절한 재료를 포함할 수 있다. 유전체층(215)이 MRAM 디바이스의 다수의 MRAM 셀 위에 성막될 수 있다. 유전체층(215)은 PVD, CVD 등과 같은 임의의 적절한 기술에 의해 형성되는 질화규소 또는 다른 적절한 재료를 포함할 수 있다. MRAM 디바이스(10)의 결과적인 MRAM 셀(20)은 상부 전극(190)과 MTJ 구조(180) 사이에 인터페이스를 가질 수 있고, 이 인터페이스에서 상부 전극(190)의 저부면과 MTJ 구조(180)의 상부면이 그 전체면에 걸쳐, 즉 측벽 사이에서 그 측방향 범위로 정합되며, 이에 따라 상부 전극(190)의 저부면과 MTJ 구조(180)의 상부면 중 어느 것도 측방향으로 MJT 구조나 상부 전극을 넘어 연장되지 않는다. 즉, MTJ 구조(180)와 상부 전극(190)은 그 각각의 측방향 범위로 공유 인터페이스를 가질 수 있다.Each of the MRAM cells can be patterned using any suitable technique, such as photo-patterning technique. During patterning, oxygen penetration into the MTJ structure 180 is reduced or eliminated due to the strong crystal orientation 111 of the upper electrode 190. Choosing titanium nitride as the material of the upper electrode 190 also contributes to reducing or eliminating oxygen penetration into the MTJ structure 180. After patterning the MRAM device 10 into an MRAM cell, a protective dielectric layer 210 is deposited on the sidewall of the MTJ structure, thereby preventing the MTJ structure from being oxidized through the sidewall surface. The protective dielectric layer 210 can include silicon nitride or other suitable material formed by any suitable technique, such as PVD, CVD, and the like. Dielectric layer 215 may be deposited over multiple MRAM cells of the MRAM device. Dielectric layer 215 may include silicon nitride or other suitable material formed by any suitable technique, such as PVD, CVD, or the like. The resulting MRAM cell 20 of the MRAM device 10 may have an interface between the upper electrode 190 and the MTJ structure 180, where the bottom surface of the upper electrode 190 and the MTJ structure 180 The upper surface of the is matched in its lateral range over the entire surface, that is, between the sidewalls, so that either the bottom surface of the upper electrode 190 and the upper surface of the MTJ structure 180 are lateral to the MJT structure. It does not extend beyond the upper electrode. That is, the MTJ structure 180 and the upper electrode 190 may have a shared interface in their respective lateral ranges.

상부 전극(190)이 비교적 높은 온도에서 산화하고 결정 방위 (111)을 갖는 재료로 형성되기 때문에, 상부 전극(190)의 상부면은 보호 유전체층(210)과 유전체층(215)의 형성 중에 비보호 상태로 유지될 수 있다. 종래의 이들 재료의 형성은 별개의 보호/산소 차단층을 요구할 수 있지만, 상부 전극(190)이 질화티탄을 포함할 수 있고, 결정 방위 (111)을 갖기 때문에, 상부 전극(190)이 후속 프로세싱 단계에서 산소가 침투하는 것을 차단할 수 있다. 유전체층(215) 형성에 이어서, 셀 갭 충전 재료층(220)이 MARM 셀의 각각의 그룹, 예컨대 MARM 디바이스(10) 위에 형성될 수 있다. 셀 갭 충전 재료층(220)은 CVD, PVD, ALD, 유동성 CVD 등과 같은 임의의 적절한 성막 기술을 이용하여, 산화규소, 폴리이미드, PBO, PSG, BSG, BPSG, FSG, TEOS 등과 같은 임의의 적절한 재료로 형성될 수 있다. Since the upper electrode 190 is oxidized at a relatively high temperature and is formed of a material having a crystal orientation 111, the upper surface of the upper electrode 190 is in an unprotected state during the formation of the protective dielectric layer 210 and the dielectric layer 215 Can be maintained. Formation of these conventional materials may require a separate protective / oxygen blocking layer, but since the upper electrode 190 may include titanium nitride and has a crystal orientation 111, the upper electrode 190 is subjected to subsequent processing. It is possible to block the penetration of oxygen at the stage. Following formation of the dielectric layer 215, a cell gap filling material layer 220 can be formed over each group of MARM cells, such as the MARM device 10. The cell gap filling material layer 220 can be made of any suitable film, such as silicon oxide, polyimide, PBO, PSG, BSG, BPSG, FSG, TEOS, etc., using any suitable deposition technique such as CVD, PVD, ALD, flowable CVD, etc. It can be formed of a material.

셀 갭 충전 재료층(220)의 형성에 이어서, 디바이스 갭 충전 재료층(230)이 MRAM 디바이스(10) 및 동일한 워크피스 상에 형성된 인접한 MRAM 디바이스들을 포함하여 모든 다이 위에 형성될 수 있다. 디바이스 갭 충전 재료층(230)은 셀 갭 충전 재료층(220)에 대해 전술한 것과 유사한 재료 및 기술을 이용하여 형성될 수 있다. 디바이스 갭 충전 재료층(230)의 형성에 이어서, 디바이스 갭 충전 재료층(230)은, 예컨대 CMP 프로세스나, 디바이스 갭 충전 재료층(230)의 상부면을 평평하게 하는 다른 적절한 프로세스에 의해 평탄화될 수 있다.Following formation of the cell gap filling material layer 220, a device gap filling material layer 230 may be formed over all die, including the MRAM device 10 and adjacent MRAM devices formed on the same workpiece. The device gap filling material layer 230 may be formed using materials and techniques similar to those described above for the cell gap filling material layer 220. Following formation of the device gap filling material layer 230, the device gap filling material layer 230 may be planarized by, for example, a CMP process, or other suitable process to flatten the top surface of the device gap filling material layer 230. You can.

디바이스 갭 충전 재료층(230)을 평평하게 한 후, 선택적 마스크층(240)과 선택적 마스크층(250)이 디바이스 갭 충전 재료층(230) 위에 성막될 수 있다. 선택적 마스크층(240, 250)은 에칭 정치층으로서 이용될 수 있고, 에칭 정지층(110) 및 에칭 정지층(120) 각각에 대해 전술한 것과 유사한 재료 및 프로세스를 이용하여 형성될 수 있다. After flattening the device gap filling material layer 230, an optional mask layer 240 and an optional mask layer 250 may be deposited over the device gap filling material layer 230. The optional mask layers 240 and 250 may be used as an etch stop layer, and may be formed using materials and processes similar to those described above for each of the etch stop layer 110 and the etch stop layer 120.

다음에, 격리층(260)이 선택적 마스크층(250) 위에 형성될 수 있다. 격리층(260)은 폴리머, 폴리이미드, PSG, BSG, BPSG, FSG, TEOS, 블랙 다이아몬드(Applied Materials Inc.의 등록 상표), 탄소 함유 저유전상수 유전체 재료, HSQ, MSQ 등으로 형성될 수 있다. 격리층(260)은 스핀온 코팅(spin-on coating), 플라즈마 증강 화학적 기상 증착(PECVD)과 같은 임의의 적절한 방법 또는 고밀도 플라즈마 CVD(HDPCVD), 원자층 증착(ALD), 저압 CVD(LPCVD), 물리적 기상 증착(PVD)과 같은 다른 방법 등에 의해 형성될 수 있다.Next, an isolation layer 260 may be formed over the optional mask layer 250. The isolation layer 260 may be formed of polymer, polyimide, PSG, BSG, BPSG, FSG, TEOS, black diamond (registered trademark of Applied Materials Inc.), low dielectric constant dielectric material containing carbon, HSQ, MSQ, and the like. Isolation layer 260 may be any suitable method such as spin-on coating, plasma enhanced chemical vapor deposition (PECVD) or high density plasma CVD (HDPCVD), atomic layer deposition (ALD), low pressure CVD (LPCVD) , And other methods such as physical vapor deposition (PVD).

컨택트(270)가, 컨택트(270)에 대응하는 개구를 내부에 형성하도록 격리층(260)을 패터닝하는 것에 의해 형성될 수 있다. 개구는 임의의 허용 가능한 패터닝 기술, 예컨대 격리층(260) 위에 포토레지스트 마스크를 사용하여 형성될 수 있다. 몇몇 실시예에서, 개구는 자가 정렬 프로세스를 이용하여 형성된다. 개구의 저부는 각각의 MRAM 셀을 위한 상부 전극(190)의 거의 전부를 노출시킬 수 있다. 컨택트(270)는 도 1의 도전성 피쳐(105)에 대하여 전술한 것과 유사한 프로세스 및 재료를 이용하여 형성될 수 있다.The contact 270 may be formed by patterning the isolation layer 260 to form an opening corresponding to the contact 270 therein. The opening can be formed using any acceptable patterning technique, such as using a photoresist mask over the isolation layer 260. In some embodiments, the opening is formed using a self-aligning process. The bottom of the opening can expose almost all of the top electrode 190 for each MRAM cell. Contact 270 may be formed using a process and material similar to that described above for conductive feature 105 of FIG. 1.

컨택트(270) 형성 후, 임의의 적절한 프로세스에 의해, 제1 상호접속부(도시하지 않음)가 격리층(260) 위에 형성될 수 있고, 제2 상호접속부[예컨대, 층(100)과 도전성 피쳐(105) 내에 이미 마련되지 않은 경우]가 층(100) 아래에 형성될 수 있다. 제1 상호접속부와 제2 상호접속부는, 각각의 MRAM 셀이 개별적으로 어드레싱 가능하도록, MRAM 셀 각각에 비트 라인 및 워드 라인과 같은 어드레싱 능력을 제공할 수 있다. After contact 270 is formed, by any suitable process, a first interconnect (not shown) can be formed over isolation layer 260, and a second interconnect (eg, layer 100 and conductive features ( 105) may be formed below the layer 100. The first interconnect and the second interconnect may provide addressing capabilities, such as bit lines and word lines, to each MRAM cell, such that each MRAM cell is individually addressable.

도 14를 참고하면, 그래프(1410)는 MTJ 구조(180)의 전자 스핀을 변경하기 위한 예시적인 전압 흐름을 예시한다. 흐름의 직사각형 형상은 전자 스핀 반전이 작동함을 나타낸다. 당업자라면, 도 14의 예는 설명을 위해 주어지는 것이지 제한하려는 의도는 없다는 것을 이해할 것이다. 이 예에서, 전자 스핀이 일방향일 때, MTJ 구조(180)에 걸친 저항값은 약 190 Ω 내지 약 200 Ω일 수 있다. 전자 스핀이 반전될 때, MTJ 구조(180)에 걸친 저항값은 약 250 Ω 내지 약 285 Ω일 수 있다. 전자 스핀을 변경하기 위해, 약 1.25 V의 양의 전압이 MTJ 구조(180)에 걸쳐 인가되고(1), 전압이 제거되며(2), 그 후 약 -1.25 V의 음의 전압이 MTJ 구조(180)에 걸쳐 인가된다(3). 전압은 제거될 수 있다(4). 전압 스핀을 원래대로 다시 변경하기 위해, 양의 전압이 MTJ 구조(180)에 걸쳐 인가될 수 있고(5), 그 다음에 전압이 제거될 수 있다(6). Referring to FIG. 14, graph 1410 illustrates an exemplary voltage flow for changing the electron spin of MTJ structure 180. The rectangular shape of the flow indicates that electron spin inversion works. Those skilled in the art will understand that the example in FIG. 14 is given for illustrative purposes and is not intended to be limiting. In this example, when the electron spin is unidirectional, the resistance value across the MTJ structure 180 may be about 190 Ω to about 200 Ω. When the electron spin is reversed, the resistance value across the MTJ structure 180 may be about 250 Ω to about 285 Ω. To change the electron spin, a positive voltage of about 1.25 V is applied across the MTJ structure 180 (1), the voltage is removed (2), and then a negative voltage of about -1.25 V is applied to the MTJ structure ( 180) (3). The voltage can be removed (4). To change the voltage spin back, a positive voltage can be applied across the MTJ structure 180 (5), and then the voltage can be removed (6).

몇몇 실시예에서는, 전자 스핀 가역성을 테스트하기 위해, 웨이퍼 수율 및 수용 테스트가 수행될 수 있다. 상부 전극(190)을 형성하는 데 이용되는 프로세스로 인해 수율이 증가되는데, 그 이유는 종래의 프로세스 및 재료를 이용할 때보다 많은 MRAM 셀이 기능적 전자 스핀 가역성(functional electron spin reversibility)을 포함하기 때문이다.In some embodiments, wafer yield and acceptance testing can be performed to test electron spin reversibility. The process used to form the upper electrode 190 increases yield, because more MRAM cells contain functional electron spin reversibility than when using conventional processes and materials. .

전술한 바로부터, MRAM 디바이스(10)는 여러 장점을 갖는다는 점을 이해해야만 한다. 예컨대, 상부 전극(190)의 재료 및 형성은 후속 프로세싱 단계에서 MTJ 구조(180)로의 산소 침투 가능성을 방지하도록 선택되고 형성된다. 특히, 상부 전극(190)의 구조는 (111) 면심 입방체로 배향된 결정의 피크 농도와, 약 400 Mpa보다 큰 응력을 받는 필름을 제공하도록 제어된 응력 특징을 갖는다. 상부 전극(190)의 재료는 몇몇 실시예에서 질화티탄도 또함 포함할 수 있고, 이는 이들 프로세스에서 산화가 발생하는 온도가 비교적 높다(예컨대, 10초 이하의 짧은 지속 기간 동안 약 450 ℃ 초과 또는 70초가 넘는 긴 지속 기간 동안 약 1000 ℃ 초과)는 장점을 갖는다. 질화티탄이 산화에 대한 저항성이 있기 때문에, 산화된 질화티탄 입자로부터 MTJ 구조(180)로의 산소의 확산은 발생하기 어렵다. 이와 같이, MTJ 구조(180)로의 산소의 확산 또는 침투가 완화되거나 방지되고, 이에 따라 MTJ 구조(180)가 전자 가역성에 실패하는 것을 방지한다.From the foregoing, it should be understood that the MRAM device 10 has several advantages. For example, the material and formation of the upper electrode 190 is selected and formed to prevent the possibility of oxygen penetration into the MTJ structure 180 in a subsequent processing step. In particular, the structure of the upper electrode 190 has a peak concentration of crystals oriented with a (111) face-centered cube, and controlled stress characteristics to provide a film subjected to a stress greater than about 400 Mpa. The material of the upper electrode 190 may also include titanium nitride in some embodiments, which also has a relatively high temperature at which oxidation occurs in these processes (eg, greater than about 450 ° C. for a short duration of less than 10 seconds or 70 For longer durations of more than seconds, greater than about 1000 ° C.) has advantages. Since titanium nitride is resistant to oxidation, diffusion of oxygen from the oxidized titanium nitride particles to the MTJ structure 180 is unlikely to occur. As such, diffusion or penetration of oxygen into the MTJ structure 180 is mitigated or prevented, thereby preventing the MTJ structure 180 from failing electron reversibility.

추가로, 웨이퍼 수용 테스트 및 MRAM 디바이스(10)의 회로 프로브 수율이 종래의 디바이스에 비해 향상된다. 또한, MRAM 디바이스(10)를 위한 프로세스 흐름이 단축될 수 있고, 예컨대 상부 전극(190)을 위한 보호 마스크(들)의 비용을 절감할 수 있다.Additionally, wafer acceptance testing and circuit probe yield of the MRAM device 10 are improved over conventional devices. Further, the process flow for the MRAM device 10 can be shortened, for example, the cost of the protective mask (s) for the upper electrode 190 can be reduced.

실시예는, 비아 위에 저부 전극을 형성하는 단계로서, 비아는 저부 전극을 자기저항 랜덤 액세스 메모리 디바이스(MRAM)를 위한 제어 라인에 전기적으로 커플링하는 것인 저부 전극 형성 단계를 포함하는 방법이다. 자기 터널 접합부(MTJ)가 저부 전극 위에 형성된다. 상부 전극이 MTJ 위에 형성되고, 상부 전극의 재료는 10초 이하에서 450 ℃보다 높은 산화 온도를 갖는 제1 재료로 형성된다. An embodiment is a method comprising forming a bottom electrode over a via, wherein the via is electrically coupling the bottom electrode to a control line for a magnetoresistive random access memory device (MRAM). A magnetic tunnel junction (MTJ) is formed on the bottom electrode. An upper electrode is formed on the MTJ, and the material of the upper electrode is formed of a first material having an oxidation temperature higher than 450 ° C in 10 seconds or less.

다른 실시예는, 자기저항 랜덤 액세스 메모리 디바이스(MRAM)의 저부 전극을 형성하는 단계를 포함하는 방법이다. 자기 터널 접합부(MTJ)는 저부 전극 위에 형성되고, MTJ는 반강자성층, 피닝층 및 자유층을 포함한다. 상부 전극은 MTJ 위에 형성되고, 상부 전극은 MTJ의 자유층에 물리적으로 커플링되며, 질화티탄을 포함한다. Another embodiment is a method comprising forming a bottom electrode of a magnetoresistive random access memory device (MRAM). The magnetic tunnel junction (MTJ) is formed on the bottom electrode, and the MTJ includes an antiferromagnetic layer, a pinning layer, and a free layer. The upper electrode is formed over the MTJ, the upper electrode is physically coupled to the free layer of MTJ, and contains titanium nitride.

다른 실시예는, 질화티탄 필름을 포함하고, 우세한 결정 방위 농도로서 결정 방위 (111)을 포함하는 상부 전극을 포함하는 자기저항 랜덤 액세스 메모리(MRAM) 셀이다. MRAM 셀은 상부 전극 아래에 배치되는 자기 터널 접합부(MTJ)와, MTJ 아래에 배치되는 저부 전극을 더 포함한다.Another embodiment is a magnetoresistive random access memory (MRAM) cell comprising a titanium nitride film and an upper electrode comprising a crystal orientation 111 as the predominant crystal orientation concentration. The MRAM cell further includes a magnetic tunnel junction (MTJ) disposed under the upper electrode and a bottom electrode disposed under the MTJ.

다른 실시예는, 저부 전극 비아에 의해 하부 기판의 금속 피쳐에 접속되는 저부 전극을 포함하는 자기저항 랜덤 액세스 메모리(MRAM) 디바이스이다. MRAM 디바이스는 저부 전극 위에 배치되는 자기 터널 접합부(MTJ)와, MTJ 위에 배치되고, 10초 이하에서 450 ℃의 산화 온도를 갖는 재료를 포함하는 상부 전극을 더 포함한다. Another embodiment is a magnetoresistive random access memory (MRAM) device that includes a bottom electrode connected to a metal feature of the bottom substrate by bottom electrode vias. The MRAM device further includes a magnetic tunnel junction (MTJ) disposed over the bottom electrode, and an upper electrode disposed over the MTJ and comprising a material having an oxidation temperature of 450 ° C. in 10 seconds or less.

앞의 설명은, 당업자가 본 개시의 양태를 보다 잘 이해할 수 있도록 다수의 실시예의 피쳐들을 약술한다. 당업자는, 여기에서 소개되는 실시예들의 동일한 목적을 이행하고/이행하거나 상기 실시예들의 동일한 이점을 달성하는 다른 프로세스 및 구조를 구성 또는 수정하기 위한 기초로서 본 개시를 용이하게 사용할 수 있다는 점을 이해해야만 한다. 당업자는 또한, 그러한 등가의 구성은 본 개시의 사상 및 범위로부터 벗어나지 않으며, 당업자가 본 개시의 사상 및 범위로부터 벗어나는 일 없이 다양한 변화, 교체 및 변경을 실시할 수 있다는 점을 이해해야만 한다.The preceding description outlines features of multiple embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should understand that the present disclosure can be readily used as a basis for constructing or modifying other processes and structures that fulfill the same objectives of the embodiments introduced herein and / or achieve the same advantages of the above embodiments. Only. Those skilled in the art should also understand that such equivalent configurations are not departed from the spirit and scope of the present disclosure, and various changes, replacements, and modifications can be made by those skilled in the art without departing from the spirit and scope of the present disclosure.

<부기><Bookkeeping>

1. 방법으로서,1. As a method,

비아 위에 저부 전극을 형성하는 단계로서, 비아는 저부 전극을 자기저항 랜덤 액세스 메모리(Magnetoresistive Random Access Memory; MRAM) 디바이스를 위한 제어 라인에 전기적으로 커플링하는 것인 저부 전극 형성 단계;Forming a bottom electrode over the via, wherein the via is electrically coupling the bottom electrode to a control line for a magnetoresistive random access memory (MRAM) device;

저부 전극 위에 자기 터널 접합부(Magnetic Tunnel Junction; MTJ)를 형성하는 단계; 및Forming a magnetic tunnel junction (MTJ) on the bottom electrode; And

MTJ 위에 상부 전극을 형성하는 단계로서, 상부 전극의 재료는 10초 이하에서 450 ℃보다 높은 산화 온도를 갖는 제1 재료로 형성되는 것인 상부 전극 형성 단계Forming an upper electrode on the MTJ, wherein the material of the upper electrode is formed of a first material having an oxidation temperature higher than 450 ° C. in 10 seconds or less.

를 포함하는 방법.How to include.

2. 제1항에 있어서, MTJ를 형성하는 단계는 2. The method of claim 1, wherein forming the MTJ

강자성층을 형성하는 단계;Forming a ferromagnetic layer;

강자성층 위에 피닝층을 형성하는 단계; 및Forming a pinning layer on the ferromagnetic layer; And

피닝층 위에 자유층을 형성하는 단계Forming a free layer over the pinning layer

를 포함하는 것인 방법.How to include.

3. 제2항에 있어서, MTJ를 형성하는 단계는 강자성층과 피닝층 사이 또는 피닝층과 자유층 사이에 터널 배리어층을 형성하는 단계를 더 포함하는 것인 방법.3. The method of claim 2, wherein forming the MTJ further comprises forming a tunnel barrier layer between the ferromagnetic layer and the pinning layer or between the pinning layer and the free layer.

4. 제1항에 있어서, 제1 재료는 질화티탄을 포함하는 것인 방법.4. The method of claim 1, wherein the first material comprises titanium nitride.

5. 제1항에 있어서, 제1 재료는 (111)의 격자면 방위를 지닌 최대 개수의 결정을 갖는 결정질 구조인 것인 방법.5. The method of claim 1, wherein the first material is a crystalline structure with a maximum number of crystals with a lattice plane orientation of (111).

6. 제1항에 있어서,6. The method of paragraph 1,

상부 전극과 MTJ를 테이퍼진 형상으로 성형하는 단계; 및Forming the upper electrode and the MTJ into a tapered shape; And

상부 전극의 측벽과 MTJ의 측벽 위에 보호 유전체층을 형성하는 단계Forming a protective dielectric layer over the sidewalls of the upper electrode and the sidewalls of the MTJ

를 더 포함하고, 상부 전극의 상부면에는 보호 유전체층이 없고, MTJ의 상부면에는 보호 유전체층이 없는 것인 방법.And further comprising no protective dielectric layer on the top surface of the top electrode and no protective dielectric layer on the top surface of the MTJ.

7. 제1항에 있어서,7. The method of paragraph 1,

상부 전극 위에 유전체 재료를 형성하는 단계; 및Forming a dielectric material over the upper electrode; And

유전체 재료를 관통하여 도전성 비아를 형성하는 단계Forming a conductive via through the dielectric material

를 더 포함하고, 도전성 비아의 저부면은 상부 전극의 상부면을 완전히 덮는 것인 방법.And further comprising, the bottom surface of the conductive via completely covering the top surface of the top electrode.

8. 자기저항 랜덤 액세스 메모리(MRAM) 셀로서,8. A magnetoresistive random access memory (MRAM) cell,

질화티탄 필름을 포함하고, 결정 방위 (111)을 우세한 결정 방위 농도(dominant crystal orientation concentration)로서 포함하는 상부 전극; An upper electrode comprising a titanium nitride film and comprising crystal orientation (111) as a dominant crystal orientation concentration;

상부 전극 아래에 배치되는 자기 터널 접합부(MTJ); 및A magnetic tunnel junction (MTJ) disposed under the upper electrode; And

MTJ 아래에 배치되는 저부 전극Bottom electrode placed under MTJ

을 포함하는 MRAM 셀.MRAM cell comprising a.

9. 제8항에 있어서, MTJ는9. The method of claim 8, wherein the MTJ

저부 전극에 커플링되는 반강자성층;An antiferromagnetic layer coupled to the bottom electrode;

반강자성층 위의 피닝층(pinning layer); 및A pinning layer over the antiferromagnetic layer; And

피닝층 위의 자유층Free layer above the pinning layer

을 포함하는 것인 MRAM 셀.MRAM cell comprising a.

10. 제9항에 있어서, MTJ는 반강자성층과 피닝층 사이 또는 피닝층과 자유층 사이에 배치되는 터널 배리어층을 더 포함하는 것인 MRAM 셀.10. The MRAM cell of claim 9, wherein the MTJ further comprises a tunnel barrier layer disposed between the antiferromagnetic layer and the pinning layer or between the pinning layer and the free layer.

11. 제8항에 있어서, MTJ와 상부 전극은 그 각각의 측방향 범위에서 공유된 인터페이스를 갖는 것인 MRAM 셀.11. The MRAM cell of claim 8, wherein the MTJ and the upper electrode have a shared interface in their respective lateral ranges.

12. 제8항에 있어서, 상부 전극은 탄탈을 포함하는 제2 필름을 더 포함하는 것인 MRAM 셀.12. The MRAM cell of claim 8, wherein the upper electrode further comprises a second film comprising tantalum.

13. 제12항에 있어서, 제2 필름은 질화탄탈이고, 상부 전극의 상부면은 제2 필름의 상부면보다 매끄러운 것인 MRAM 셀.13. The MRAM cell of claim 12, wherein the second film is tantalum nitride, and the upper surface of the upper electrode is smoother than the upper surface of the second film.

14. 제8항에 있어서, 상부 전극은 약 400 Mpa을 초과하는 인장 응력을 갖는 것인 MRAM 셀.14. The MRAM cell of claim 8, wherein the top electrode has a tensile stress in excess of about 400 Mpa.

15. 자기저항 랜덤 액세스 메모리(MRAM) 디바이스로서,15. A magnetoresistive random access memory (MRAM) device,

저부 전극 비아에 의해 하부 기판의 금속 피쳐(feature)에 접속되는 저부 전극;A bottom electrode connected to a metal feature of the lower substrate by a bottom electrode via;

저부 전극 위에 배치되는 자기 터널 접합부(MTJ); 및A magnetic tunnel junction (MTJ) disposed on the bottom electrode; And

MTJ 위에 배치되는 상부 전극으로서, 이 상부 전극은 10초 이하에서 450 ℃보다 높은 산화 온도를 갖는 재료를 포함하는 것인 상부 전극An upper electrode disposed over the MTJ, the upper electrode comprising a material having an oxidation temperature higher than 450 ° C. in 10 seconds or less.

을 포함하는 MRAM 디바이스.MRAM device comprising a.

16. 제15항에 있어서, MTJ는16. The method of claim 15, wherein the MTJ

저부 전극에 커플링되는 강자성층;A ferromagnetic layer coupled to the bottom electrode;

강자성층 위의 피닝층; 및A pinning layer over the ferromagnetic layer; And

피닝층 위의 자유층Free layer above the pinning layer

을 포함하는 것인 MRAM 디바이스.MRAM device comprising a.

17. 제16항에 있어서, MTJ는 강자성층과 피닝층 사이 또는 피닝층과 자유층 사이에 배치되는 터널 배리어층을 더 포함하는 것인 MRAM 디바이스.17. The MRAM device of claim 16, wherein the MTJ further comprises a tunnel barrier layer disposed between the ferromagnetic layer and the pinning layer or between the pinning layer and the free layer.

18. 제15항에 있어서,18. The method of claim 15,

MTJ의 측벽과 상부 전극의 측벽 상에 배치되는 보호 유전체층을 더 포함하고, MTJ의 상부면과 상부 전극의 상부면은 보호 유전체층이 없는 것인 MRAM 디바이스.A MRAM device further comprising a protective dielectric layer disposed on the sidewall of the MTJ and the sidewall of the top electrode, wherein the top surface of the MTJ and the top surface of the top electrode are free of the protective dielectric layer.

19. 제15항에 있어서,19. The method of claim 15,

상부 전극에 커플링되고 유전체층을 관통하여 연장되는 도전체를 더 포함하고, 도전체의 저부면은 상부 전극의 상부면을 완전히 덮는 것인 MRAM 디바이스.A MRAM device further comprising a conductor coupled to the upper electrode and extending through the dielectric layer, wherein the bottom surface of the conductor completely covers the upper surface of the upper electrode.

20. 제15항에 있어서, 상부 전극의 상부면에는 유전체가 없는 것인 MRAM 디바이스.20. The MRAM device of claim 15, wherein there is no dielectric on the top surface of the top electrode.

Claims (10)

방법으로서,
비아 위에 저부 전극을 형성하는 단계로서, 비아는 저부 전극을 자기저항 랜덤 액세스 메모리(Magnetoresistive Random Access Memory; MRAM) 디바이스를 위한 제어 라인에 전기적으로 커플링하는 것인 저부 전극 형성 단계;
저부 전극 위에 자기 터널 접합부(Magnetic Tunnel Junction; MTJ)를 형성하는 단계; 및
MTJ 위에 상부 전극을 형성하는 단계로서, 상부 전극의 재료는 10초 이하에서 450 ℃보다 높은 산화 온도를 갖는 제1 재료로 형성되는 것인 상부 전극 형성 단계
를 포함하는 방법.
As a method,
Forming a bottom electrode over the via, wherein the via is electrically coupling the bottom electrode to a control line for a magnetoresistive random access memory (MRAM) device;
Forming a magnetic tunnel junction (MTJ) on the bottom electrode; And
Forming an upper electrode on the MTJ, wherein the material of the upper electrode is formed of a first material having an oxidation temperature higher than 450 ° C. in 10 seconds or less.
How to include.
자기저항 랜덤 액세스 메모리(MRAM) 셀로서,
질화티탄 필름을 포함하고, 결정 방위 (111)을 우세한 결정 방위 농도(dominant crystal orientation concentration)로서 포함하는 상부 전극;
상부 전극 아래에 배치되는 자기 터널 접합부(MTJ); 및
MTJ 아래에 배치되는 저부 전극
을 포함하는 MRAM 셀.
A magnetoresistive random access memory (MRAM) cell,
An upper electrode comprising a titanium nitride film and comprising crystal orientation (111) as a dominant crystal orientation concentration;
A magnetic tunnel junction (MTJ) disposed under the upper electrode; And
Bottom electrode placed under MTJ
MRAM cell comprising a.
제2항에 있어서, MTJ는
저부 전극에 커플링되는 반강자성층;
반강자성층 위의 피닝층(pinning layer); 및
피닝층 위의 자유층
을 포함하는 것인 MRAM 셀.
The method of claim 2, wherein the MTJ
An antiferromagnetic layer coupled to the bottom electrode;
A pinning layer over the antiferromagnetic layer; And
Free layer above the pinning layer
MRAM cell comprising a.
제3항에 있어서, MTJ는 반강자성층과 피닝층 사이 또는 피닝층과 자유층 사이에 배치되는 터널 배리어층을 더 포함하는 것인 MRAM 셀.4. The MRAM cell of claim 3, wherein the MTJ further comprises a tunnel barrier layer disposed between the antiferromagnetic layer and the pinning layer or between the pinning layer and the free layer. 제2항에 있어서, MTJ와 상부 전극은 그 각각의 측방향 범위에서 공유된 인터페이스를 갖는 것인 MRAM 셀.3. The MRAM cell of claim 2, wherein the MTJ and the upper electrode have a shared interface in their respective lateral ranges. 제2항에 있어서, 상부 전극은 탄탈을 포함하는 제2 필름을 더 포함하는 것인 MRAM 셀. 3. The MRAM cell of claim 2, wherein the upper electrode further comprises a second film comprising tantalum. 자기저항 랜덤 액세스 메모리(MRAM) 디바이스로서,
저부 전극 비아에 의해 하부 기판의 금속 피쳐(feature)에 접속되는 저부 전극;
저부 전극 위에 배치되는 자기 터널 접합부(MTJ); 및
MTJ 위에 배치되는 상부 전극으로서, 이 상부 전극은 10초 이하에서 450 ℃보다 높은 산화 온도를 갖는 재료를 포함하는 것인 상부 전극
을 포함하는 MRAM 디바이스.
A magnetoresistive random access memory (MRAM) device,
A bottom electrode connected to a metal feature of the lower substrate by a bottom electrode via;
A magnetic tunnel junction (MTJ) disposed on the bottom electrode; And
An upper electrode disposed over the MTJ, the upper electrode comprising a material having an oxidation temperature higher than 450 ° C. in 10 seconds or less.
MRAM device comprising a.
제7항에 있어서,
MTJ의 측벽과 상부 전극의 측벽 상에 배치되는 보호 유전체층을 더 포함하고, MTJ의 상부면과 상부 전극의 상부면은 보호 유전체층이 없는 것인 MRAM 디바이스.
The method of claim 7,
A MRAM device further comprising a protective dielectric layer disposed on the sidewall of the MTJ and the sidewall of the upper electrode, wherein the upper surface of the MTJ and the upper surface of the upper electrode are free of the protective dielectric layer.
제7항에 있어서,
상부 전극에 커플링되고 유전체층을 관통하여 연장되는 도전체를 더 포함하고, 도전체의 저부면은 상부 전극의 상부면을 완전히 덮는 것인 MRAM 디바이스.
The method of claim 7,
A MRAM device further comprising a conductor coupled to the upper electrode and extending through the dielectric layer, wherein the bottom surface of the conductor completely covers the upper surface of the upper electrode.
제7항에 있어서, 상부 전극의 상부면에는 유전체가 없는 것인 MRAM 디바이스.The MRAM device of claim 7, wherein the upper surface of the upper electrode is free of dielectric material.
KR1020190120009A 2018-09-28 2019-09-27 Mram fabrication and device KR102318307B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862738681P 2018-09-28 2018-09-28
US62/738,681 2018-09-28
US16/559,207 US11107980B2 (en) 2018-09-28 2019-09-03 MRAM fabrication and device
US16/559,207 2019-09-03

Publications (2)

Publication Number Publication Date
KR20200037102A true KR20200037102A (en) 2020-04-08
KR102318307B1 KR102318307B1 (en) 2021-10-29

Family

ID=69946543

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190120009A KR102318307B1 (en) 2018-09-28 2019-09-27 Mram fabrication and device

Country Status (5)

Country Link
US (3) US11107980B2 (en)
KR (1) KR102318307B1 (en)
CN (1) CN110970461B (en)
DE (1) DE102019124193A1 (en)
TW (1) TWI743567B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11545619B2 (en) * 2020-07-21 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device structure and method for forming the same
US20220302375A1 (en) * 2021-03-18 2022-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with memory device and method for manufacturing the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010186869A (en) * 2009-02-12 2010-08-26 Toshiba Corp Magnetoresistive effect element and manufacturing method thereof
KR20130007410A (en) * 2011-07-01 2013-01-18 가부시끼가이샤 도시바 Magnetoresistive element and method of manufacturing the same
KR20150056454A (en) * 2013-11-15 2015-05-26 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 A resistive random access memory (rram) with improved forming voltage characteristics and method for making

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8593862B2 (en) 2007-02-12 2013-11-26 Avalanche Technology, Inc. Spin-transfer torque magnetic random access memory having magnetic tunnel junction with perpendicular magnetic anisotropy
US8049305B1 (en) 2008-10-16 2011-11-01 Intermolecular, Inc. Stress-engineered resistance-change memory device
US9847478B2 (en) 2012-03-09 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for resistive random access memory (RRAM)
US8593854B1 (en) 2012-05-21 2013-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for forming conductive path in resistive random-access memory device
US9053781B2 (en) 2012-06-15 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a forming free resistive random access memory with multi-level cell
US9019743B2 (en) 2012-11-29 2015-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for resistive switching random access memory with high reliable and high density
US9431604B2 (en) 2012-12-14 2016-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Resistive random access memory (RRAM) and method of making
US9023699B2 (en) 2012-12-20 2015-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Resistive random access memory (RRAM) structure and method of making the RRAM structure
US9331277B2 (en) 2013-01-21 2016-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. One transistor and one resistive random access memory (RRAM) structure with spacer
US8869436B2 (en) 2013-02-27 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Resistive switching random access memory structure and method to recreate filament and recover resistance window
US9478638B2 (en) 2013-03-12 2016-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Resistive switching random access memory with asymmetric source and drain
US9349953B2 (en) 2013-03-15 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Resistance variable memory structure and method of forming the same
US20140284534A1 (en) 2013-03-22 2014-09-25 Toshihiko Nagase Magnetoresistive element and manufacturing method thereof
US9865806B2 (en) * 2013-06-05 2018-01-09 SK Hynix Inc. Electronic device and method for fabricating the same
US10439131B2 (en) 2015-01-15 2019-10-08 Micron Technology, Inc. Methods of forming semiconductor devices including tunnel barrier materials
CN107636851B (en) 2015-06-26 2022-02-01 英特尔公司 Perpendicular magnetic memory with symmetric fixed layer
US10454021B2 (en) 2016-01-29 2019-10-22 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of manufacturing the same
KR102446863B1 (en) * 2016-02-22 2022-09-23 삼성전자주식회사 Memory device and method for fabricating the same
TWI688001B (en) 2016-09-14 2020-03-11 東芝記憶體股份有限公司 Semiconductor device and its manufacturing method
US10164169B2 (en) * 2016-09-30 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device having a single bottom electrode layer

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010186869A (en) * 2009-02-12 2010-08-26 Toshiba Corp Magnetoresistive effect element and manufacturing method thereof
KR20130007410A (en) * 2011-07-01 2013-01-18 가부시끼가이샤 도시바 Magnetoresistive element and method of manufacturing the same
KR20150056454A (en) * 2013-11-15 2015-05-26 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 A resistive random access memory (rram) with improved forming voltage characteristics and method for making

Also Published As

Publication number Publication date
US20200106009A1 (en) 2020-04-02
KR102318307B1 (en) 2021-10-29
US20230389438A1 (en) 2023-11-30
CN110970461A (en) 2020-04-07
US11107980B2 (en) 2021-08-31
TW202027309A (en) 2020-07-16
US20210391534A1 (en) 2021-12-16
CN110970461B (en) 2022-06-28
US11864467B2 (en) 2024-01-02
DE102019124193A1 (en) 2020-04-02
TWI743567B (en) 2021-10-21

Similar Documents

Publication Publication Date Title
CN111261660B (en) Semiconductor device and method of forming the same
CN109979961B (en) Magnetoresistive random access memory structure and manufacturing method thereof
US10964888B2 (en) Magnetic tunnel junctions
US11101429B2 (en) Metal etching stop layer in magnetic tunnel junction memory cells
US9647200B1 (en) Encapsulation of magnetic tunnel junction structures in organic photopatternable dielectric material
US20230389438A1 (en) MRAM Fabrication and Device
US11411176B2 (en) Gradient protection layer in MTJ manufacturing
US10714678B2 (en) Magnetoresistive random access memory devices and methods of manufacturing the same
US20040087163A1 (en) Method for forming magnetic clad bit line
TW202131406A (en) Methods for etching metal films using plasma processing
US20220328559A1 (en) SOT MRAM Having Dielectric Interfacial Layer and Method Forming Same
US20200075299A1 (en) Method for Improving Deposition Process
US11844284B2 (en) On-chip integration of a high-efficiency and a high-retention inverted wide-base double magnetic tunnel junction device
US11569438B2 (en) Magnetoresistive random-access memory device
US20230008029A1 (en) Novel target for mram

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right