KR20180124830A - Acidic semi-aqueous fluoride activated antireflective coating cleaners with superior substrate compatibility and exceptional bath stability - Google Patents

Acidic semi-aqueous fluoride activated antireflective coating cleaners with superior substrate compatibility and exceptional bath stability Download PDF

Info

Publication number
KR20180124830A
KR20180124830A KR1020187011893A KR20187011893A KR20180124830A KR 20180124830 A KR20180124830 A KR 20180124830A KR 1020187011893 A KR1020187011893 A KR 1020187011893A KR 20187011893 A KR20187011893 A KR 20187011893A KR 20180124830 A KR20180124830 A KR 20180124830A
Authority
KR
South Korea
Prior art keywords
cleaning composition
fluoride
cleaning
acid
stabilizing
Prior art date
Application number
KR1020187011893A
Other languages
Korean (ko)
Inventor
첸-핀 셔먼 슈
추-헝 웨이드 웨이
칭-슈안 릴리안 탕
흐시앙 치 양
Original Assignee
아반토르 퍼포먼스 머티리얼스, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 아반토르 퍼포먼스 머티리얼스, 엘엘씨 filed Critical 아반토르 퍼포먼스 머티리얼스, 엘엘씨
Publication of KR20180124830A publication Critical patent/KR20180124830A/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Abstract

본원에는 그로 인하여 고도로 효과적인 ARC 제거, 세정 능력, 및 광범위하게 다양한 재료에 대한 우월한 상용성을 갖는 신규한 산성 플루오라이드 활성화 세정 화학물질이 제공된다. 본 발명에는, 바람직하지 못한 표면 변형이 없고 탁월한 기판 상용성, pH 안정성, 배스 안정성을 제공하면서, FEOL, BEOL 및 FPD 적용에서 ARC 제거, PR 스트립핑, 에칭/애싱 잔류물 세정 및 CMP 잔류물 제거를 제공하는 조성물이 기재되어 있다.The present invention thereby provides novel acidic fluoride activated cleaning chemistries with highly effective ARC removal, cleaning capabilities, and superior compatibility with a wide variety of materials. The present invention provides ARC removal, PR stripping, etch / ashing residue cleaning and CMP residue removal in FEOL, BEOL and FPD applications, with no undesirable surface deformation and excellent substrate compatibility, pH stability, ≪ / RTI > is disclosed.

Description

우월한 기판 상용성 및 특출한 배스 안정성을 갖는 산성 반-수성 플루오라이드 활성화 반사방지 코팅 세정제Acidic semi-aqueous fluoride activated antireflective coating cleaners with superior substrate compatibility and exceptional bath stability

본 발명은 마이크로전자 세정 조성물, 및 이러한 세정 조성물의 마이크로전자 장치 세정 방법에서의, 특히 더 큰 기판 및 금속화물 상용성을 갖는 반사방지 코팅 (ARC) 제거 및 잔류 세정 조성물을 위한 용도에 관한 것이다.The present invention relates to microelectronic cleaning compositions and their use for anti-reflective coating (ARC) removal and residual cleaning compositions in microelectronic device cleaning methods of these cleaning compositions, especially with larger substrate and metallization compatibility.

마이크로- 및 나노-전자 장치 생산에서의 최근의 진전으로 인해 라인 전단 (FEOL: front end of the line) 및 라인 후단 (BEOL: back end of the line) 둘 다의 스트립핑 또는 세정 능력을 갖는 신규 스트립핑 및 세정 조성물이 필요하게 되었다. 지금까지 전형적으로 사용된 세정 조성물은 마이크로전자 또는 나노전자 플랫폼 생산에서 사용되는 신규 재료로는 적합하지 않은 것으로 밝혀졌다. 이전에 사용된 스트립핑 또는 세정 조성물은 지나치게 공격적이고/거나 충분히 선택적이지 못하다. 상기와 같은 더 새로운 마이크로전자 또는 나노전자 장치를 생산하는데 사용되는 새롭게 이용된 재료 중에는 저-k (<3) 및 고-k (>20) 및 다공성 유전체, 구리 금속화물, 플루오로중합체 반사방지 코팅 (ARC), 특수 하드 마스크, 예컨대 Ti 및 TiN으로 구성된 것들, Si/Ge 또는 Ge의 스트레인드 웨이퍼, 및 금속 캡핑 층, 예컨대 CoWP 및 CoWB의 것들과 같은 재료가 있다. 이들 신규 재료는 장치 제조자에게 새롭고 어려운 난점을 제시한다.Recent advances in the production of micro- and nano-electronic devices have created new strips with stripping or cleaning capabilities both in the front end of the line (FEOL) and in the back end of the line (BEOL) Ping and cleaning compositions have become necessary. It has been found that the cleaning compositions typically used so far are not suitable as novel materials used in the production of microelectronic or nanoelectronic platforms. Previously used stripping or cleaning compositions are either too aggressive and / or not sufficiently selective. Among the newly used materials used to produce such newer microelectronic or nanoelectronic devices are low-k (<3) and high-k (> 20) and porous dielectrics, copper metal oxides, fluoropolymer anti- (ARC), special hard masks such as those composed of Ti and TiN, strained wafers of Si / Ge or Ge, and metal capping layers such as those of CoWP and CoWB. These new materials present new and difficult difficulties for device manufacturers.

예를 들어, Cu/저-k 구조물의 세정은 우수한 세정 능력을 필요로 할 뿐만 아니라, 특출한 기판 상용성을 갖는 용액을 필요로 한다. Al/SiO2 또는 Al(Cu)/SiO2 구조물을 함유하는 전통적 또는 통상적 반도체 장치를 위해 개발된 많은 공정 기술은 Cu/저-k 및 고-k 구조물에 적용될 수 없다. 그 반대의 경우도 마찬가지로서, 많은 Cu/저-k 스트립퍼는 유의한 조절이 이루어지지 않는 한, Al 금속화물에 적합하지 않다.For example, cleaning of a Cu / low-k structure not only requires good cleaning capability, but also requires a solution with outstanding substrate compatibility. Many process technologies developed for conventional or conventional semiconductor devices containing Al / SiO 2 or Al (Cu) / SiO 2 structures can not be applied to Cu / low-k and high-k structures. In the opposite case, too, many Cu / low-k strippers are not suitable for Al metal oxides unless significant control is achieved.

Cu/저-k 및/또는 고-k 구조물의 제조 공정은, 독특하게 경화된 포토레지스트 층, 힘든 플라즈마 에칭 및/또는 애싱 잔류물을 흔히 생성한다. 심지어는 고도로 공격적인 시약, 예컨대 HF 산, 히드록실아민 및 강알칼리성 용액도 종종, 허용되는 기판 상용성과 함께 적합한 세정을 제공하지 못한다.The fabrication process for Cu / low-k and / or high-k structures often yields uniquely cured photoresist layers, tough plasma etching and / or ashing residues. Even highly aggressive reagents such as HF acid, hydroxylamine, and strongly alkaline solutions often fail to provide adequate cleaning with acceptable substrate compatibility.

플루오라이드 또는 HF 기반 수성 용액은 전통적인 FEOL 및 BEOL 에칭제 및 세정 작용제로서 광범위하게 사용되어 왔다. 흔히, 이들 유형의 세정제는 산화물 에칭제 또는 애싱 잔류물 제거제로서 개발된다. 예를 들어, 희석된 HF (dHF) 용액 및 완충된 산화물 에치 (BOE, HF/NH4F/H2O로 이루어짐)는 효과적인 산화물 (산화규소) 제거제이고 제한된 잔류물 세정제이지만, 일반적으로 포토레지스트의 스트립핑에는 효과적이지 못하다.Fluoride or HF based aqueous solutions have been widely used as traditional FEOL and BEOL etchants and cleaning agents. Often, these types of detergents are developed as oxide etchants or ashing residue removers. For example, the dilute HF (dHF) solution and a buffered oxide etch (comprising the BOE, HF / NH 4 F / H 2 O) is but an effective oxide (silicon oxide) removing agent is limited residue cleaner, typically a photoresist It is not effective for stripping.

플루오라이드 또는 HF를 함유하는 여러 유기 용매-기반 또는 반-수성 용액은 또한 많은 BEOL 적용에서 사용되어 왔다. 그러나, 이들 제품의 대부분은 플라즈마 경화 포토레지스트 및 ARC의 제거와 같은 다목적 적용에는 아직 약하다. 그것들은 또한 경우에 따라서는 지나치게 공격적이거나, 충분히 선택적이지 못하거나, 또는 새로운 도전적인 유형의 재료, 예컨대 저-k 및 고-k 및 다공성 유전체, 구리 금속화물, 플루오로중합체 반사방지 코팅 (ARC), 특수 하드 금속 게이트, 예컨대 Ti 및 TiN의 것들, Si/Ge 또는 Ge의 스트레인드 웨이퍼, 및 금속 캡핑 층, 예컨대 CoWP 및 CoWB의 것들을 사용하는 진보된 FEOL 및 BEOL 적용을 위해 새롭게 고도로 요구되는 기판 상용성 및 선택성 요건을 충족시킬 수 없다. 따라서, 더 새로운 마이크로전자 및 나노전자 장치 상에서 사용되는 상기와 같은 신규 재료와 관련하여 다목적 적용을 위해 신규의 개선된 스트립핑 또는 세정 조성물이 필요하다.Several organic solvent-based or semi-aqueous solutions containing fluoride or HF have also been used in many BEOL applications. However, most of these products are still weak for multi-purpose applications such as plasma curing photoresists and removal of ARCs. They may also be over-aggressive, not sufficiently selective, or new challenging types of materials such as low-k and high-k and porous dielectrics, copper metal oxides, fluoropolymer antireflective coatings (ARC) , A new and highly demanded substrate for advanced FEOL and BEOL applications using special hard metal gates such as those of Ti and TiN, strained wafers of Si / Ge or Ge, and metal capping layers such as CoWP and CoWB Sex and selectivity requirements. Thus, there is a need for new, improved stripping or cleaning compositions for versatile applications in connection with such new materials used on newer microelectronic and nanoelectronic devices.

점점 작아지고 있는 마이크로프로세서, 메모리 셀 및 기타 반도체 장치를 생성하기 위해, 핵심 전략 중 하나는 멀티게이트 트랜지스터를 제작하는 것이다. 통상의 평면형 다중 게이트 트랜지스터 외에, 비평면형 이중 게이트 (예를 들어, FinFET), 또는 트리-게이트가 개발된 바 있다. 흔히, 고-k 재료 및 금속 게이트는 또한 이러한 진보된 기술 (예컨대, 14 nm 노드)에서 사용된다. 재료/기판의 나열은 광범위하다: Al, Cu, W, Ti, TiN, TaN, Nb, RuO2, Mo, LaOx, AlOx, HfSiON, COSi2, WSi2, SiN, SiON, TEOS, 폴리Si, SiGe, Ge, 및 그의 조합 합금 및/또는 부가물. 다양한, 흔히는 초박형 금속 게이트 (MG), 일 함수 금속 (WF), 및 고-k (HK)의 두께 제어는 중요하다. 초박형 필름 (예를 들어, 10 옹스트롬 WF 필름)에 대한 폭넓은 매우 높은 상용성 및 인에이블링 (다양한 PR 및 잔류물에 대한 높은 세정 능력)을 갖는 세정 화학물질을 도입하는 것과 연관된 큰 난점이 존재한다. 예를 들어, 1 옹스트롬의 TiN 정도로 낮은 에칭 속도는 경우에 따라서는 지나치게 높아 사용불가능할 수 있다. 현행 또는 전통적 습식 세정 화학물질은 상기와 같은 종류의 상용성 요구조건을 더 이상 충족시킬 수 없다. 포토레지스트, 반사방지 코팅 (ARC), 잔류 WF 금속, 및 다양한 플라즈마 에칭 또는 애싱 잔류물을 선택적으로 세정할 수 있는 우월한 기판 상용성을 갖는 신규한 인에이블링 세정 화학물질이 필요하다.To create increasingly smaller microprocessors, memory cells, and other semiconductor devices, one of the key strategies is to fabricate multi-gate transistors. In addition to conventional planar multi-gate transistors, non-planar double gates (e.g., FinFET), or tri-gates have been developed. Often, high-k materials and metal gates are also used in this advanced technology (e.g., a 14 nm node). Lists of material / substrate is extensive: Al, Cu, W, Ti , TiN, TaN, Nb, RuO 2, Mo, LaOx, AlOx, HfSiON, COSi 2, WSi 2, SiN, SiON, TEOS, poly-Si, SiGe , Ge, and combinations thereof and / or additives. The thickness control of various, often ultra-thin metal gate (MG), work function metal (WF), and high-k (HK) is important. There is a great difficulty associated with introducing cleaning chemicals with a very wide range of very high miscibility and enabling (high cleaning ability for various PRs and residues) for ultra thin films (for example, 10 angstrom WF films) do. For example, an etch rate as low as 1 angstrom of TiN may be too high to be usable in some cases. Current or traditional wet cleaning chemicals can no longer meet the same kind of compatibility requirements. There is a need for a novel enabling cleansing chemical that has superior substrate compatibility that can selectively clean photoresist, antireflective coating (ARC), residual WF metal, and various plasma etch or ashing residues.

플루오라이드 활성화 (기반) 세정 화학물질은 일반적으로 산성 pH 조건에서 훨씬 더 효과적으로 작용한다. 그러나, 산성 플루오라이드 화학물질, 특히 HF-함유 세정제는 금속화물의 큰 제한 및 여러 기판과 비상용성이라는 결점을 갖는다. 예를 들어, 25℃에서 200:1 DHF의 에칭 속도: Al, >550 /min, TEOS, >30 /min; 35℃에서 200:1 DHF, Al, >2,000 /min, TEOS, >140 /min. 35℃에서 심지어 고도로 희석된 600:1 DHF도 Al, >750 /min. 플루오라이드 염을 함유하는 다른 플루오라이드 기반 세정제는 또한 세정 능력 또는 기판 상용성이 엄격하게 제한된다: 중성 또는 염기성 pH에서는, 세정 능력이 일반적으로 상당히 약하고, 세정될 수 있는 선택된 유형의 잔류물만으로 제한되며; 산성 pH에서는, 암모늄 플루오라이드 함유 세정제가 흔히 불량한 구리 상용성을 갖고; 알킬암모늄 플루오라이드 함유 세정제가 일반적으로 불량한 알루미늄 상용성을 가짐. 또한, 대부분의 플루오라이드 기반 세정제는 다양한 중요한 마이크로전자 재료, 예컨대 TEOS, SiN 및 저-k와 불량한 상용성을 나타낸다.Fluoride activated (based) cleaning chemicals generally work much more effectively under acidic pH conditions. However, acidic fluoride chemicals, especially HF-containing cleaners, have the drawback of a large limitation of metallisation and incompatibility with various substrates. For example, an etch rate of 200: 1 DHF at 25 캜: Al, > 550 / min, TEOS, > 30 / min; 200: 1 DHF, Al, > 2,000 / min, TEOS, > 140 / min. At 35 ° C, even highly diluted 600: 1 DHF is Al,> 750 / min. Other fluoride based cleaners containing fluoride salts are also severely limited in cleaning ability or substrate compatibility: at neutral or basic pH, the cleaning ability is generally fairly weak and is limited to only selected types of residues that can be cleaned ; At acidic pH, ammonium fluoride containing detergents often have poor copper compatibility; Alkylammonium fluoride containing detergents generally have poor aluminum compatibility. In addition, most fluoride-based cleaners exhibit poor compatibility with a variety of important microelectronic materials such as TEOS, SiN, and low-k.

반사방지 코팅 (ARC) 재료는 진보된 마이크로전자 장치의 제작에서 점점더 사용되고 있다. 한 인기 있는 ARC는 규소-함유 바닥 반사방지 코팅 (SiBARC)이다. 그러나, 플루오라이드 활성화 화학물질에 기반한 ARC 제거제는 흔히, 중요한 금속화물 (예를 들어, Al, Cu, W, Ti, TiN, TaN) 및 기판 (예를 들어, TEOS, SiN, 고-k, 저-k)에 대한 제한적인 에칭 손상 및 효율적인 SiBARC 제거의 제공 간에 심각한 선택성 문제의 결점을 갖는다. 몇몇 산성 플루오라이드 세정 화학물질은 더 우수한 상용성을 제공함으로써 개선을 나타내었다. 그러나, 그들 중 어느 것도 16 nm 또는 그 미만과 같은 반도체에서의 진보된 기술 노드에서 고도로 요구되는 요건을 충족시키는 수준의 상용성을 나타낼 수 없었다. 특수하지만 중요한 적용에서, Al 금속화물을 손상시키지 않고 Al2O3 두께를 최적화하면 명백한 및 중요한 성능이 제공된다. 아울러, 매우 염격한 상용성과 함께 "Al 산화물 유사", "Ti 산화물 유사" 잔류물 또는 에칭된/애싱된 반사방지 코팅의 선택적 제거와 같은 특수 세정 필요성은 큰 기술 난점을 제기한다. 공지된 화학물질 중 어느 것도 모든 이와 같은 난점/필요성을 동시에 충족시키지 못하였다.Antireflective coatings (ARC) materials are increasingly being used in the fabrication of advanced microelectronic devices. One popular ARC is a silicon-containing floor antireflective coating (SiBARC). However, ARC removers based on fluoride activated chemicals are often used to remove significant metal oxides (e.g. Al, Cu, W, Ti, TiN, TaN) and substrates (e.g., TEOS, SiN, -k &lt; / RTI &gt; and the provision of efficient SiBARC removal. Some acid fluoride cleaning chemicals have shown improvement by providing better compatibility. However, none of them could represent a level of compatibility meeting the highly required requirements in advanced technology nodes in semiconductors such as 16 nm or less. In a specific but important application, optimizing the Al 2 O 3 thickness without damaging Al metal oxides provides clear and critical performance. In addition, the need for special cleaning, such as selective removal of "Al oxide-like", "Ti oxide-like" residues, or etched / ashed antireflective coatings, along with highly salubious compatibility, presents a major technical difficulty. None of the known chemicals simultaneously satisfied all of these difficulties / needs.

희석된 플루오린화수소산 (DHF)은 폭넓은 마이크로전자 적용에서 특유의 효과적인 세정제이다. 그러나, 진보된 FEOL 및 BEOL 세정은 DHF보다 훨씬 다양화된 기판 및 금속화물 상용성을 요구한다. 또한, PR (포토레지스트) 스트립핑과 같은 기타 능력 또한 매우 유익하다. 따라서, 신규 세정 화학물질이 필요하다.Diluted hydrofluoric acid (DHF) is a unique and effective detergent in a wide range of microelectronic applications. However, advanced FEOL and BEOL cleaning require much more versatile substrate and metal cargo compatibility than DHF. In addition, other capabilities such as PR (photoresist) stripping are also very beneficial. Therefore, new cleaning chemicals are needed.

플루오라이드-기반 세정제는 과거에 다양한 세정 필요성으로 널리 이용되었지만, 상이한 감도를 갖는 신규 재료, 합금 및 복합체의 사용으로 인해 많은 신규 적용에서 그의 사용이 배제되어 왔다. 플루오라이드 함유 제형은, 예를 들어, 산성 pH에서 산화규소를 에칭하는 것으로 널리 공지되어 있으며, 통상적으로 산화규소 에칭제로서 사용된다. 널리 공지된 예는 HF-기반 산화규소 에칭제, 예컨대 완충 산화물 에칭제 (BOE)이며, 이는 다양한 비의 HF 및 NH4F의 수성 용액을 포함한다. 그러나, 그의 TEOS에 대한 ARC 또는 SiBARC 제거의 선택성은 일반적으로 매우 불량하다. 많은 이전의 개시내용에는 약산성 pH (pH > 6), 중성 또는 바람직하게는 알칼리성 pH 조건이 사용되어 상기와 같은 상용성 문제가 억제된다. 그러나, ARC/SiBARC 제거 능력 및 세정 능력은 상기와 같은 pH 조건에서 크게 감소한다. 한 예는 미국 특허 7,399,365 B2이다. 여기에는 6.5 내지 8의 바람직한 pH 범위를 사용하는 것이 교시되어 있다.Fluoride-based cleaners have been widely used in the past for various cleaning needs, but their use in many new applications has been ruled out due to the use of new materials, alloys and composites with different sensitivities. Fluoride-containing formulations are well known, for example, for etching silicon oxide at acidic pH, and are typically used as silicon oxide etchants. Well known example is the HF- based silicon oxide etchant, such as buffered oxide etchant (BOE), which comprises an aqueous solution of the various non-HF and NH 4 F. However, the selectivity of ARC or SiBARC removal to its TEOS is generally very poor. Many prior disclosures use weakly acidic pH (pH > 6), neutral or preferably alkaline pH conditions to inhibit such compatibility problems. However, the ARC / SiBARC removal ability and the cleaning ability are greatly reduced at the above-mentioned pH conditions. One example is United States Patent 7,399, 365 B2. It is taught that the preferred pH range of 6.5 to 8 is used.

관련 기술에는 구별 없이 활성화 종으로서 플루오라이드 공급원을 제공하는 것을 비롯하여, 상기 문제를 해결하려는 시도가 포함되어 있다. 예를 들어, 미국 특허 번호 6,777,380에는, 플루오린화수소산, 암모늄 플루오라이드, 테트라메틸암모늄 플루오라이드, 암모늄 비플루오라이드 등의 플루오라이드 공급원을 갖는 세정제가 포함되어 있다. 그러나, 이들 예는 현행 적용에 필요한 폭넓은 상용성, 또는 또한 필요한 선택성 증진을 제공하지 못한다.Related art includes attempts to solve the problem, including providing the fluoride source as an activated species indiscriminately. For example, U.S. Patent No. 6,777,380 includes a detergent having a fluoride source such as hydrofluoric acid, ammonium fluoride, tetramethylammonium fluoride, ammonium bifluoride, and the like. However, these examples do not provide the broad compatibility required for current applications, or also the necessary selectivity enhancement.

따라서, 5 이하의 바람직한 pH 범위를 가져 ARC/SiBARC 에칭의 높은 세정 능력을 제공하는 산성 ARC 제거제/세정제가 필요하다. 추가로, Al, Cu, W, Ti, TiN, TaN, TEOS에 대한 높은 상용성/낮은 에칭 속도를 비롯하여, 많은 중요한 금속화물 및 기판에 대한 폭넓은 상용성 및 높은 선택성을 달성할 수 있는 세정 조성물이 필요하다. Thus, there is a need for an acidic ARC remover / detergent that provides a high cleaning capacity of the ARC / SiBARC etch with a preferred pH range of 5 or less. In addition, cleaning compositions capable of achieving high compatibility / low etch rates for Al, Cu, W, Ti, TiN, TaN, and TEOS, Is required.

따라서, 본원에는 고도로 효과적인 ARC 제거, 세정 능력, 및 광범위하게 다양한 재료에 대한 우월한 상용성을 갖는 신규한 산성 플루오라이드 활성화 세정 화학물질이 제공된다. 본 발명에는, 바람직하지 못한 표면 변형이 없고 탁월한 기판 상용성, pH 안정성, 배스 안정성을 제공하면서, FEOL, BEOL 및 FPD 적용에서 ARC 제거, PR 스트립핑, 에칭/애싱 잔류물 세정 및 CMP 잔류물 제거를 제공하는 조성물이 기재되어 있다.Accordingly, there is provided herein a novel acidic fluoride activated cleaning chemistry having a highly effective ARC removal, cleaning capability, and superior compatibility with a wide variety of materials. The present invention provides ARC removal, PR stripping, etch / ashing residue cleaning and CMP residue removal in FEOL, BEOL and FPD applications, with no undesirable surface deformation and excellent substrate compatibility, pH stability, &Lt; / RTI &gt; is disclosed.

본원에는 마이크로전자 적용을 위한 세정 조성물이 제공된다. 세정 조성물은 약 0.05 중량% 내지 약 5.0 중량%의 비플루오라이드 화합물, 약 0.01 중량% 내지 약 5 중량%의 pH-안정화 상용성 인핸서, 약 5 중량% 내지 약 90 중량%의 유기 용매, 및 약 5% 내지 약 90%의 물을 포함한다.A cleaning composition for microelectronic applications is provided herein. The cleaning composition comprises about 0.05 wt.% To about 5.0 wt.% Of a non-fluoride compound, from about 0.01 wt.% To about 5 wt.% Of a pH-stabilizing compatibilizer, from about 5 wt.% To about 90 wt.% Of an organic solvent, 5% to about 90% water.

또 다른 실시양태에서, 세정 조성물은 약 0.05 중량% 내지 약 1.0 중량%의 비플루오라이드 화합물, 약 0.05 중량% 내지 약 3 중량%의 pH-안정화 상용성 인핸서, 약 10 중량% 내지 약 70 중량%의 유기 용매, 및 약 10% 내지 약 50%의 물을 포함한다.In another embodiment, the cleaning composition comprises from about 0.05% to about 1.0% by weight of a non-fluoride compound, from about 0.05% to about 3% by weight of a pH-stabilizing compatible enhancer, from about 10% to about 70% Of an organic solvent, and from about 10% to about 50% water.

또 다른 실시양태에서, 세정 조성물은 약 0.1 중량% 내지 약 0.5 중량%의 비플루오라이드 화합물, 약 0.1 중량% 내지 약 1.0 중량%의 pH-안정화 상용성 인핸서, 약 20 중량% 내지 약 60 중량%의 유기 용매, 및 약 20% 내지 약 40%의 물을 포함한다.In another embodiment, the cleaning composition comprises from about 0.1% to about 0.5% by weight of a non-fluoride compound, from about 0.1% to about 1.0% by weight of a pH-stabilizing compatible enhancer, from about 20% Of an organic solvent, and from about 20% to about 40% water.

또 다른 실시양태에서, 세정 조성물은 5.5 이하의 pH를 갖는다. 실시양태에서, 세정 조성물은 5.0 이하의 pH를 갖고, 또 다른 실시양태에서, 조성물은 4.5 이하의 pH를 갖는다.In another embodiment, the cleaning composition has a pH of less than or equal to 5.5. In an embodiment, the cleaning composition has a pH of less than or equal to 5.0, and in another embodiment, the composition has a pH of less than or equal to 4.5.

또 다른 실시양태에서, 세정 조성물은 알콜, 알콜-에테르, 및 에테르로부터 선택된 공용매를 추가로 포함한다. 실시양태에서, 비플루오라이드 화합물은 암모늄 비플루오라이드, 알킬암모늄 비플루오라이드, 칼륨 비플루오라이드, 및 알칼리 금속 비플루오라이드의 군으로부터 선택될 수 있다. 실시양태에서, 비플루오라이드 화합물은 관련 기술분야에 공지된 임의의 많은 상업적으로 입수가능한 비플루오라이드 화합물로부터 선택된다.In another embodiment, the cleaning composition further comprises a co-solvent selected from an alcohol, an alcohol-ether, and an ether. In an embodiment, the non-fluoride compound can be selected from the group of ammonium bifluoride, alkylammonium bifluoride, potassium bifluoride, and alkali metal bifluoride. In an embodiment, the non-fluoride compound is selected from any of a number of commercially available non-fluoride compounds known in the art.

실시양태에서, pH-안정화 상용성 인핸서는 다양성자 산, 또는 그의 염이다. 실시양태에서, pH-안정화 상용성 인핸서는 시트르산, 일염기성 암모늄 시트레이트, 이염기성 암모늄 시트레이트, 삼염기성 암모늄 시트레이트, 인산, 일염기성 암모늄 포스페이트, 이염기성 암모늄 포스페이트, 삼염기성 암모늄 포스페이트, 아스코르브산, 일염기성 암모늄 아스코르베이트, 이염기성 암모늄 아스코르베이트, 및 그의 혼합물의 군으로부터 선택된다.In an embodiment, the pH-stabilizing compatible enhancer is a variant acid, or a salt thereof. In an embodiment, the pH-stabilizing compatible enhancer is selected from the group consisting of citric acid, monobasic ammonium citrate, dibasic ammonium citrate, tribasic ammonium citrate, phosphoric acid, monobasic ammonium phosphate, dibasic ammonium phosphate, tribasic ammonium phosphate, ascorbic acid , Monobasic ammonium ascorbate, dibasic ammonium ascorbate, and mixtures thereof.

실시양태에서, 세정 조성물의 유기 용매는 술피드 또는 아미드이다. 또 다른 실시양태에서, 유기 용매는 디메틸 술폭시드, N-메틸 피롤리돈 (NMP), N-에틸 피롤리돈 (NEP), N-(2-히드록시에틸)-2-피롤리돈 (HEP), 디메틸 2-피페리돈 (DMPD), 디메틸 아세트아미드, 포름아미드, 및 그의 혼합물로부터 선택된다.In an embodiment, the organic solvent of the cleaning composition is a sulfide or an amide. In another embodiment, the organic solvent is selected from the group consisting of dimethylsulfoxide, N-methylpyrrolidone (NMP), N-ethylpyrrolidone (NEP), N- (2- hydroxyethyl) ), Dimethyl 2-piperidone (DMPD), dimethylacetamide, formamide, and mixtures thereof.

또 다른 실시양태에서, 본 발명의 세정 조성물은 적어도 또 다른 플루오라이드 공급원을 추가로 포함한다. 실시양태에서, 적어도 또 다른 플루오라이드 공급원은 플루오라이드 염이다. 또 다른 실시양태에서, 세정 조성물은 부식 제어제를 추가로 포함한다. 실시양태에서, 부식 제어제는 관련 기술분야에 공지된 상업적으로 입수가능한 제어제의 군으로부터 선택된다. 또 다른 실시양태에서, 세정 조성물은 계면활성제를 추가로 포함한다. 계면활성제는 관련 기술분야에 공지된 상업적으로 입수가능한 계면활성제의 군으로부터 선택될 수 있다.In another embodiment, the cleaning composition of the present invention further comprises at least another fluoride source. In an embodiment, at least another fluoride source is a fluoride salt. In another embodiment, the cleaning composition further comprises a corrosion inhibitor. In embodiments, the corrosion control agent is selected from the group of commercially available control agents known in the art. In another embodiment, the cleaning composition further comprises a surfactant. Surfactants may be selected from the group of commercially available surfactants known in the art.

또 다른 실시양태에서, 본 발명은, 마이크로전자 재료를 약 0.05 중량% 내지 약 5.0 중량%의 비플루오라이드 화합물, 약 0.01 중량% 내지 약 5 중량%의 pH-안정화 상용성 인핸서, 약 5 중량% 내지 약 90 중량%의 유기 용매, 및 약 5% 내지 약 90%의 물을 포함하는 세정 조성물과 접촉시키는 것을 포함하는, 마이크로전자 재료의 세정 방법을 포함한다.In another embodiment, the present invention provides a method of making a microelectronic device comprising applying a microelectronic material at a level of from about 0.05% to about 5.0% by weight of a non-fluoride compound, from about 0.01% to about 5% To about 90% by weight of an organic solvent, and from about 5% to about 90% of water.

다른 및 추가의 대상 및 이점과 함께 본 발명에 대한 이해의 증진을 위해, 첨부된 실시예와 함께 하기 상세한 설명을 참조할 수 있고, 본 발명의 범주는 첨부된 특허청구범위에 언급될 것이다. 하기 상세한 설명은 본 발명의 범주를 상기 기술된 이점에 의해 제한하도록 의도되지 않는다.For a better understanding of the present invention, together with other and further objects and advantages, reference may be had to the following description, taken in conjunction with the accompanying drawings, in which the scope of the present invention will be described in conjunction with the appended claims. The following detailed description is not intended to limit the scope of the invention by the advantages described above.

본 발명은 산성 플루오라이드 기반 화학물질을 반사방지 코팅 (ARC) 제거제로서 사용하는 어려운 난점을 해결하여, 폭넓은 우월한 금속화물 및 기판 상용성을 동시에 제공한다. 이는 또한, 우월한 희석된 플루오린화수소산 (DHF) 대체물로서 간주될 수 있다. 이는 많은 다른 진보된 라인 전단 (FEOL) 및 라인 후단 (BEOL) 적용에 있어서 중요한 세정을 제공할 수 있다. 아울러, 본 발명은 28 nm 미만 기술의 고-k/멀티게이트 및 고-k/금속 게이트 장치 제작을 위해 고도로 요구되는 폭넓고 엄격한 상용성 요건에 대한 인에이블링 습식 세정 용액을 제공한다.The present invention solves the difficult difficulties of using acidic fluoride-based chemicals as antireflective coatings (ARC) removers, providing a wide range of superior metallizations and substrate compatibility at the same time. It can also be regarded as a superior dilute hydrofluoric acid (DHF) alternative. This can provide significant cleaning in many other advanced line shear (FEOL) and line back end (BEOL) applications. In addition, the present invention provides an enabling wet cleaning solution for highly demanding wide and stringent compatibility requirements for fabrication of high-k / multi-gate and high-k / metal gate devices of technology less than 28 nm.

현행 세정 기술은 폭넓고 긴 나열의 금속화물 및 기판 재료에 대해 상기와 같은 엄격한 제어 또는 상용성 (낮은 에칭 속도)을 좀처럼 나타내지 않는다. 특수 적용의 경우, 본 발명은 성능 증진에 중요한 알루미늄 산화물 두께의 특유한 1-단계 최적화를 제공한다.Current cleaning techniques rarely exhibit such stringent control or compatibility (low etch rate) for wider and longer series of metal cargo and substrate materials. For special applications, the present invention provides a unique one-step optimization of the aluminum oxide thickness, which is important for performance enhancement.

산성 반-수성 조성물은 적어도 (A) 1차 플루오라이드-활성화제로서의 비플루오라이드 화합물 (HF2 -), (B) 다중 pKa 값을 갖는 "pH-안정화 상용성 인핸서", (C) "금속 상용성 증진" 유기 용매, 및 (D) 물을 포함한다.The acidic semi-aqueous composition comprises at least (A) a non-fluoride compound (HF 2 - ) as the primary fluoride-activator, (B) a "pH-stabilizing compatible enhancer" with multiple pK a values, Metal compatibility improver " organic solvent, and (D) water.

pH (10% 희석된 수성)는 5.5 이하, 보다 바람직하게는 5.0 이하, 가장 바람직하게는 4.5 이하이어야 한다. 임의적 성분은 다른 플루오라이드 염, 아민, 부식 제어제 및 부식 억제 공용매를 포함한다. pH-안정화 상용성 인핸서는 시트르산, 인산, 시트레이트 및 포스페이트로부터 선택될 수 있다. 유기 용매는 술피드, 아미드, 알콜 및 에테르로부터 선택된다. 조성물은 경화된 규소 함유 ARC 재료를 제거하는 능력을 갖고, Al, Cu, W, TiN, SiN, TaN 등을 포함하는 폭넓은 상용성을 갖는다. 바람직하게는, 그것들은 또한 선택된 적용에서 TEOS, 저-k 및 고-k 재료와 허용되는 상용성을 나타낸다.The pH (10% diluted aqueous) should be below 5.5, more preferably below 5.0 and most preferably below 4.5. Optional components include other fluoride salts, amines, corrosion inhibitors and corrosion inhibiting co-solvents. The pH-stabilizing compatibility enhancer can be selected from citric acid, phosphoric acid, citrate and phosphate. The organic solvent is selected from sulfides, amides, alcohols and ethers. The composition has the ability to remove the cured silicon containing ARC material and has broad compatibility including Al, Cu, W, TiN, SiN, TaN, and the like. Preferably, they also exhibit acceptable compatibility with TEOS, low-k and high-k materials in selected applications.

본원에 사용되는 "비플루오라이드 화합물" 또는 "비플루오라이드"란 2개의 플루오린 원자를 갖는 화합물을 지칭한다. 일부 실시양태에서, 비플루오라이드 화합물은 수소 원자를 추가로 포함하고, 염 형태로 존재한다. 비플루오라이드는 또한 디플루오로하이드로게나이드, 및 디플루오로하이드로게네이트, 또는 히드로겐(디플루오라이드)로서 공지되어 있다. 그것들은 또한 화학식 HF2 -를 갖는 무기 음이온으로서 나타내어질 수 있다. 일부 경우, 비플루오라이드와 같은 음이온에서 플루오로하이드로게네이트 기 (-HF-)는 재조합에 의해 양성자를 흡수할 수 있다: As used herein, " non-fluoride compound " or " non-fluoride " refers to a compound having two fluorine atoms. In some embodiments, the non-fluoride compound further comprises a hydrogen atom and is present in salt form. Bifluoride is also known as difluorohydrogenide, and difluorohydrogenate, or hydrogen (difluoride). They may also be represented as inorganic anions having the formula HF 2 - . In some cases, the group to hydro-fluoro carbonate anion, such as a non-fluoride (-HF -) may absorb a proton by recombinant:

Figure pct00001
Figure pct00001

이와 같은 양성자 (H+)의 포획으로 인해, 비플루오라이드는 염기성 특징을 갖는다. 그의 짝산은 반응성 중간체 μ-플루오로-플루오로디히드로겐 (H2F2)이며, 이는 후속적으로 해리되어 플루오린화수소가 된다. 용액 중에서, 대부분의 비플루오라이드 이온은 해리된다.Due to the capture of such proton (H + ), the non-fluoride has basic characteristics. His mate acid reactive intermediate with μ- fluoro-Fluoro Lodi hydrogen (H 2 F 2), which is dissociated and subsequently becomes a fluorine hydrocarbon. In solution, most non-fluoride ions dissociate.

비플루오라이드는 염기성일 수 있기 때문에, 본 발명의 조성물은 조성물에 산성 성질을 유지하도록 pH-상용성 인핸서를 사용한다. 본원에 사용되는 용어 "pH-안정화 상용성 인핸서"란 용액의 pH를 작동가능한 수준으로, 가장 특히 5.5 이하의 pH로 조절할 수 있는 임의의 화합물을 지칭한다.Since the non-fluoride may be basic, the compositions of the present invention use a pH-compatible enhancer to maintain the acidic nature of the composition. The term " pH-stabilizing compatible enhancer " as used herein refers to any compound that is capable of adjusting the pH of the solution to an operable level, most particularly a pH of less than or equal to 5.5.

본원에 사용되는 "유기 용매"란 성질이 비-금속성인 1종 이상의 다른 물질을 용해 또는 분산시킬 수 있는 물질을 지칭한다. 전형적으로 액체 형태로 사용되는 유기 용매는 전형적으로 탄화수소 또는 관련 물질이다. 유기 용매는 통상 낮은 비점을 갖고, 용이하게 증발되거나 또는 증류에 의해 제거될 수 있어, 용해된 물질을 남긴다. 따라서, 용매는 용해된 화합물과 화학적으로 반응하지 않아야 하며, 불활성이어야 한다. 적합한 다양한 유기 용매 중에는 알콜, 폴리히드록시 알콜, 예컨대 글리세롤, 글리콜, 글리콜 에테르, 알킬-피롤리디논, 예컨대 N-메틸피롤리디논 (NMP), 1-히드록시알킬-2-피롤리디논, 예컨대 1-(2-히드록시에틸)-2-피롤리디논 (HEP), 디메틸포름아미드 (DMF), 디메틸아세트아미드 (DMAc), 술폴란 또는 디메틸술폭시드 (DMSO)가 있다. 이들 용매는 금속, 특히, 추가의 알루미늄 및/또는 알루미늄-합금 부식 억제가 요망되는 경우 알루미늄 또는 알루미늄 합금 부식 속도를 감소시키고 세정 조성물의 공격성을 제한하기 위해 첨가될 수 있다. 바람직한 수용성 유기 용매는 폴리히드록시 알콜, 예컨대 글리세롤, N-메틸피롤리디논 및/또는 1-히드록시알킬-2-피롤리디논, 예컨대 1-(2-히드록시에틸)-2-피롤리디논 (HEP)이다. 이러한 유기 용매는 조성물의 중량 기준으로 0 중량% 내지 약 50 중량%의 양으로, 바람직하게는 약 5 중량% 내지 약 50 중량%의 양으로, 보다 바람직하게는 약 10 중량% 내지 약 50 중량%의 양으로 사용될 수 있다.&Quot; Organic solvent " as used herein refers to a material that is capable of dissolving or dispersing one or more other materials whose properties are non-metal. Organic solvents, typically used in liquid form, are typically hydrocarbons or related materials. The organic solvent usually has a low boiling point, can easily be evaporated or can be removed by distillation, leaving a dissolved substance. Therefore, the solvent should not chemically react with the dissolved compound and should be inert. Suitable organic solvents include alcohols, polyhydroxy alcohols such as glycerol, glycols, glycol ethers, alkyl-pyrrolidinones such as N-methylpyrrolidinone (NMP), 1-hydroxyalkyl- There can be mentioned 1- (2-hydroxyethyl) -2-pyrrolidinone (HEP), dimethylformamide (DMF), dimethylacetamide (DMAc), sulfolane or dimethylsulfoxide (DMSO). These solvents may be added to reduce the corrosion rate of metals, especially aluminum and / or aluminum-alloy corrosion inhibition when aluminum or aluminum alloy corrosion inhibition is desired and to limit the aggressiveness of the cleaning composition. Preferred water-soluble organic solvents are polyhydric alcohols such as glycerol, N-methylpyrrolidinone and / or 1-hydroxyalkyl-2-pyrrolidinone, such as 1- (2-hydroxyethyl) (HEP). Such an organic solvent is present in an amount of from 0% to about 50% by weight, preferably from about 5% to about 50% by weight, more preferably from about 10% to about 50% by weight, , &Lt; / RTI &gt;

이들 조성물은 바람직한 포맷의 비플루오라이드, 금속화물 및 유전체 상용성 증진 매트릭스를 사용한다. 그것들은 특출한 선택성 및 상용성과 독특한 ARC 제거 능력을 제공한다. 그것들은 또한, 민감한 Al, Cu, 고-k 및 저-k, 다공성 저-k 기판을 포함한 진보된 FEOL 및 BEOL 마이크로전자 및 나노전자 구조물을 세정하는데 사용될 수 있다. 그것들은 반사방지 코팅 (ARC)의 제거, 포토레지스트의 스트립핑, 에칭/애싱 잔류물의 제거가 가능하다.These compositions use non-fluoride, metalloid and dielectric compatibility enhancing matrices in the preferred format. They offer exceptional selectivity and commercial and unique ARC removal capabilities. They can also be used to clean advanced FEOL and BEOL microelectronics and nanoelectronic structures, including sensitive Al, Cu, high-k and low-k, porous low-k substrates. They are capable of removing antireflective coatings (ARC), stripping photoresist, and removing etching / ashing residues.

상기한 화학적 조성물은 고도의 비-수성 내지 반-수성 용액 또는 슬러리로서 제형화될 수 있다. 그것들은 침착된 중합체의 제거, ARC 및 포토레지스트의 스트립핑, 플라즈마 공정에 기인한 유기, 유기금속 및 무기 화합물로부터의 잔류물의 세정, 평탄화 공정, 예컨대 화학적 기계적 연마로부터의 잔류물의 세정, 및 평탄화 슬러리/액체 중의 첨가제로서의 용도에서 사용될 수 있다.Such chemical compositions may be formulated as highly non-aqueous to semi-aqueous solutions or slurries. They include removal of the deposited polymer, stripping of the ARC and photoresist, cleaning of residues from organic, organometallic and inorganic compounds due to the plasma process, cleaning of residues from planarization processes such as chemical mechanical polishing, / As an additive in liquids.

많은 플루오라이드 기반 세정제가 개발된 바 있고, 이는 과거 및 현행 기술을 위한 다양한 세정 필요성에 알맞다. 그러나, 신규 마이크로전자 적용에서, 흔히 기존 및 신규 재료, 합금 및 복합체가 현재 동일한 장치 설계 및 제조 시 사용된다. 따라서, 세정 용액은 많은 기판과 고도로 상용성이어야 한다. 예를 들어, 세정제는 Al, Cu, W, TiN, SiN, TaN 및 TEOS 모두와 동시에 상용성일 필요가 있을 수 있다. 허용되는 손실은 공정 동안 10 Å 이하로 제한될 수 있다. 특정의 라인 전단 (FEOL) 적용의 경우, 일부 허용되는 재료 손실은 1-2 Å 또는 그 미만일 수 있다. 따라서, 기존 기술 요건에 허용되고 "상용성"인 것으로 간주될 수 있었던 이전에 개발된 화학물질이 미래 기술 요건에는 더 이상 허용되지 않는다. 그의 사용은 심각한 신뢰성 문제 또는 불리한 성능 문제로 이어질 수 있다. 본원에 기재된 발명은 신규한 혁신적 설계 및 화학물질로 모든 상기한 난점을 해결한다.Many fluoride-based cleaners have been developed, which are suitable for a variety of cleaning needs for past and current technologies. However, in new microelectronic applications, often conventional and new materials, alloys and composites are currently used in the same device design and manufacture. Therefore, the cleaning solution must be highly compatible with many substrates. For example, the detergent may need to be compatible with both Al, Cu, W, TiN, SiN, TaN, and TEOS simultaneously. Acceptable losses can be limited to less than 10 A during the process. For certain line shear (FEOL) applications, some acceptable material losses may be 1-2 A or less. Thus, previously developed chemicals that were acceptable to existing technical requirements and could be considered " compatible " are no longer allowed in future technical requirements. Its use can lead to serious reliability problems or adverse performance problems. The invention described herein solves all the above difficulties with novel innovative designs and chemicals.

이전에 언급한 바와 같이, 중성 또는 알칼리성 pH의 플루오라이드 기반 화학물질은 일반적으로 세정 능력이 약하다. 산성 pH에서, 본 발명은, 대부분의 플루오라이드-기반 세정제와 관련된 최신 기술과 달리, 1차 플루오라이드 종으로서 비플루오라이드를 사용하는 것을 제공한다.As previously mentioned, fluoride-based chemicals at neutral or alkaline pH are generally poorly washable. At acidic pH, the present invention provides for the use of non-fluoride as the primary fluoride species, unlike the state of the art associated with most fluoride-based cleaners.

비플루오라이드를 사용함으로써, 본 발명은 모든 다른 플루오라이드에 비해 우월성을 제공하며, 이는 개발 또는 제형화하기가 어렵다. 본 발명의 비플루오라이드 조성물은 광범위한 금속화물 재료 및 기판과 폭넓은 상용성을 제공한다. HF 기반 세정제는 일반적으로 Al 상용성이 아니다. 암모늄 플루오라이드 기반 세정제는 높은 Cu 에칭 속도를 갖는다. 알킬암모늄 플루오라이드 기반 세정제는 심각한 Al 부식 문제를 야기한다.By using non-fluorides, the present invention provides superiority over all other fluorides, which is difficult to develop or formulate. The non-fluoride compositions of the present invention provide broad compatibility with a wide range of metallization materials and substrates. HF based cleaners are generally not Al compatible. Ammonium fluoride-based cleaners have a high Cu etch rate. Alkylammonium fluoride-based cleaners cause severe Al corrosion problems.

양성자의 제거 또는 첨가로, 비플루오라이드 기반 화학물질은 하기 제안된 평형을 통해 특수하게 설계된 용매 매트릭스 중 최적의 HF 농도를 제공하도록 조절될 수 있다:With the removal or addition of protons, the non-fluoride based chemistry can be adjusted to provide the optimum HF concentration among the specifically designed solvent matrices through the following proposed equilibrium:

Figure pct00002
Figure pct00002

본 조성물에서, 비플루오라이드는 1차 플루오라이드 종으로서 사용된다. 실시양태에서, 비플루오라이드는 조성물 중의 단독 플루오라이드 종이다. 많은 다른 특허는 단지 1차 종으로서 임의의 HF 또는 플루오라이드 종을 폭넓게 포함하고 사용할 수 있다. 이와 같은 관행은 흔히 심각한 상용성 문제를 초래한다. 따라서, 이들 특허는 어떠한 유용한 교시내용도 제공하지 못한다. 본 발명은 pH (10% 희석된 수성)가 5.5 이하, 보다 바람직하게는 5.0 이하, 가장 바람직하게는 4.5 이하여야 함을 조건으로서 지정한다. 본 발명은 다른 플루오라이드 종 (예를 들어, 플루오라이드 염 또는 HF)을 함유할 수도 있으나, 소량의 공동-첨가제 중 하나로서 사용되어야 한다.In this composition, the non-fluoride is used as the primary fluoride species. In an embodiment, the non-fluoride is the only fluoride species in the composition. Many other patents are broader and include any HF or fluoride species as only a primary species. Such practices often lead to serious compatibility problems. Thus, these patents do not provide any useful teaching. The present invention specifies that the pH (10% diluted aqueous) should be less than 5.5, more preferably less than 5.0, and most preferably less than 4.5. The present invention may contain other fluoride species (e.g., fluoride salts or HF), but should be used as one of the small amount of co-additives.

부가적으로 본 발명의 조성물은 다중 pKa 값을 갖는 pH-안정화 상용성 및 선택성 인핸서를 사용하는 것을 포함한다. 본 발명자들은 SiN, Al과 같은 여러 중요한 기판에 대한 예상치 못한 상용성 증진을 제공하는데 있어서 다중 pKa 값을 갖는 선택된 화합물의 놀라운 효과를 발견 및 확립하였다. 비교 실시예에서, 이들 특유의 인핸서가 없는 조성물은 염격한 상용성 요건을 충족시킬 수 없어; 그의 사용은 심각한 또는 불리한 성능 문제로 이어질 수 있다. 많은 플루오라이드-기반 세정제 (예를 들어, NH4F-기반)의 pH 안정성은 불량한 pH 안정성을 갖는 것으로 공지되어 있다. 본 발명의 특유의 인핸서를 사용하면 탁월한 pH 안정성 및 연장된 배스 수명을 제공하는데 도움이 된다.In addition, the compositions of the present invention include the use of pH-stabilizing compatible and selective enhancers with multiple pK a values. The present inventors have discovered and established a surprising effect of selected compounds having multiple pK a values in providing unexpected compatibility enhancements to a number of important substrates such as SiN, Al. In a comparative example, compositions without these specific enhancers can not meet salt tolerance requirements; Its use can lead to serious or unfavorable performance problems. The pH stability of many fluoride-based cleaners (e.g., NH 4 F-based) is known to have poor pH stability. Use of a unique enhancer of the present invention is helpful in providing excellent pH stability and prolonged bath life.

광범위한 pH 범위에 걸친 완충 능력. 본 발명은 개선된 완충 능력을 가져, 세정제에 첨가된/직면한 산 또는 염기의 효과를 최소화시킨다. 본 발명에는 특정 화합물의 선택이 다중 pKa 값을 가져야 한다고 기재되어 있다. 그것들은 다양성자 산 및 그의 염, 예컨대 시트르산, 일염기성 암모늄 시트레이트, 이염기성 암모늄 시트레이트, 삼염기성 암모늄 시트레이트, 인산, 일염기성 암모늄 포스페이트, 이염기성 암모늄 포스페이트, 삼염기성 암모늄 포스페이트, 아스코르브산, 일염기성 암모늄 아스코르베이트, 이염기성 암모늄 아스코르베이트를 포함한다.Buffer capacity over a wide pH range. The present invention has an improved buffering capacity, which minimizes the effect of the acid or base added to / encountered in the cleaning agent. It is described in the present invention that the selection of a particular compound should have multiple pK a values. They are selected from the group consisting of a variety of substances and their salts such as citric acid, monobasic ammonium citrate, dibasic ammonium citrate, tribasic ammonium citrate, phosphoric acid, monobasic ammonium phosphate, dibasic ammonium phosphate, tribasic ammonium phosphate, ascorbic acid, Monobasic ammonium ascorbate, dibasic ammonium ascorbate.

산 해리 상수 Ka (산도 상수, 또는 산-이온화 상수로도 공지됨)는 용액 중의 산의 강도의 정량적 척도이다. 이는 산-염기 반응에 관련해서 해리로서 공지된 화학적 반응에 대한 평형 상수이다. Ka 값이 클수록, 용액 중 분자가 더 많이 해리되어 산이 더 강해진다. 산 해리의 평형은 상징적으로 다음과 같이 표현될 수 있다:The acid dissociation constant K a (also known as acidity constant, or acid-ionization constant) is a quantitative measure of the strength of acid in solution. This is the equilibrium constant for the chemical reaction known as dissociation in relation to the acid-base reaction. The larger the K a value, the more molecules dissociate in solution and the stronger the acid. The equilibrium of the acid dissociation can be symbolically expressed as:

Figure pct00003
Figure pct00003

여기서, HA는 A- (산의 짝염기로서 공지됨) 및 양성자 H+ (수성 용액의 경우, 히드로늄 이온, 예를 들어 용매화된 양성자로서 존재함)로 분할됨으로써 해리되는 일반 산이다.Where HA is a generic acid dissociated by partitioning into A - (known as the conjugate base of an acid) and proton H + (in the case of an aqueous solution, as a hydronium ion, for example as solvated protons).

해리 상수는 통상 평형 농도 (mol/L)의 몫으로서 표현되며, [HA], [A-] 및 [H+]로 표기된다:The dissociation constant is usually expressed as a share of the equilibrium concentration (mol / L) and is denoted as [HA], [A - ] and [H + ]:

Figure pct00004
Figure pct00004

Ka 값이 차지하는 많은 자릿수로 인해, 실제로 산 해리 상수의 로그 척도가 보다 통상적으로 사용된다. 로그 상수 pKa (-log10 Ka와 동일함)는 경우에 따라서는 산 해리 상수라고도 지칭된다: Due to the high number of digits occupied by the K a value, the logarithmic scale of the acid dissociation constant is more commonly used. The logarithmic constant pK a (equivalent to -log 10 K a ) is sometimes referred to as the acid dissociation constant:

Figure pct00005
Figure pct00005

pKa 값이 클수록, 임의의 주어진 pH에서 해리 정도가 작아진다 (즉, 산이 약해짐). 약산은 예를 들어 물 중에서 -2 내지 12의 근사 범위의 pKa 값을 갖는다. 약 -2 미만의 pKa 값을 갖는 산을 강산이라고 한다. 강산은 수성 용액 중에서 거의 완전히, 비-해리된 산의 농도가 검출불가능해지는 정도로 해리된다. 그러나, 강산에 대한 pKa 값은, 해리 상수가 더 작은 비-수성 용매, 예컨대 아세토니트릴 및 디메틸술폭시드에서 측정치로부터 외삽에 의해 또는 이론적 수단에 의해 산정될 수 있다.The larger the pK a value, the smaller the degree of dissociation at any given pH (i.e., the acid becomes weaker). The weak acid has a pK a value in the approximate range of, for example, -2 to 12 in water. An acid with a pK a value of less than about -2 is called a strong acid. The strong acid is dissociated to such an extent that the concentration of the non-dissociated acid is almost completely undetectable in the aqueous solution. However, the pK a value for strong acids can be estimated by extrapolation from the measurements in non-aqueous solvents with smaller dissociation constants, such as acetonitrile and dimethylsulfoxide, or by theoretical means.

폭넓은 및 염격한 금속화물 및 기판 상용성 요건을 충족시키기 위해, 선택된 유기 용매 매트릭스만이 사용될 수 있다. 놀랍게도 현저한 용매 효과가 발견되었다. 바람직한 실시양태에서, "금속 상용성 증진" 유기 용매는 술피드 및 아미드를 포함하며, 이는 1차 용매로서 존재한다. 그것들은 또한 더 높은 PR 스트립핑 능력을 제공한다. 부가적으로, 알콜, 알콜-에테르 및 에테르가 사용될 수도 있으며, 이는 바람직하게는 소량의 공용매로서 사용된다.Only selected organic solvent matrices can be used to meet broad and salty metal and substrate compatibility requirements. A surprisingly significant solvent effect was found. In a preferred embodiment, the " metal compatibility enhancing " organic solvent comprises sulfides and amides, which are present as primary solvents. They also provide higher PR stripping capability. Additionally, alcohols, alcohol-ethers and ethers may be used, which are preferably used as minor cosolvents.

또 다른 실시양태에서, 물은 용매이다. 용매 매트릭스는 반-수성 시스템일 수 있다. 실시양태에서, 물 함량은 5% 이하이다. 또 다른 실시양태에서, 물 함량은 중량 기준으로 20% 이상이다. 수분-무함유 및 저 수분 세정제와 비교하여, 반-수성 용매 매트릭스 및 플루오라이드의 조합은 흔히 심각한 금속 상용성 문제를 초래한다. 본 발명자들은 선택된 플루오라이드 종, 특유의 상용성 인핸서 및 상용성 증진 유기 용매의 신규한 사용으로 상기와 같은 난점을 해결하였다.In another embodiment, water is a solvent. The solvent matrix may be a semi-aqueous system. In an embodiment, the water content is 5% or less. In another embodiment, the water content is at least 20% by weight. Compared to water-free and low-moisture cleaners, the combination of semi-aqueous solvent matrix and fluoride frequently results in serious metal compatibility problems. The inventors have solved the above difficulties with the novel use of selected fluoride species, unique compatible enhancers and compatibility enhancing organic solvents.

본 발명의 조성물은 또한 i) ARC 및 기판 간에, 또는 ii) ARC 및 금속화물 간에 신규한 선택성을 제공한다. 본원에 개시된 화학물질은 기판 (예를 들어, 산화규소, TEOS 또는 질화규소)의 유의한 에칭/손상 또는 금속화물 (예를 들어, Al, Cu, W, Ti, TiN, TaN)의 에칭/손상 없이, 규소-함유 바닥 반사방지 코팅 (SiBARC), 예컨대 허니웰(Honeywell)로부터의 DUO 248 재료의 선택적 에칭/제거를 제공할 수 있다.The compositions of the present invention also provide novel selectivity between i) ARC and substrate, or ii) ARC and metalloids. The chemicals disclosed herein can be used to remove significant etch / damage to a substrate (e.g., silicon oxide, TEOS, or silicon nitride) or etch / damage of a metal oxide (e.g., Al, Cu, W, Ti, TiN, TaN) , A silicon-containing bottom anti-reflective coating (SiBARC), such as Honeywell, to provide selective etching / removal of DUO 248 material.

산성 pH의 플루오라이드는 더 높은 반응성 및 세정 능력을 갖는 것으로 공지되어 있다. 그러나 그것은 또한 규소 기반 재료, 예컨대 TEOS를 공격한다. 흔히, 그것은 심지어 더 내구성의 규소 기반 재료, 예컨대 질화규소도 TEOS보다는 낮은 에칭 속도로 에칭한다. 진보된 적용의 경우에는, 심지어 5-10 Å/min와 같은 더 낮은 SiN 에칭 속도도 흔히는 허용불가능하다. 본 발명은 규소 기반 ARC 및 다른 규소 기반 기판 간에 신규한 에칭 선택성을 제공한다.Fluorides at acidic pH are known to have higher reactivity and cleaning capabilities. However, it also attacks silicon-based materials such as TEOS. Often, it even etches even more durable silicon-based materials, such as silicon nitride, at a lower etch rate than TEOS. In the case of advanced applications, even lower SiN etch rates such as 5-10 A / min are often unacceptable. The present invention provides novel etch selectivity between silicon-based ARC and other silicon-based substrates.

또한, 산성 플루오라이드 기반 화학물질, 특히 HF 함유 제형은 광범위하게 다양한 금속화물과 동시에 폭넓은 상용성을 가질 수 없는 것으로 공지되어 있다. 본 발명은 금속화물 (예를 들어, Al, Cu, W, Ti, TiN, TaN) 및 기판 (예를 들어, TEOS, SiN, 고-k, 저-k)과의 특유의 폭넓은 상용성을 제공한다. 몇몇 산성 플루오라이드 세정 화학물질은 더 우수한 상용성을 제공함으로써 개선을 나타내었다. 그러나, 선행 기술 중 어느 것도 16 nm 또는 그 미만와 같은 반도체에서의 진보된 기술 노드에서 고도로 요구되는 요건을 충족시키는 수준의 상용성을 나타낼 수 없었다.It is also known that acidic fluoride-based chemicals, especially HF-containing formulations, can not have broad compatibility with a wide variety of metal oxides at the same time. The present invention has the unique broad compatibility with metallizations (e.g. Al, Cu, W, Ti, TiN, TaN) and substrates (e.g. TEOS, SiN, high- to provide. Some acid fluoride cleaning chemicals have shown improvement by providing better compatibility. However, none of the prior art has been able to demonstrate a level of compatibility that meets the highly required requirements at advanced technology nodes in semiconductors such as 16 nm or less.

본 발명의 조성물은 또한 임의의 적합한 수용성 양쪽이온성, 비-이온성, 양이온성 또는 음이온성 계면활성제를 함유할 수 있다. 계면활성제의 첨가는 제형의 표면 장력을 감소시킬 것이고, 세정하고자 하는 표면의 습윤을 개선시켜 조성물의 세정 작용을 개선시킬 것이다. 계면활성제는 또한, 추가의 알루미늄 부식 억제가 요망되는 경우 알루미늄 부식 속도를 감소시키기 위해 첨가될 수 있다.The compositions of the present invention may also contain any suitable water-soluble zwitterionic, non-ionic, cationic or anionic surfactant. The addition of a surfactant will reduce the surface tension of the formulation and improve the wetting of the surface to be cleaned to improve the cleaning action of the composition. Surfactants may also be added to reduce the aluminum corrosion rate if further aluminum corrosion inhibition is desired.

본 발명의 조성물에 유용한 양쪽이온성 계면활성제는 베타인 및 술포베타인, 예컨대 알킬 베타인, 아미도알킬 베타인, 알킬 술포베타인 및 아미도알킬 술포베타인; 아미노카르복실산 유도체, 예컨대 암포글리시네이트, 암포프로피오네이트, 암포디글리시네이트, 및 암포디프로피오네이트; 이미노이산, 예컨대 알콕시알킬 이미노이산 또는 알콕시알킬 이미노이산; 아민 옥시드, 예컨대 알킬 아민 옥시드 및 알킬아미도 알킬아민 옥시드; 플루오로알킬 술포네이트 및 플루오린화 알킬 양쪽이온성 물질; 및 그의 혼합물을 포함한다.Zwitterionic surfactants useful in compositions of the present invention include betaines and sulphobetaines such as alkyl betaines, amido alkyl betaines, alkyl sulphobetaines and amido alkyl sulphobetaines; Aminocarboxylic acid derivatives such as amphoglycinate, amphopropionate, amphodiglycinate, and amphodipropionate; Iminoic acid, such as alkoxyalkyl imino acid or alkoxy alkyl imino acid; Amine oxides such as alkylamine oxides and alkylamidoalkylamine oxides; Fluoroalkylsulfonates and fluorinated alkyl amphoteric materials; And mixtures thereof.

바람직하게는, 양쪽이온성 계면활성제는 코코아미도프로필 베타인, 코코아미도프로필 디메틸 베타인, 코코아미도프로필 히드록시 술타인, 카프릴로암포디프로피오네이트, 코코아미도디프로피오네이트, 코코암포프로피오네이트, 코코암포히드록시에틸 프로피오네이트, 이소데실옥시프로필이미노 디프로피온산, 라우릴이미노 디프로피오네이트, 코코아미도프로필아민 옥시드 및 코코아민 옥시드 및 플루오린화 알킬 양쪽이온성 물질이다. 본 발명의 조성물에 유용한 비-이온성 계면활성제는 아세틸렌계 디올, 에톡실화 아세틸렌계 디올, 플루오린화 알킬 알콕실레이트, 플루오린화 알킬에스테르, 플루오린화 폴리옥시에틸렌 알칸올, 다가 알콜의 지방족 산 에스테르, 폴리옥시에틸렌 모노알킬 에테르, 폴리옥시에틸렌 디올, 실록산 유형 계면활성제, 및 알킬렌 글리콜 모노알킬 에테르를 포함한다. 바람직하게는, 비-이온성 계면활성제는 아세틸렌계 디올 또는 에톡실화 아세틸렌계 디올이다. 본 발명의 조성물에 유용한 음이온성 계면활성제는 카르복실레이트, N-아실사르코시네이트, 술포네이트, 술페이트, 및 오르토인산의 모노 및 디에스테르, 예컨대 데실 포스페이트를 포함한다. 바람직하게는, 음이온성 계면활성제는 금속-무함유 계면활성제이다. 본 발명의 조성물에 유용한 양이온성 계면활성제는 아민 에톡실레이트, 디알킬디메틸암모늄 염, 디알킬모르폴리늄 염, 알킬벤질디메틸암모늄 염, 알킬트리메틸암모늄 염, 및 알킬피리디늄 염을 포함한다. 바람직하게는, 양이온성 계면활성제는 할로겐-무함유 계면활성제이다. 특히 적합한 계면활성제의 예는 3,5-디메틸-1-헥신-3-올 (술피놀-61), 에톡실화 2,4,7,9-테트라메틸-5-데신-4,7-디올 (술피놀-465), 폴리테트라플루오로에틸렌 세톡시프로필베타인 (조닐(Zonyl) FSK), 조닐 FSH, 트리톤 X-100, 즉, 옥틸페녹시폴리에톡시에탄올 등을 포함하나 이에 제한되지는 않는다. 계면활성제는 일반적으로 조성물의 중량 기준으로 0 내지 약 5 wt%, 바람직하게는 0.001 내지 약 3 wt%의 양으로 존재할 것이다.Preferably, the amphoteric surfactant is selected from the group consisting of cocoamidopropyl betaine, cocoamidopropyl dimethyl betaine, cocoamidopropyl hydroxysultaine, capryloamphodipropionate, cocoamidopropyl ketone, Aminopropionic acid, lauryl iminodipropionate, cocoamidopropylamine oxide, and cocoamine oxide and fluorinated alkyls, both of which are ionic substances . Nonionic surfactants useful in compositions of the present invention include, but are not limited to, acetylenic diols, ethoxylated acetylenic diols, fluorinated alkyl alkoxylates, fluorinated alkyl esters, fluorinated polyoxyethylene alkanols, aliphatic acid esters of polyhydric alcohols, Polyoxyethylene monoalkyl ethers, polyoxyethylene diols, siloxane type surfactants, and alkylene glycol monoalkyl ethers. Preferably, the non-ionic surfactant is an acetylenic diol or an ethoxylated acetylenic diol. Anionic surfactants useful in the compositions of the present invention include carboxylates, N-acyl sarcosinates, sulfonates, sulfates, and mono and diesters of orthophosphates such as decyl phosphate. Preferably, the anionic surfactant is a metal-free surfactant. Cationic surfactants useful in compositions of the present invention include amine ethoxylates, dialkyldimethylammonium salts, dialkylmorpholinium salts, alkylbenzyldimethylammonium salts, alkyltrimethylammonium salts, and alkylpyridinium salts. Preferably, the cationic surfactant is a halogen-free surfactant. Examples of particularly suitable surfactants are 3,5-dimethyl-1-hexyn-3-ol (sulfinol-61), ethoxylated 2,4,7,9-tetramethyl-5- Zolyl FSK), Zonyl FSH, Triton X-100, i.e., octylphenoxy polyethoxy ethanol, and the like, and the like . Surfactants will generally be present in an amount of from 0 to about 5 wt%, preferably from 0.001 to about 3 wt%, based on the weight of the composition.

실시예Example

본 발명을 추가로 예시하나, 하기 대표적 실시예에 의해 제한되지 않으며, 이는 본 발명을 예시하도록 의도되고, 그로 제한되는 것으로 간주되어서는 안된다. The present invention is further illustrated by the following non-limiting examples, which are intended to be illustrative of the present invention and should not be construed as being limited thereto.

중량 백분율로 제시된 성분Ingredients presented as weight percentages

Figure pct00006
Figure pct00006

부가적으로, 하기 실시예는 또한 아래 열거된 성분을 포함한다: Additionally, the following examples also include the components listed below:

실시예 7 0.2 중량% MTES Example 7 0.2 wt% MTES

실시예 11 0.2 중량% 폴리디메틸실록산 Example 11 0.2 wt% polydimethylsiloxane

실시예 12 0.5 중량% 폴리디메틸실록산Example 12 0.5% by weight polydimethylsiloxane

실시예 14 0.2 중량% 폴리디메틸실록산Example 14 0.2 wt% polydimethylsiloxane

실시예 16 0.2 중량% 5MBZTExample 16 0.2 wt% 5MBZT

실시예 18 59.25 중량% N-(2-히드록시에틸)-2-피롤리돈 (HEP)Example 18 59.25 wt% N- (2-hydroxyethyl) -2-pyrrolidone (HEP)

실시예 19 59.15 중량% N-(2-히드록시에틸)-2-피롤리돈 (HEP)Example 19 59.15% by weight N- (2-hydroxyethyl) -2-pyrrolidone (HEP)

하기 표에는 상기 열거된 실시예를 통해 예시된 바와 같은 본 발명의 조성물의 특성이 예시되어 있다.The following table illustrates the properties of the compositions of the present invention as illustrated by the above listed Examples.

표 ITable I

광범위한 금속화물 상용성 에칭 속도를 갖는 ARC 제거제는 옹스트롬/분의 단위임An ARC remover with a wide metal content compatible etch rate is in Angstroms / min.

Figure pct00007
Figure pct00007

Figure pct00008
Figure pct00008

표 IITable II

우월한 상용성을 갖는 세정 화학물질Highly compatible cleaning chemicals

(20 nm 미만의 고-k/멀티게이트/금속 게이트 기술에 중요한 세정에 대한 높은 잠재력)( High potential for cleaning critical for high-k / multi-gate / metal gate technology below 20 nm )

Figure pct00009
Figure pct00009

CDO 저-k: k 값이 2.4-2.5 범위임 CDO low-k: k values range from 2.4 to 2.5

표 IIITable III

우수한 pH 및 에칭 속도 안정성을 나타내는 배스 수명 연구Bass life study showing excellent pH and etch rate stability

Figure pct00010
Figure pct00010

표 IVTable IV

우수한 pH 및 에칭 속도 안정성을 나타내는 배스 수명 연구Bass life study showing excellent pH and etch rate stability

Figure pct00011
Figure pct00011

표 VTable V

우수한 pH 및 에칭 속도 안정성을 나타내는 배스 수명 연구Bass life study showing excellent pH and etch rate stability

Figure pct00012
Figure pct00012

표 VITABLE VI

Figure pct00013
Figure pct00013

표 VII - 추가 실시예Table VII - Additional Examples

중량 백분율로 제시된 성분Ingredients presented as weight percentages

Figure pct00014
Figure pct00014

시험에서, 실시예 30-35는 표 II - VI에 나타낸 모든 카테고리에서 다른 실시예와 유사하게 수행하였다. 따라서, 본원에서 본 발명의 바람직한 실시양태인 것으로 생각되는 것이 기재되어 있지만, 관련 기술분야의 통상의 기술자라면 본 발명의 취지로부터 벗어나지 않고 그에 대해 변화 및 변형이 이루어질 수 있으며, 모든 이러한 변화 및 변형은 본 발명의 진정한 범주 내에 속하는 것으로 청구하도록 의도됨을 인지할 것이다.In the tests, Examples 30-35 were performed similarly to the other examples in all categories shown in Tables II-VI. Accordingly, while the present invention has been described in connection with what is presently considered to be the preferred embodiment of the invention, it is evident that many alternatives, modifications and variations will be apparent to those skilled in the art without departing from the spirit of the invention And are intended to be claimed as falling within the true scope of the present invention.

Claims (19)

약 0.05 중량% 내지 약 5.0 중량%의 비플루오라이드 화합물, 약 0.01 중량% 내지 약 5 중량%의 pH-안정화 상용성 인핸서, 약 5 중량% 내지 약 90 중량%의 유기 용매, 및 약 5% 내지 약 90%의 물을 포함하는, 마이크로전자 적용을 위한 세정 조성물.From about 0.05 wt.% To about 5.0 wt.% Of a non-fluoride compound, from about 0.01 wt.% To about 5 wt.% Of a pH-stabilizing compatibilizer, from about 5 wt.% To about 90 wt.% Of an organic solvent, A cleaning composition for microelectronic applications, comprising about 90% water. 제1항에 있어서, 약 0.05 중량% 내지 약 1.0 중량%의 비플루오라이드 화합물, 약 0.05 중량% 내지 약 3 중량%의 pH-안정화 상용성 인핸서, 약 10 중량% 내지 약 70 중량%의 유기 용매, 및 약 10% 내지 약 50%의 물을 포함하는 세정 조성물.The composition of claim 1, further comprising from about 0.05% to about 1.0% by weight of a non-fluoride compound, from about 0.05% to about 3% by weight of a pH-stabilizing compatible enhancer, from about 10% , And from about 10% to about 50% water. 제1항에 있어서, 약 0.1 중량% 내지 약 0.5 중량%의 비플루오라이드 화합물, 약 0.1 중량% 내지 약 1.0 중량%의 pH-안정화 상용성 인핸서, 약 20 중량% 내지 약 60 중량%의 유기 용매, 및 약 20% 내지 약 40%의 물을 포함하는 세정 조성물.The composition of claim 1, further comprising from about 0.1% to about 0.5% by weight of a non-fluoride compound, from about 0.1% to about 1.0% by weight of a pH-stabilizing compatible enhancer, from about 20% , And from about 20% to about 40% water. 제1항에 있어서, 상기 세정 조성물의 pH가 5.5 이하인 세정 조성물.The cleaning composition of claim 1, wherein the pH of the cleaning composition is no greater than 5.5. 제1항에 있어서, 상기 세정 조성물의 pH가 5.0 이하인 세정 조성물.The cleaning composition of claim 1, wherein the pH of the cleaning composition is 5.0 or less. 제1항에 있어서, 상기 세정 조성물의 pH가 4.5 이하인 세정 조성물.The cleaning composition of claim 1, wherein the cleaning composition has a pH of 4.5 or less. 제1항에 있어서, 알콜, 알콜-에테르, 및 에테르로부터 선택된 공용매를 추가로 포함하는 세정 조성물.The cleaning composition of claim 1, further comprising a co-solvent selected from an alcohol, an alcohol-ether, and an ether. 제7항에 있어서, 상기 공용매가 에틸렌 글리콜, 디에틸렌 글리콜, 또는 그의 조합인 세정 조성물.8. The cleaning composition of claim 7, wherein the co-solvent is ethylene glycol, diethylene glycol, or a combination thereof. 제1항에 있어서, 상기 비플루오라이드 화합물이 암모늄 비플루오라이드, 알킬암모늄 비플루오라이드, 칼륨 비플루오라이드, 및 알칼리 금속 비플루오라이드로 이루어진 군으로부터 선택되는 것인 세정 조성물.The cleaning composition of claim 1, wherein the non-fluoride compound is selected from the group consisting of ammonium bifluoride, alkylammonium bifluoride, potassium bifluoride, and alkali metal bifluoride. 제9항에 있어서, 상기 비플루오라이드 화합물이 암모늄 비플루오라이드인 세정 조성물.10. The cleaning composition of claim 9, wherein the non-fluoride compound is ammonium non-fluoride. 제1항에 있어서, 상기 pH-안정화 상용성 인핸서가 다양성자 산, 또는 그의 염인 세정 조성물.The cleaning composition of claim 1, wherein the pH-stabilizing compatible enhancer is a versatile acid, or a salt thereof. 제1항에 있어서, 상기 pH-안정화 상용성 인핸서가 시트르산, 일염기성 암모늄 시트레이트, 이염기성 암모늄 시트레이트, 삼염기성 암모늄 시트레이트, 인산, 일염기성 암모늄 포스페이트, 이염기성 암모늄 포스페이트, 삼염기성 암모늄 포스페이트기, 아스코르브산, 일염기성 암모늄 아스코르베이트, 이염기성 암모늄 아스코르베이트, 아미노트리메틸렌포스폰산, 및 그의 혼합물의 군으로부터 선택되는 것인 세정 조성물.3. The composition of claim 1 wherein the pH-stabilizing compatible enhancer is selected from the group consisting of citric acid, monobasic ammonium citrate, dibasic ammonium citrate, tribasic ammonium citrate, phosphoric acid, monobasic ammonium phosphate, dibasic ammonium phosphate, Wherein the cleaning composition is selected from the group of ascorbic acid, monobasic ammonium ascorbate, dibasic ammonium ascorbate, aminotrimethylenephosphonic acid, and mixtures thereof. 제1항에 있어서, 상기 유기 용매가 술피드 또는 아미드인 세정 조성물.The cleaning composition according to claim 1, wherein the organic solvent is sulfide or amide. 제13항에 있어서, 상기 유기 용매가 디메틸 술폭시드, N-메틸 피롤리돈 (NMP), N-에틸 피롤리돈 (NEP), N-(2-히드록시에틸)-2-피롤리돈 (HEP), N-(2-히드록시에틸)모르폴린, 디메틸 2-피페리돈 (DMPD), 디메틸 아세트아미드, 포름아미드, 및 그의 혼합물로부터 선택되는 것인 세정 조성물.14. The method of claim 13, wherein the organic solvent is selected from the group consisting of dimethylsulfoxide, N-methylpyrrolidone (NMP), N-ethylpyrrolidone (NEP), N- (2-hydroxyethyl) HEP), N- (2-hydroxyethyl) morpholine, dimethyl 2-piperidone (DMPD), dimethylacetamide, formamide, and mixtures thereof. 제1항에 있어서, 또 다른 플루오라이드 공급원을 추가로 포함하는 세정 조성물.The cleaning composition of claim 1, further comprising another fluoride source. 제15항에 있어서, 상기 또 다른 플루오라이드 공급원이 플루오라이드 염인 세정 조성물.16. The cleaning composition of claim 15, wherein said another fluoride source is a fluoride salt. 제1항에 있어서, 부식 제어제를 추가로 포함하는 세정 조성물.The cleaning composition of claim 1, further comprising a corrosion inhibitor. 제1항에 있어서, 계면활성제를 추가로 포함하는 세정 조성물.The cleaning composition of claim 1, further comprising a surfactant. 마이크로전자 재료를 약 0.05 중량% 내지 약 5.0 중량%의 비플루오라이드 화합물, 약 0.01 중량% 내지 약 5 중량%의 pH-안정화 상용성 인핸서, 약 5 중량% 내지 약 90 중량%의 유기 용매, 및 약 5% 내지 약 90%의 물을 포함하는 세정 조성물과 접촉시키는 것을 포함하는, 마이크로전자 재료의 세정 방법.From about 0.05% to about 5.0% by weight of a non-fluoride compound, from about 0.01% to about 5% by weight of a pH-stabilizing compatible enhancer, from about 5% to about 90% Contacting the cleaning composition with a cleaning composition comprising from about 5% to about 90% water.
KR1020187011893A 2015-10-02 2016-09-29 Acidic semi-aqueous fluoride activated antireflective coating cleaners with superior substrate compatibility and exceptional bath stability KR20180124830A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562236355P 2015-10-02 2015-10-02
US62/236,355 2015-10-02
PCT/US2016/054411 WO2017059051A1 (en) 2015-10-02 2016-09-29 Acidic semi-aqueous fluoride activated anti-relective coating cleaners with superior substrate compatibilities and exceptional bath stability

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020207014631A Division KR20200059326A (en) 2015-10-02 2016-09-29 Acidic semi-aqueous fluoride activated anti-relective coating cleaners with superior substrate compatibilities and exceptional bath stability

Publications (1)

Publication Number Publication Date
KR20180124830A true KR20180124830A (en) 2018-11-21

Family

ID=58424675

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020187011893A KR20180124830A (en) 2015-10-02 2016-09-29 Acidic semi-aqueous fluoride activated antireflective coating cleaners with superior substrate compatibility and exceptional bath stability
KR1020237003825A KR20230022266A (en) 2015-10-02 2016-09-29 Acidic semi-aqueous fluoride activated anti-relective coating cleaners with superior substrate compatibilities and exceptional bath stability
KR1020207014631A KR20200059326A (en) 2015-10-02 2016-09-29 Acidic semi-aqueous fluoride activated anti-relective coating cleaners with superior substrate compatibilities and exceptional bath stability

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020237003825A KR20230022266A (en) 2015-10-02 2016-09-29 Acidic semi-aqueous fluoride activated anti-relective coating cleaners with superior substrate compatibilities and exceptional bath stability
KR1020207014631A KR20200059326A (en) 2015-10-02 2016-09-29 Acidic semi-aqueous fluoride activated anti-relective coating cleaners with superior substrate compatibilities and exceptional bath stability

Country Status (4)

Country Link
KR (3) KR20180124830A (en)
CN (1) CN109153914A (en)
TW (1) TWI784933B (en)
WO (1) WO2017059051A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10870799B2 (en) * 2017-08-25 2020-12-22 Versum Materials Us, Llc Etching solution for selectively removing tantalum nitride over titanium nitride during manufacture of a semiconductor device

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4508591A (en) * 1984-03-08 1985-04-02 Hewlett-Packard Company Polymethyl methacrylate compatible silicon dioxide complexing agent
US5320709A (en) * 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
JP2857042B2 (en) * 1993-10-19 1999-02-10 新日本製鐵株式会社 Cleaning liquid for silicon semiconductor and silicon oxide
EP1360077A4 (en) * 2000-07-10 2009-06-24 Ekc Technology Inc Compositions for cleaning organic and plasma etched residues for semiconductor devices

Also Published As

Publication number Publication date
TWI784933B (en) 2022-12-01
CN109153914A (en) 2019-01-04
KR20230022266A (en) 2023-02-14
WO2017059051A1 (en) 2017-04-06
KR20200059326A (en) 2020-05-28
TW201730326A (en) 2017-09-01

Similar Documents

Publication Publication Date Title
EP3447791B1 (en) Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/ silicon stack during manufacture of a semiconductor device
KR101912400B1 (en) TiN HARD MASK AND ETCH RESIDUE REMOVAL
CN111164183B (en) Etching solution for simultaneous removal of silicon and silicon-germanium alloy from silicon-germanium/silicon stacks in semiconductor device fabrication
US6677286B1 (en) Compositions for removing etching residue and use thereof
US8114220B2 (en) Formulations for cleaning ion-implanted photoresist layers from microelectronic devices
US7192910B2 (en) Cleaning solutions and etchants and methods for using same
US11085011B2 (en) Post CMP cleaning compositions for ceria particles
KR102283745B1 (en) Etching solution for selectively removing tantalum nitride over titanium nitride during manufacture of a semiconductor device
US8557757B2 (en) Multipurpose acidic, organic solvent based microelectronic cleaning composition
KR20180124830A (en) Acidic semi-aqueous fluoride activated antireflective coating cleaners with superior substrate compatibility and exceptional bath stability
US11319513B2 (en) Non-aqueous tungsten compatible metal nitride selective etchants and cleaners
KR20200070410A (en) Fluoride-based cleaning composition

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
A107 Divisional application of patent
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL NUMBER: 2020101001400; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20200521

Effective date: 20210316