KR20180036694A - Method and apparatus for thin film deposition - Google Patents

Method and apparatus for thin film deposition Download PDF

Info

Publication number
KR20180036694A
KR20180036694A KR1020187000888A KR20187000888A KR20180036694A KR 20180036694 A KR20180036694 A KR 20180036694A KR 1020187000888 A KR1020187000888 A KR 1020187000888A KR 20187000888 A KR20187000888 A KR 20187000888A KR 20180036694 A KR20180036694 A KR 20180036694A
Authority
KR
South Korea
Prior art keywords
station
substrate
reactant
gas
exposed surface
Prior art date
Application number
KR1020187000888A
Other languages
Korean (ko)
Other versions
KR102569764B1 (en
Inventor
준 가와하라
수비 하우카
안티 니스카넨
에바 토이스
라이야 마테로
히데미 스에모리
야코 안틸라
유키히로 모리
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/811,370 external-priority patent/US10204790B2/en
Priority claimed from US14/811,528 external-priority patent/US11421321B2/en
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20180036694A publication Critical patent/KR20180036694A/en
Application granted granted Critical
Publication of KR102569764B1 publication Critical patent/KR102569764B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Abstract

박막 증착 방법 및 장비가 본원의 일부 실시예에 따라 기술된다. 일부 실시예에서, 박막 증착이 복수의 스테이션에서 수행되며, 여기서 각각의 스테이션은 상이한 반응물 또는 반응물의 조합물을 제공한다. 스테이션은 상이한 반응물 또는 반응물의 조합물 사이에 바람직하지 않은 화학 기상 증착 (CVD) 및/또는 원자층 증착법 (ALD) 반응을 최소화하거나 방지하기 위해 서로 기체 격리될 수 있다.A thin film deposition method and apparatus are described in accordance with some embodiments of the present disclosure. In some embodiments, thin film deposition is performed in a plurality of stations, wherein each station provides a different reactant or combination of reactants. The station may be gas isolated from one another to minimize or prevent undesirable chemical vapor deposition (CVD) and / or atomic layer deposition (ALD) reactions between different reactants or combinations of reactants.

Description

박막 증착을 위한 방법 및 장치Method and apparatus for thin film deposition

관련 출원의 상호 참조Cross reference of related application

본 출원은 2015년 7월 28일에 출원된 “Methods for Thin Film Deposition”이라는 발명의 명칭의 미국 특허 출원 제14/811370호, 및 2015년 7월 28일에 출원된 “Apparatuses for Thin Film Deposition”라는 발명의 명칭의 미국 특허 출원 제14/811528호에 대한 PCT 규칙 4.10에 따른 우선권을 주장한다. 또한, 본 출원은 본 출원과 동일한 날짜에 출원된 PCT 출원인, 2015년 7월 28일에 출원된 “Methods and Apparatuses for Temperature-Indexed Thin Film Deposition”라는 발명의 명칭의 미국 특허 출원 제14/811435호와 관련 있다 (변호사 참조 ASMINT.134WO). 나열된 출원 각각은 그 전체가 참조로써 본원에 포함되어 있다.This application is related to U.S. Patent Application No. 14 / 811,370 entitled "Methods for Thin Film Deposition", filed on July 28, 2015, and "Apparatuses for Thin Film Deposition" filed on July 28, PCT Rule 4.10 to U.S. Patent Application No. 14 / 811,528, entitled " This application is also related to U.S. Patent Application Serial No. 14 / 811,435 entitled " Methods and Apparatuses for Temperature-Indexed Thin Film Deposition ", filed on July 28, 2015, (Refer to Attorney ASMINT.134WO). Each of the listed applications is incorporated herein by reference in its entirety.

기술분야Technical field

본원의 일부 실시예는 반도체 제조, 및 원자층 증착법을 사용하여 박막을 증착하기 위한 방법 및 장치에 관한 것이다. 박막은 각각이 상이한 반응물을 제공하고 서로로부터 기체 격리 상태에 있는 2개 이상의 스테이션을 사용하여 기판의 표면 상에 증착될 수 있다.Some embodiments of the present disclosure are directed to methods and apparatus for depositing thin films using semiconductor fabrication and atomic layer deposition. The thin film may be deposited on the surface of the substrate using two or more stations each providing a different reactant and in a gas isolated state from each other.

집적 회로는 전형적으로 다양한 재료 층이 반도체 기판 상에 미리 결정된 배열로 순서대로 구축되는 정교한 공정에 의해 제조된다.Integrated circuits are typically fabricated by a sophisticated process in which a variety of material layers are sequentially fabricated on a semiconductor substrate in a predetermined arrangement.

일부 측면에서, 박막의 선택적 원자층 증착 (ALD)을 위한 방법이 제공된다. 상기 방법은 제1 노출된 표면 및 제1 노출된 표면과 상이한 제2 노출된 표면을 포함하는 제1 기판을 제공하는 단계를 포함할 수 있다. 상기 방법은 (a) 제1 스테이션에 제1 기판을 배치하는 단계를 포함할 수 있다. 상기 방법은 (b) 실질적으로 제2 반응물이 없고 제1 스테이션이 제2 스테이션과 기체 격리된 상태에 있는 동안 제1 스테이션 내의 제1 기판을 제1 반응물과 접촉하는 단계로서, 이때 상기 제1 반응물은 제2 노출된 표면에 대해 제1 노출된 표면과 우선적으로 반응하여, 제1 반응물의 하나의 단일층만이 제1 노출된 표면 상에 흡착되는 단계를 포함할 수 있다. 상기 방법은 (c) 제1 스테이션 내의 제1 기판을 제1 반응물과 접촉시킨 후에, 제1 기판을 제2 스테이션에 배치하는 단계를 포함할 수 있다. 상기 방법은 (d) 실질적으로 제1 반응물이 없고 제2 스테이션이 제1 스테이션과 기체 격리된 상태에 있는 동안 제2 스테이션 내의 제1 기판을 제2 반응물과 접촉하는 단계로서, 상기 제2 반응물은 제1 반응물과 상이하고, 제1 노출된 표면 상의 제1 반응물의 하나의 단일층과만 반응하는 단계를 포함할 수 있다. 상기 방법은 제2 노출된 표면에 대해 제1 노출된 표면 상에 목적하는 두께의 제1 막이 선택적으로 증착될 때까지 (a) 내지 (d) 단계를 반복하는 단계를 포함할 수 있다. 일부 실시예에서, 임의의 2개의 나열된 값 사이의 범위, 예컨대 1 nm - 100 nm, 1 nm - 20 nm, 1 nm - 10 nm, 1 nm - 5 nm, 2 nm - 100 nm, 2 nm - 20 nm, 2 nm - 10 nm, 2 nm - 5 nm, 3 - 4 nm, 5 nm - 100 nm, 5 nm - 20 nm, 5 nm - 10 nm, 10 nm - 100 nm, 또는 10 nm - 20 nm를 포함하여, 적어도 약 1 nm, 예컨대 1 nm, 2, 3, 4, 5, 6, 7, 8, 9, 10, 15, 20, 25, 30, 35, 40, 45, 50, 60, 70, 80, 90, 또는 100 nm의 막이 증착된다. 일부 실시예에서, 제1 스테이션은 제1 반응물 외에 다른 반응물을 제공하지 않고, 제2 스테이션은 제2 반응물 외에 다른 반응물을 제공하지 않는다. 일부 실시예에서, 제1 스테이션의 각 표면은 상기 방법 전체에 걸쳐 제2 반응물이 사실상 없고, 제2 스테이션의 각 표면은 상기 방법 전체에 걸쳐 제1 반응물이 실질적으로 없다. 일부 실시예에서, 제1 스테이션은 제2 스테이션과 기체 격리 상태로 배치되는 동안 제1 기판은 제1 스테이션 내에 있다. 일부 실시예에서, 제1 스테이션은 제1 기판의 제1 스테이션 내에 배치되기 전에 제2 스테이션과 기체 격리 상태에 있다. 일부 실시예에서, 상기 방법은 제1 기판을 제1 반응물과 접촉한 후에 제1 기판이 그 안에 존재하는 동안 제1 스테이션을 퍼지하는 단계, 및 제1 기판을 제2 반응물과 접촉한 후에 제1 기판이 그 안에 존재하는 동안 제2 스테이션을 퍼지하는 단계를 추가로 포함한다. 일부 실시예에서, 제1 스테이션의 임의의 표면 상에서 화학 기상 증착 (CVD) 반응이 실질적으로 일어나지 않으며, 제2 스테이션의 임의의 표면 상에서 CVD 반응이 실질적으로 일어나지 않는다. 일부 실시예에서, 제1 스테이션 내의 제1 기판을 제1 반응물과 접촉한 후에, 기판은 중간 위치에 배치되지 않고 제2 스테이션 내에 배치된다. 일부 실시예에서, 상기 방법은 제1 스테이션 내의 제1 기판을 제1 반응물과 접촉한 후 제2 스테이션 내의 제1 기판을 제2 반응물과 접촉하기 전에 퍼지 위치에 제1 기판을 배치하는 단계, 및 이어서 퍼지 위치에 불활성 기체를 넣는 동안 제1 기판이 퍼지 위치에 존재하는 단계를 추가로 포함하며, 상기 퍼지 위치는 제1 스테이션과 기체 연통(communication) 상태가 아니고 퍼지 동안 제2 스테이션과 기체 연통 상태가 아니다. 일부 실시예에서, 상기 방법은 제1 기판이 제1 스테이션 내에 존재하지 않는 동안, 제3 노출된 표면 및 제3 노출된 표면과 상이한 제4 노출된 표면을 포함하는 제2 기판을 제1 스테이션 내에 배치하는 단계, 및 제1 스테이션 내의 제2 기판을 제2 반응물이 사실상 없는 상태에서 제1 반응물과 접촉하는 단계로서, 제1 반응물은 제3 노출된 표면과 반응하지만 제4 노출된 표면과는 반응하지 않으므로 제1 반응물의 하나의 단일층만이 제3 노출된 표면 상에 흡착되는 단계, 및 제1 스테이션 내의 제2 기판을 제1 반응물과 접촉하는 단계 후, 및 제2 스테이션 내의 제1 기판을 제2 반응물과 접촉하는 단계 후에, 실질적으로 제1 반응물이 없는 제2 기판을 제2 스테이션에 배치하고 실질적으로 제2 반응물이 없는 제1 기판을 제1 스테이션 내에 배치하여 제1 기판과 제2 기판을 교환(swapping)하는 단계를 추가로 포함한다. 일부 실시예에서, (a) 내지 (d) 단계의 사이클은 (e) 제1 기판을 제3 스테이션에 배치하는 단계, 및 (f) 제3 스테이션 내의 제1 기판을 제1 및 제2 반응물이 사실상 없는 상태에서 제3 반응물과 접촉하는 동안 제3 스테이션이 제1 스테이션 및 제2 스테이션과 기체 격리된 상태인 단계를 추가로 포함하며, 상기 (e) 내지 (f) 단계는 (a) 내지 (d) 단계 전후에 수행될 수 있고, (e) 내지 (f) 단계와 조합된 (a) 내지 (d) 단계는 목적하는 두께의 제1 막이 제2 노출된 표면에 대해 제1 노출된 표면 상에 선택적으로 증착될 때까지 반복된다. 일부 실시예에서, 상기 방법은, (a) 내지 (d) 단계를 반복하는 동안, 제5 노출된 표면 및 제5 노출된 표면과 상이한 제6 노출된 표면을 포함하는 제3 기판을 제3 스테이션 내에 배치하는 단계, 및 제3 스테이션 내의 제3 기판을 제2 반응물이 사실상 없는 상태에서 제1 반응물과 접촉하는 단계로서, 상기 제3 스테이션은 제1 스테이션 및 제2 스테이션과 기체 격리된 상태에 있고, 제1 반응물은 제5 노출된 표면과 반응하지만 제6 노출된 표면과는 반응하지 않으므로 제1 반응물의 하나의 단일층만이 제5 노출된 표면 상에 흡착되는 단계, 및 제3 스테이션 내의 제3 기판을 제1 반응물과 접촉하는 단계 후에, 제3 기판을 제4 스테이션에 배치하는 단계로서, 제4 스테이션은 제1 스테이션, 제2 스테이션, 및 제3 스테이션과 기체 격리된 상태인 단계, 제4 스테이션 내의 제3 기판을 제1 반응물이 사실상 없는 상태에서 제2 반응물과 접촉하는 단계로서, 제2 반응물은 제5 노출된 표면 상의 제1 반응물의 하나의 단일층과만 반응하는 단계, 및 목적하는 두께의 제2 막이 제6 표면이 아닌 제5 표면 상에 선택적으로 증착될 때까지 제3 스테이션 내의 제3 기판을 제2 반응물이 사실상 없는 상태에서 제1 반응물과 접촉하는 단계, 및 제4 스테이션 내의 제3 기판을 제1 반응물이 사실상 없는 상태에서 제2 반응물과 접촉하는 단계를 반복하는 단계를 추가로 포함한다. 일부 실시예에서, 적어도 하나의 고체 재료는 제1 및 제2 스테이션 사이에 기체 격리를 제공한다. 일부 실시예에서, 기체는 제1 및 제2 스테이션 사이에 기체 격리를 제공한다. 일부 실시예에서, 제1 스테이션은 제2 스테이션에 대해 고정된 위치에 있다. 일부 실시예에서, 제1 기판을 제2 스테이션에 배치하는 단계는 제1 기판을 잡고 있는 기판 홀더를 회전시킴으로써 제1 기판을 제2 스테이션 내에 배치하는 단계를 포함한다. 일부 실시예에서, 스파이더는 제1 기판을 제1 스테이션 내에 배치하고, 제1 기판을 제2 스테이션 내에 배치한다. 일부 실시예에서, 스파이더가 제1 기판을 각 스테이션에 배치한 후에, 스파이더는 스테이션으로부터 후퇴(retract)하여 스파이더는 임의의 반응물과 접촉되지 않는다. 일부 실시예에서, 제1 기판은 제1 스테이션에서 기판 홀더 내에 배치되며, 제1 기판을 제2 스테이션 내에 배치하는 단계는 기판 홀더의 이동 없이 수행된다. 일부 실시예에서, 각 스테이션은 단일 반응물만 제공한다. 일부 실시예에서, 제1 반응물은 제2 반응물이 제2 스테이션 내로 유입되는 것과 동시에 제1 스테이션 내로 유입되지 않는다. 일부 실시예에서, 제1 기판은, 제1 기판이 제2 스테이션에서 제2 반응물에 노출되는 것과 상이한 압력에서 제1 스테이션 내의 반응물에 노출된다. 일부 실시예에서, 제1 막은 제2 노출된 표면 상에 증착되지 않는다. 일부 실시예에서, 상기 방법은 제2 막을 제1 기판의 제1 표면에 대해 제1 기판의 제2 표면 상에 선택적으로 증착시키는 제2 선택적인 ALD 공정으로서, 여기서 제2 막은 제1 막과 상이한 공정을 추가로 포함한다. In some aspects, a method is provided for selective atomic layer deposition (ALD) of thin films. The method may include providing a first substrate comprising a first exposed surface and a second exposed surface different from the first exposed surface. The method may include (a) placing a first substrate on a first station. (B) contacting a first substrate in a first station with a first reactant while there is substantially no second reactant and the first station is in a gas isolated state with the second station, wherein the first reactant May preferentially react with the first exposed surface with respect to the second exposed surface such that only a single layer of the first reactant is adsorbed onto the first exposed surface. The method may include (c) placing the first substrate in the second station after contacting the first substrate in the first station with the first reactant. (D) contacting a first substrate in a second station with a second reactant while there is substantially no first reactant and the second station is in a gas isolated state with the first station, Reacting with only one single layer of the first reactant on the first exposed surface, which is different from the first reactant. The method may include repeating steps (a) through (d) until the first film of desired thickness is selectively deposited on the first exposed surface with respect to the second exposed surface. In some embodiments, the range between any two listed values, such as 1 nm to 100 nm, 1 nm to 20 nm, 1 nm to 10 nm, 1 nm to 5 nm, 2 nm to 100 nm, 2 nm to 20 nm nm, 5 nm - 10 nm, 10 nm - 100 nm, or 10 nm - 20 nm in the range of 2 nm to 10 nm, 2 nm to 5 nm, 3 to 4 nm, 5 nm to 100 nm, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 15, 20, 25, 30, 35, 40, 45, 50, 60, 70, A film of 80, 90, or 100 nm is deposited. In some embodiments, the first station does not provide other reactants other than the first reactant, and the second station does not provide any reactants other than the second reactant. In some embodiments, each surface of the first station is substantially free of the second reactant throughout the process, and each surface of the second station is substantially free of the first reactant throughout the process. In some embodiments, the first substrate is in the first station while the first station is in a gas isolated state with the second station. In some embodiments, the first station is in a gas isolated state with the second station before being placed in the first station of the first substrate. In some embodiments, the method further comprises purging the first station while the first substrate is in contact therewith after contacting the first substrate with the first reactant, and purging the first substrate after contacting the first substrate with the first reactant, Further comprising purging the second station while the substrate is in it. In some embodiments, a chemical vapor deposition (CVD) reaction does not substantially occur on any surface of the first station, and a CVD reaction does not substantially occur on any surface of the second station. In some embodiments, after contacting the first substrate in the first station with the first reactant, the substrate is disposed in the second station without being disposed in the intermediate position. In some embodiments, the method includes placing a first substrate in a purge position before contacting a first substrate in a first station with a first reactant and then contacting a first substrate in a second station with a second reactant, and Wherein the first substrate is in a purge position while an inert gas is being introduced into the purge position, the purge position being not in a gas communication with the first station and in a gas communication state with the second station during purging . In some embodiments, the method further comprises providing a second substrate comprising a third exposed surface and a fourth exposed surface different from the third exposed surface, within the first station, while the first substrate is not present within the first station And contacting the second substrate in the first station with a first reactant in the substantially absence of the second reactant, wherein the first reactant reacts with the third exposed surface, but reacts with the fourth exposed surface So that only a single layer of the first reactant is adsorbed on the third exposed surface and after contacting the second substrate in the first station with the first reactant and after the first substrate in the second station is removed After contacting the reactants, a second substrate, substantially free of the first reactant, is disposed in the second station and a first substrate substantially free of second reactants is disposed within the first station, The method further comprises swapping. In some embodiments, the cycle of steps (a) - (d) includes: (e) placing a first substrate at a third station; and (f) Wherein the third station is in gas isolation from the first station and the second station while in contact with the third reactant in a substantially absence state, wherein steps (e) through (f) (a) through (d) in combination with steps (e) through (f) may be performed before or after step d), wherein the first film of desired thickness is applied to the first exposed surface Lt; / RTI > In some embodiments, the method further comprises: during a repeat of steps (a) - (d), transferring a third substrate comprising a fifth exposed surface and a sixth exposed surface different from the fifth exposed surface to a third station Contacting a third substrate in a third station with a first reactant in a substantially absence of a second reactant, wherein the third station is in a gas isolated condition with the first station and the second station , The first reactant reacts with the fifth exposed surface but does not react with the sixth exposed surface so that only a single layer of the first reactant is adsorbed onto the fifth exposed surface, Placing a third substrate in a fourth station after contacting the substrate with a first reactant, wherein the fourth station is gas isolated from the first station, the second station, and the third station; Third in Station Contacting the substrate with a second reactant in the substantially absence of the first reactant, wherein the second reactant reacts with only one single layer of the first reactant on the fifth exposed surface, Contacting the third substrate in the third station with the first reactant in the substantially absence of the second reactant until the film is selectively deposited on the fifth surface other than the sixth surface, And repeating the step of contacting the second reactant in the substantially absence of the first reactant. In some embodiments, at least one solid material provides gas isolation between the first and second stations. In some embodiments, the gas provides gas isolation between the first and second stations. In some embodiments, the first station is in a fixed position relative to the second station. In some embodiments, disposing the first substrate at the second station includes disposing the first substrate in the second station by rotating the substrate holder holding the first substrate. In some embodiments, the spider places the first substrate in the first station and the first substrate in the second station. In some embodiments, after the spider has placed the first substrate at each station, the spider retracts from the station so that the spider is not in contact with any reactants. In some embodiments, the first substrate is disposed in the substrate holder in the first station, and the step of disposing the first substrate in the second station is performed without movement of the substrate holder. In some embodiments, each station provides only a single reactant. In some embodiments, the first reactant does not enter the first station simultaneously with the second reactant entering the second station. In some embodiments, the first substrate is exposed to reactants in the first station at a different pressure than the first substrate is exposed to the second reactant at the second station. In some embodiments, the first film is not deposited on the second exposed surface. In some embodiments, the method is a second selective ALD process for selectively depositing a second film on a second surface of a first substrate relative to a first surface of a first substrate, wherein the second film is different from the first film Process.

일부 측면에서, 원자층 증착 (ALD) 반응기가 제공된다. 반응기는 제1 스테이션 및 제2 스테이션을 포함할 수 있다. 제1 스테이션은 제1 기판을 포함하고 제1 기판이, 제2 스테이션과 기체 격리된 상태에서 제1 반응물과 접촉하여 제1 반응물의 하나의 단일층만이 제1 기판 상에 흡착되도록 구성될 수 있다. 제2 스테이션은 제1 기판을 포함하고 제1 기판이, 제1 스테이션과 기체 격리된 상태이고 제1 반응물이 사실상 없는 상태에서 제2 반응물과 접촉하며, 여기서 제2 반응물은 제1 반응물과 상이하고 제1 기판 상의 제1 반응물의 하나의 단일층과만 반응하여 목적하는 재료를 형성하도록 구성될 수 있다. 반응기는 제1 기판을 제1 반응물과 접촉하는 단계 후에 제1 기판을 제2 스테이션 내에 배치하고, 제1 기판을 제2 반응물과 접촉하는 단계 후에 제1 기판을 제1 스테이션 내에 배치하도록 구성된 이송 시스템을 포함할 수 있다. 반응기는 이송 시스템을 수용하기 위해 구성된, 제1 스테이션 및 제2 스테이션 외측에 있는, 중간 공간을 포함할 수 있다. 반응기는, 이송 시스템을 통해 기판을 제1 스테이션에 이동시키고, 이송 시스템을 중간 공간에 이동시키고, 제1 기판을 제1 반응물과 접촉되도록 제1 스테이션을 지시하고, 기판을 이송 시스템을 통해 제2 스테이션에 이동시키고, 이송 시스템을 중간 공간에 이동시키고, 그리고 제1 기판을 제2 반응물과 접촉되도록 제2 스테이션을 지시하는 사이클을 제어하도록 세팅되고, 목적하는 두께의 막이 제2 표면이 아닌 제1 표면에 선택적으로 형성될 때까지 사이클이 반복되도록 추가로 세팅된 제어기를 포함할 수 있으며, 여기서 ALD 반응기의 표면은 제1 반응물 및 제2 반응물 중 하나 이상과 실질적으로 접촉되지 않는다. 일부 실시예에서, ALD 반응기는 선택적인 증착을 위해 구성되며, 여기서 기판은 제1 표면 및 제1 표면과 상이한 제2 표면을 포함하고, 제1 반응물은 제2 표면에 대해 제1 표면 상에 선택적으로 흡착되고, 제2 반응물은 제1 기판 상의 제1 반응물의 하나의 단일층과만 반응하고, 제2 표면과 반응하지 않으며, 목적하는 두께의 막이 제2 표면에 대해 제1 표면 상에 선택적으로 증착된다. 일부 실시예에서, ALD 반응기는, 제1 기판을 제1 반응물과 접촉시킨후 제1 기판을 제2 스테이션 내에 배치하기 전에 제1 기판을 수용하도록 구성된 퍼지 위치를 추가로 포함하며, 여기서 퍼지 위치는 그 안에서 제1 기판과 퍼지를 수행하도록 구성되고, 퍼지 위치는 제1 스테이션과 기체 연통 상태가 아니고 제2 스테이션과 기체 연통 상태가 아니다. 일부 실시예에서, 퍼지 위치는 중간 공간을 포함한다. 일부 실시예에서, 제1 스테이션은 제1 기판이 제1 반응물과 접촉한 후 및 제1 기판을 제2 스테이션 내에 배치하기 전에 제1 반응물을 퍼지하도록 구성된다. 일부 실시예에서, ALD 반응기는 ALD 반응기의 임의의 스테이션 내에서 상당량의 제1 반응물 및 제2 반응물의 동시 존재를 방지하도록 구성된다. 일부 실시예에서, ALD 반응기는 ALD 반응기의 제1 및 제2 스테이션의 임의의 표면 상에서 발생하는 화학 기상 증착 (CVD)을 실질적으로 방지하도록 구성된다. 일부 실시예에서, ALD 반응기는 제1 및 제2 스테이션 사이에 기체 격리를 제공하는 적어도 하나의 고체 재료를 추가로 포함한다. 일부 실시예에서, 제1 및 제2 스테이션 사이의 기체 격리는 기체 베어링에 의해 제공되지 않는다. 일부 실시예에서, 제1 스테이션은 제2 스테이션에 대해 고정된 위치에 있다. 일부 실시예에서, 이송 시스템은 제1 스테이션으로부터 제1 기판을 제거하고 회전에 의해 제1 기판을 제2 스테이션 내에 배치하도록 구성된 회전 기판 홀더를 포함한다. 일부 실시예에서, 이송 시스템은 스파이더를 포함한다. 일부 실시예에서, 각각의 스테이션은 스테이션에서 중간 공간으로 기판을 이동시키도록 구성된 이동식 스테이지를 함유하도록 구성되며, 기판 이송 부재는 이동식 스테이지 상에 기판을 배치하고 중간 공간 내의 이동식 스테이지로부터 기판을 제거하도록 구성되지만, 상기 기판 이송 부재는 스테이션 자체 내에 기판을 배치하거나 스테이션 자체로부터 기판을 제거하도록 구성되지 않는다. 일부 실시예에서, ALD 반응기는 제1 스테이션을 제1 반응물과 기체 연통하는 상태로 배치하는 제1 기체 라인, 및 제2 스테이션을 제2 반응물과 기체 연통하는 상태로 배치하는 제2 기체 라인을 추가로 포함하며, 여기서 상기 제1 기체 라인은 제2 기체 라인과 별개이다. 일부 실시예에서, ALD 반응기는 제1 스테이션 및 제2 스테이션과 기체 격리 상태에 있는 제3 스테이션(여기서 제3 스테이션은 제2 기판을 지지하도록 구성되어 있고, 여기서 제3 스테이션은 제2 기판과 제1 반응물이 접촉하도록 구성되어 있고, 제1 반응물은 제2 기판과 반응하여 제1 반응물의 하나의 단일층만이 제2 기판 상에 흡수된다), 및 제1 스테이션, 제2 스테이션, 및 제3 스테이션과 기체 격리 상태에 있는 제4 스테이션(여기서 제4 스테이션은 제2 기판이 제1 반응물이 사실상 없는 상태에서 제2 반응물과 접촉하도록 구성되며, 여기서 제2 반응물은 제2 기판 상의 제1 반응물의 하나의 단일층과만 반응하여 제2 기판 상에 목적하는 재료를 형성한다)을 추가로 포함한다. 일부 실시예에서, ALD 반응기는 제1 스테이션 및 제2 스테이션과 기체 격리 상태에 있는 제3 스테이션(여기서 제3 스테이션은 제1 기판과 포함하도록 구성되며, 여기서 제3 스테이션은 제1 기판이 제1 및 제2 반응물과 상이한 제3 반응물과 접촉하여 제2 노출된 표면 상의 제3 반응물의 하나의 단일층만을 흡착하도록 구성된다), 및 제1 스테이션, 제2 스테이션, 및 제3 스테이션과 기체 격리 상태이고 제1 기판을 포함하도록 구성된 제4 스테이션(여기서 제4 스테이션은 제1 기판이, 제1, 제2, 및 제3 반응물과 상이하고 제1, 제2, 및 제3 반응물이 사실상 없는 상태에서 제4 반응물과 접촉하도록 구성되고, 여기서 제4 반응물은 제1 노출된 표면이 아닌 제3 반응물의 하나의 단일층과만 반응하여 제4 반응물의 하나의 단일층만이 제2 노출된 표면 상에 흡착된다)을 추가로 포함한다. 일부 실시예에서, 제1 스테이션은 제2 스테이션과 기체 격리된 상태로 배치되는 동안 제1 기판이 제1 스테이션 내에 있도록 구성된다. 일부 실시예에서, 제1 스테이션은 제1 기판이 제1 스테이션 내에 배치되기 전에 제2 스테이션과 기체 격리된 상태이도록 구성된다. 일부 실시예에서, 제2 스테이션은 제1 스테이션과 기체 격리된 상태로 배치되는 동안 제1 기판이 제2 스테이션 내에 있도록 구성된다. 일부 실시예에서, 제2 스테이션은 제1 기판이 제2 스테이션 내에 배치되기 전에 제1 스테이션과 기체 격리된 상태이도록 배치된다. In some aspects, an atomic layer deposition (ALD) reactor is provided. The reactor may comprise a first station and a second station. The first station comprises a first substrate and the first substrate is in contact with the first reactant in a gas isolated state with the second station such that only one single layer of the first reactant is adsorbed onto the first substrate . The second station comprises a first substrate and the first substrate is in contact with the second reactant in a state of gas isolation from the first station and substantially free of the first reactant wherein the second reactant is different from the first reactant And react only with one single layer of the first reactant on the first substrate to form the desired material. Wherein the reactor is configured to position the first substrate in the second station after contacting the first substrate with the first reactant and to place the first substrate in the first station after contacting the first substrate with the second reactant, . ≪ / RTI > The reactor may comprise an intermediate space outside the first station and the second station, configured to receive the transfer system. The reactor is configured to move the substrate through the transfer system to the first station, move the transfer system to the intermediate space, direct the first substrate to contact the first reactant, To move to the station, to move the transfer system to the intermediate space, and to control the cycle of indicating the second station to contact the first substrate with the second reactant, wherein the film of the desired thickness is set to the first Wherein the surface of the ALD reactor is substantially in contact with at least one of the first reactant and the second reactant. In some embodiments, the ALD reactor is configured for selective deposition, wherein the substrate comprises a first surface and a second surface that is different from the first surface, the first reactant being selective on the first surface And the second reactant reacts only with one single layer of the first reactant on the first substrate and does not react with the second surface and a film of the desired thickness is selectively deposited on the first surface with respect to the second surface Lt; / RTI > In some embodiments, the ALD reactor further comprises a purge location configured to receive the first substrate after contacting the first substrate with the first reactant and before placing the first substrate in the second station, wherein the purge location is Wherein the purge location is not in a gas communication with the first station and is not in gas communication with the second station. In some embodiments, the purge location includes an intermediate space. In some embodiments, the first station is configured to purge the first reactant after the first substrate contacts the first reactant and before placing the first substrate in the second station. In some embodiments, the ALD reactor is configured to prevent the simultaneous presence of significant amounts of the first reactant and the second reactant in any station of the ALD reactor. In some embodiments, the ALD reactor is configured to substantially prevent chemical vapor deposition (CVD) from occurring on any surface of the first and second stations of the ALD reactor. In some embodiments, the ALD reactor further comprises at least one solid material that provides gas isolation between the first and second stations. In some embodiments, gas isolation between the first and second stations is not provided by the gas bearing. In some embodiments, the first station is in a fixed position relative to the second station. In some embodiments, the transport system includes a rotating substrate holder configured to remove the first substrate from the first station and place the first substrate in the second station by rotation. In some embodiments, the transport system includes a spider. In some embodiments, each station is configured to include a movable stage configured to move the substrate from the station to the intermediate space, wherein the substrate transfer member is configured to position the substrate on the movable stage and to remove the substrate from the movable stage in the intermediate space But the substrate transfer member is not configured to place the substrate within the station itself or to remove the substrate from the station itself. In some embodiments, the ALD reactor further comprises a first gas line for placing the first station in gas communication with the first reactant, and a second gas line for placing the second station in gas communication with the second reactant Wherein the first gas line is separate from the second gas line. In some embodiments, the ALD reactor is configured to support a third station in a gas isolated state with a first station and a second station, wherein the third station supports a second substrate, 1 reactant is configured to contact and the first reactant reacts with the second substrate so that only a single layer of the first reactant is absorbed onto the second substrate) and the first, second, and third stations And a fourth station in a gas isolated state wherein the fourth station is configured such that the second substrate is in contact with the second reactant in the substantially absence of the first reactant, wherein the second reactant is one of the first reactant on the second substrate To form the desired material on the second substrate). In some embodiments, the ALD reactor is configured to include a first station and a second station and a third station in a gas isolated state, wherein the third station comprises a first substrate, And a third reactant different from the second reactant to adsorb only a single layer of a third reactant on the second exposed surface), and a second isolator configured to adsorb the first reactant, the second station, and the third station, And a fourth station configured to include a first substrate wherein the fourth station is configured to receive the first, second, and third reactants in a state where the first substrate is different from the first, second, and third reactants and substantially free of the first, Wherein the fourth reactant reacts with only one single layer of the third reactant other than the first exposed surface so that only a single layer of the fourth reactant is adsorbed onto the second exposed surface Added) It includes. In some embodiments, the first station is configured such that the first substrate is in the first station while being placed in a gas isolated condition with the second station. In some embodiments, the first station is configured to be gas isolated from the second station before the first substrate is placed in the first station. In some embodiments, the second station is configured such that the first substrate is in the second station while being placed in a gas isolated condition with the first station. In some embodiments, the second station is positioned so as to be gas isolated from the first station before the first substrate is placed in the second station.

일부 측면에서, 기판 상에 증착하기 위한 반응기가 제공된다. 반응기는 기판을 함유하고 기판 상에 제1 반응물을 제공하도록 구성된 제1 스테이션을 포함할 수 있다. 반응기는 기판을 함유하고 상기 기판은 제2 반응물을 제공하도록 구성된 제2 스테이션을 포함할 수 있으며, 여기서 상기 제2 스테이션은 제1 스테이션과 기체 격리된 상태이고, 제2 반응물은 제1 반응물과 상이하다. 반응기는 중간 공간을 포함할 수 있다. 상기 반응기는 중간 공간을 통해 기판을 이동시키도록 구성된 스파이더를 포함하는 기판 이송 시스템을 포함할 수 있으며, 여기서 상기 반응기의 표면은 제1 반응물 및 제2 반응물 모두와 실질적으로 접촉하지 않는다. 일부 실시예에서, 기판 이송 시스템은 제1 스테이션과 중간 공간 사이에 기판을 이동시키도록 구성된 제1 이동식 스테이지, 및 제2 스테이션과 중간 공간 사이에 기판을 이동시키도록 구성된 제2 이동식 스테이지를 추가로 포함하며, 스파이더는 제1 이동식 스테이지에서 제2 이동식 스테이지로 웨이퍼를 이동시키도록 구성된다. 일부 실시예에서, 각각의 이동식 스테이지는 중간 공간 내에서 이동식 스테이지의 기판을 들어올리도록 구성된 리프트 핀을 포함한다. 일부 실시예에서, 반응기는 제1 스테이션 및 제2 스테이션의 적어도 일부를 한정하는 복수의 이동식 물리적 장벽을 추가로 포함하며, 여기서 물리적 장벽은 스테이션 내의 기판을 중간 공간에 노출시키도록 이동될 수 있고, 여기서 스파이더는 물리적 장벽이 기판에 노출된 후에 기판을 이동시키도록 구성된다.In some aspects, a reactor is provided for depositing on a substrate. The reactor may include a first station configured to contain a substrate and to provide a first reactant on the substrate. The reactor may include a substrate and the substrate may comprise a second station configured to provide a second reactant wherein the second station is in a gas isolated condition with the first station and the second reactant is different from the first reactant Do. The reactor may comprise an intermediate space. The reactor may include a substrate transfer system comprising a spider configured to transfer a substrate through an intermediate space, wherein the surface of the reactor is substantially out of contact with both the first reactant and the second reactant. In some embodiments, the substrate transport system further includes a first movable stage configured to move the substrate between the first station and the intermediate space, and a second removable stage configured to move the substrate between the second station and the intermediate space And the spider is configured to move the wafer from the first mobile stage to the second mobile stage. In some embodiments, each movable stage includes a lift pin configured to lift the substrate of the movable stage within the intermediate space. In some embodiments, the reactor further comprises a plurality of removable physical barriers defining at least a portion of the first station and the second station, wherein the physical barriers can be moved to expose the substrate in the station to the intermediate space, Wherein the spider is configured to move the substrate after the physical barrier is exposed to the substrate.

도 1a 는 본원의 일부 실시예에 따른 원자층 증착의 방법을 나타내는 흐름도이다. 도 1b는 본원의 일부 실시예에 따른 선택적 원자층 증착의 방법을 도시하는 흐름도이다.
도 2a는 종래 기술의 반응기 배열을 개략적으로 나타내는 도면이고, 도 2b는 종래 공정(도 2a의 반응기 내에서 구현될 수 있음)을 개략적으로 나타내는 도면이다.
도 3a는 본원의 일부 실시예에 따른 스테이션 사이에 기판을 이동시키기 위한 반응기 및 방법을 개략적으로 나타내는 도면이다. 도 3b 는 공정 단계(도 3a의 반응기 및 방법에서 구현될 수 있음)를 개략적으로 나타내는 도면이다.
도 4a는 본원의 일부 실시예에 따른, 선택적으로 반복될 수 있는, 스테이션 사이에 기판을 이동시키기 위한 반응기 및 방법을 개략적으로 나타내는 도면이다. 도 4b는 종래 공정을 개략적으로 나타내는 도면이다. 도 4c는 공정 단계(도 4a의 반응기 및 방법에서 구현될 수 있음)를 개략적으로 나타내는 도면이다.
도 5는 본원의 일부 실시예에 따른, 선택적으로 반복될 수 있는, 스테이션 사이에 기판을 이동시키기 위한 반응기 및 방법을 개략적으로 나타내는 도면이다.
도 6은 본원의 일부 실시예에 따른, 선택적으로 반복될 수 있는, 스테이션 사이에 기판을 회전시키기 위한 반응기 및 방법을 개략적으로 나타내는 도면이다.
도 7a 는 본원의 일부 실시예에 따른 교환을 개략적으로 나타내는 도면이다. 도 7b 는 본원의 일부 실시예에 따른 회전을 개략적으로 나타내는 도면이다.
도 8a는 본원의 일부 실시예에 따라 서로 기체 격리 상태에 있는 2개의 분리된 스테이션 내의 Ru/SiO2 또는 GeO2 증착을 개략적으로 나타내는 도면이다. 8ba 내지 도 8bd 는 본원의 일부 실시예에 따른 Ru/SiO2 또는 GeO2 증착을 나타내는 공정도이다.
도 8c는 본원의 일부 실시예에 따라 서로 기체 격리 상태에 있는 2개의 분리된 스테이션 내의 Ru/SiO2 또는 GeO2 증착에서 형성된 화학적 화합물을 나타내는 개략도이다. 도 8d는 본원의 일부 실시예에 따라 서로 기체 격리되 상태에 있는 2개의 분리된 스테이션 내의 Ru/SiO2 또는 GeO2 증착에서 형성된 화학적 화합물을 나타내는 개략도이다.
도 9는 본원의 일부 실시예에 따른 Sb/W 쌍에 대한 다양한 공정 흐름을 나타내는 개략도이다.
도 10은 본원의 일부 실시예에 따른 스파이더를 나타내는 개략도이다.
도 11a 는 본원의 일부 실시예에 따른 반응기의 하향식 도면이다. 각각의 반응기 챔버는 3개의 공정 챔버 (P1, P2, P3, 각각의 공정 챔버는 다른 스테이션과 기체 격리된 상태인 상이한 스테이션을 포함함)를 포함하며, 여기서 스파이더는 공정 챔버에서 공정 챔버로 기판을 이동시킨다. 웨이퍼 핸들링 챔버 (WHC) 내에 고정된 엔드 이펙터 (210)는 스파이더 (공정 챔버와 연통함) 및/또는 로드 록 챔버 (LLC)로부터 기판을 추가 및 제거할 수 있다.
도 11b 는 본원의 일부 실시예에 따른 반응기의 하향식 도면이다. 각각의 반응 챔버는 제1 종류의 공정 챔버 (P1) 중 2개와 제2 종류의 공정 챔버 (P2) 중 2개를 포함한다. 이와 같이, 다수의 웨이퍼는 각각의 반응 챔버에서 P1 과 P2 사이에서 교환될 수 있다. 반응기는 또한 스파이더 (공정 챔버와 연통함)로부터 기판을 추가 또는 제거 및/또는 로드 록 챔버 (LLC)로부터 기판을 추가 또는 제거할 수 있는 엔드 이펙터 (210)를 포함하는 웨이퍼 핸들링 챔버 (WHC)를 포함한다.
도 11c는 본원의 일부 실시예에 따른 반응기의 하향식 도면이다. 각각의 반응 챔버는 4개의 공정 챔버 (P1, P2, P3, P4)를 포함한다. 이와 같이, 웨이퍼는 4개의 상이한 공정 챔버 사이에서 회전할 수 있다. 반응기는 또한 스파이더 (공정 챔버와 연통함)로부터 기판을 추가 또는 제거 및/또는 로드 록 챔버 (LLC)로부터 기판을 추가 또는 제거할 수 있는 엔드 이펙터 (210)를 포함하는 웨이퍼 핸들링 챔버 (WHC)를 포함한다.
도 12는 본원의 일부 실시예에 따른 기판 상의 복수의 상이한 공정으로부터 상이한 막의 적층을 반복하는 예를 나타내는 도면이다. 상이한 공정은 조합, 예컨대 증착, 에칭, 및/또는 전-/후- 표면 처리를 포함할 수 있다.
도 13a도 13b 는 기판 상에 공정(전형적으로, 동종의 공정)이 수행되는 로드 록 챔버 (LLC) 및 반응기 챔버 (RC)와 조합된 중앙 웨이퍼 핸들링 챔버 (WHC)를 갖는 종래 도구 구조의 예의 도면이다.
도 14a도 14b도 14c는 통상적인 도구 구조 (기판 상에 도 12와 같이 3개의 상이한 공정을 반복함) 내의 상이한 공정 적층의 시퀀스의 도면이다. 도 14d도 14a 내지 도 14c에 대한 상응하는 공정 흐름을 나타낸다. 상기 언급된 상이한 공정 적층물이 이러한 종래의 도구에 의해 기판 상에 증착되는 경우, 하나의 반응 챔버 (RC) 또는 RC 유닛만이 공정을 위해 작용하고, 다른 RC는 대기 상태에 머물러 있기 때문에, 효율적인 공정 흐름이 될 수 없음을 주목한다. 도 14d에 사용된 약어는 다음을 포함한다: LD: 기판을 로드; UL: 기판을 언로드; P1, 1: 스테이지-1 상의 제1 기판 상에서 공정 1 수행; P2, 1: 스테이지-2 상의 제1 기판 상에서 공정 2 수행; P3, 1: 스테이지-3 상의 제1 기판 상에서 공정 3 수행. 진한 회색은 RC가 대기 상태임을 의미한다(공정 없음, 이송 없음). 다른 RC가 공정에 대해 작동하는 동안 2개의 RC가 대기하기 때문에 공정 효율이 매우 낮다.
도 15 는 미국특허 제US 6469283 B1호에서 확인할 수 있는 종래의 장치를 나타내는 도면이다. 이 도면에서의 참조 번호는 미국특허 제US 6469283 B1호에서의 참조번호에 대응함을 유의한다.
도 16은 본원의 일부 실시예에 따른 복수의 반응기 챔버 (RC, 각각의 RC는 스테이션을 포함함)로 실질적으로 분리된 공정 모듈 (PM)의 단면을 나타내는 도면이다. 예시로서, 도 16은 “상부”위치에 있는 스테이지를 나타내며, 스테이션은 서로 기체 격리된 상태로 배치된다.
도 17은 본원의 일부 실시예에 따른 기판 이송용 공정 모듈 (PM)의 단면을 나타내는 도면이다. PM은 스테이지의 이동에 의해 하나의 중간 공간을 만들 수 있다. 예시로서, 도 17은 “하부”위치의 스테이지를 나타내며, 따라서, 스테이션으로부터 일반적으로 접근가능한 중간 공간을 제공한다.
도 18은 본원의 일부 실시예에 따른 공정 모듈 (PM)에서의 회전 기판 이송을 나타내는 도면이다. 중간 공간은 PM과 WHC 사이에 또는 PM의 각각의 스테이지 사이에 기판을 이송할 수 있다.
도 19a는 본원의 일부 실시예에 따라 서로 기체 격리 상태인 3개의 RC (각각의 RC는 스테이션을 포함함)를 갖는 PM과 조합된 중앙 WHC에서의 도구 구조 예시를 나타내는 도면이다. 각각의 RC는 그 안에 공정 스테이지를 갖는다. PM의 중앙에는, 스테이지-스테이지 기판 이송 기구가 또한 기판 이송 시스템의 일부로서 제공된다. 기판 이송 시스템은 상하 및 회전 이동에 의해 기판을 이송한다. 도 19b는 본원의 일부 실시예에 따른, 예컨대 도 19a의 구조와 결합하여 사용될 수 있는 흐름도이다.
도 20은 본원의 일부 실시예에 따라 동시에 3개의 웨이퍼 상에서 3개의 상이한 공정이 반복될 때 (도 12에서와 같이)의 시퀀스를 나타내는 그래프이다. RC 대기 단계가 거의 없으며, 도 13에 나타난 종래의 도구의 사례와 비교하여 훨씬 더 효율적인 시퀀스가 실행됨을 알 수 있다. 총 시퀀스 시간 T는 본원의 일부 실시예에 따른 종래의 도구와 반응기 사이에서 비교된다. T는 공정/이송의 가변 시간 비율 n (n=1~7)에 대해 플롯팅된다. 시뮬레이션은 3개의 기판 상에서 3개의 상이한 공정을 x 5회 반복하는 전제 조건 하에 수행되었다.
도 21은 m개의 기판 조각 (m=1~5) x5회에 대해 m 종류의 상이한 공정을 반복할 때의 시퀀스 시간 T를 나타내는 그래프이다. 이러한 시뮬레이션에서, 공정/이송 시간 비율은 2 (n=2)로 고정되어 있다. T는 종래의 도구 구조의 경우에 T=12m2+3m의 공식으로 주어지며, 본 발명의 경우에 T=16m으로 주어진다. 그래프는 m이 더 큰 수를 취함에 따라 이점이 커지고 있음을 나타낸다.
1A is a flow diagram illustrating a method of atomic layer deposition in accordance with some embodiments of the present disclosure. 1B is a flow diagram illustrating a method of selective atomic layer deposition according to some embodiments of the present disclosure.
FIG. 2A is a schematic representation of a reactor arrangement of the prior art, and FIG. 2B is a schematic representation of a conventional process (which may be implemented in the reactor of FIG. 2A ).
3A is a schematic representation of a reactor and method for moving a substrate between stations in accordance with some embodiments of the present disclosure. Figure 3b is a schematic representation of process steps (which may be implemented in the reactor and method of Figure 3a ).
4A is a schematic representation of a reactor and method for moving a substrate between stations, which may be optionally repeated, in accordance with some embodiments of the present disclosure. FIG. 4B is a view schematically showing a conventional process. FIG. Figure 4c is a schematic representation of process steps (which may be implemented in the reactor and method of Figure 4a ).
Figure 5 is a schematic representation of a reactor and method for moving a substrate between stations, which may be optionally repeated, in accordance with some embodiments of the present disclosure.
Figure 6 is a schematic representation of a reactor and method for rotating a substrate between stations, which may be optionally repeated, in accordance with some embodiments of the present disclosure.
Figure 7A is a schematic representation of an exchange according to some embodiments of the present disclosure. Figure 7b is a schematic representation of rotation in accordance with some embodiments of the present disclosure.
Figure 8a is a view schematically showing a Ru / SiO 2 or GeO 2 deposited in two separate stations in gas isolated from each other, according to some embodiments of the present application. Figures 8ba to 8bd Is a process diagram showing a Ru / SiO 2 or GeO 2 deposited in accordance with some embodiments of the present application.
Figure 8c is a schematic diagram showing the chemical compounds formed from Ru / SiO 2 or GeO 2 deposited in two separate stations in gas isolated from each other, according to some embodiments of the present application. Figure 8d is a schematic diagram showing the chemical compounds formed from Ru / SiO 2 or GeO 2 deposited in two separate stations in the gas being isolated from each other, according to some embodiments of the present application.
9 is a schematic diagram illustrating various process flows for an Sb / W pair in accordance with some embodiments of the present disclosure.
10 is a schematic diagram illustrating a spider in accordance with some embodiments of the present disclosure;
11A is a top-down view of a reactor according to some embodiments of the present disclosure. Each reactor chamber includes three process chambers (P1, P2, P3, each process chamber including a different station in gas isolation from the other station), wherein the spider transfers the substrate from the process chamber to the process chamber . The end effector 210 fixed within the wafer handling chamber (WHC) can add and remove substrates from the spider (in communication with the process chamber) and / or the load lock chamber (LLC).
11B is a top-down view of a reactor according to some embodiments of the present disclosure. Each of the reaction chambers includes two of the first kind of process chambers P1 and two of the second kind of process chambers P2. As such, a plurality of wafers can be exchanged between P1 and P2 in each reaction chamber. The reactor also includes a wafer handling chamber (WHC) that includes an end effector 210 that can add or remove a substrate from a spider (communicating with the process chamber) and / or add or remove a substrate from the load lock chamber (LLC) .
Figure 11C is a top-down view of a reactor according to some embodiments of the present disclosure. Each of the reaction chambers includes four process chambers P1, P2, P3, and P4. As such, the wafer can rotate between four different process chambers. The reactor also includes a wafer handling chamber (WHC) that includes an end effector 210 that can add or remove a substrate from a spider (communicating with the process chamber) and / or add or remove a substrate from the load lock chamber (LLC) .
12 is a diagram illustrating an example of repeating stacking of different films from a plurality of different processes on a substrate according to some embodiments of the present application. The different processes may include combinations, such as deposition, etching, and / or pre- / post-surface treatment.
13A and 13B illustrate an example of a conventional tool structure having a load lock chamber LLC in which a process (typically a homogeneous process) is performed on a substrate and a central wafer handling chamber (WHC) in combination with a reactor chamber RC FIG.
Figures 14A and 14B and 14C are views of a sequence of different process stacks in a conventional tool structure (repeating three different processes as shown in Figure 12 on a substrate). Figure 14d shows the corresponding process flow for Figures 14a-14c . When the above-mentioned different process stacks are deposited on a substrate by such conventional tools, since only one reaction chamber (RC) or RC unit acts for the process and the other RC remains in the standby state, Note that it can not be a process flow. The abbreviations used in Figure 14d include: LD: substrate loading; UL: Unloading the substrate; P1, Step 1: Step 1 is performed on the first substrate on the stage-1; P2, 1: Step 2 is performed on the first substrate on the stage-2; P3, 1: Step 3 is performed on the first substrate on the stage-3. Dark gray means RC is in standby (no process, no transfer). The process efficiency is very low because two RCs are waiting while other RCs are operating on the process.
Fig. 15 is a diagram showing a conventional apparatus that can be found in U.S. Patent No. US6469283 B1. Note that the reference numerals in this drawing correspond to the reference numerals in U.S. Patent No. 6469283 B1.
16 is a cross-sectional view of a process module PM substantially divided into a plurality of reactor chambers (RC, each RC includes a station) according to some embodiments of the present application. By way of illustration, FIG. 16 shows a stage in the " upper " position, in which the stations are placed in gas isolation from each other.
17 is a cross-sectional view of a process module PM for substrate transfer according to some embodiments of the present application. The PM can create one intermediate space by moving the stage. By way of example, FIG. 17 shows a stage in the " lower " position, thus providing a generally accessible intermediate space from the station.
18 is a diagram illustrating rotating substrate transfer in a process module PM according to some embodiments of the present disclosure. The intermediate space can transport the substrate between the PM and the WHC or between each stage of the PM.
19A is an illustration of a tool structure example in a central WHC in combination with a PM having three RCs (each RC includes a station) in a gas isolated state from each other according to some embodiments of the present disclosure. Each RC has a process stage in it. At the center of the PM, a stage-stage substrate transfer mechanism is also provided as part of the substrate transfer system. The substrate transfer system transfers the substrate by up and down and rotational movement. Figure 19B is a flow chart that may be used in conjunction with the structure of Figure 19A for example, in accordance with some embodiments of the present disclosure.
Figure 20 is a graph showing a sequence of three different processes (as in Figure 12 ) when three different processes are repeated on three wafers simultaneously according to some embodiments of the present application. The RC standby phase compared with the case of a conventional tool shown in FIG. 13, rarely it can be seen that a much more efficient sequence is executed. The total sequence time T is compared between a conventional tool and a reactor according to some embodiments herein. T is plotted for the variable time ratio n (n = 1 to 7) of the process / transfer. The simulation was performed under the precondition of repeating three different processes x 3 times on three substrates.
21 is a graph showing a sequence time T when m different kinds of processes are repeated for m substrate pieces (m = 1 to 5) x 5 times. In this simulation, the process / transfer time ratio is fixed at 2 (n = 2). T is given by the formula of T = 12m < 2 > + 3m in the case of the conventional tool structure, and is given by T = 16m in the case of the present invention. The graph shows that the advantage is getting larger as m gets larger.

본원의 일부 실시예에 따라, 박막은 원자층 증착법(ALD)에 의해 증착될 수 있다. 기판은 제1 스테이션 내에 배치되고, 제1 반응물과 접촉되어 제1 반응물의 하나의 단일층만이 기판 상에 흡착될 수 있다. 이어서 기판은 제1 반응물이 없는(또는 사실상 없는) 제2 기판 스테이션 내에 배치되고, 흡착된 제1 반응물과 반응하는 제2 반응물과 접촉될 수 있다. 상기 사이클은 반복될 수 있다. 스테이션은 서로 기체 격리된 상태일 수 있으며, 여기서 각각의 스테이션은 하나의 반응물만을 제공하고, 어떠한 스테이션의 표면도 하나 이상의 반응물과 접촉되지 않는다. 임의의 이론에 제한되지 않고, 반응물 사이의 공간적 및/또는 일시적 분리를 유지하는 것은 기판 이외의 표면 상의 원하지 않는 ALD 및/또는 CVD를 최소화할 수 있는 것으로 생각된다. 일부 실시예에서, 선택적인 ALD, 예컨대 단일-선택적인 또는 이중-선택적인 ALD가 수행된다. According to some embodiments herein, the thin film may be deposited by atomic layer deposition (ALD). The substrate is disposed within the first station and may be contacted with the first reactant such that only a single layer of the first reactant is adsorbed onto the substrate. The substrate can then be placed in a second substrate station that is free of (or substantially free of) the first reactant and contacted with a second reactant that reacts with the adsorbed first reactant. The cycle may be repeated. The stations may be gas isolated from each other, wherein each station provides only one reactant, and the surface of any station is not in contact with one or more reactants. Without being limited to any theory, it is believed that maintaining spatial and / or temporal separation between reactants can minimize unwanted ALD and / or CVD on surfaces other than the substrate. In some embodiments, selective ALD, e.g., single-selective or dual-selective ALD, is performed.

본원의 일부 실시예에 따르면, 박막은 원자층 증착법 (ALD)에 의해 제2의 기판의 상이한 표면에 대해 기판의 제1 표면 상에 선택적으로 증착될 수 있다. 기판은 제1 스테이션 내에 배치될 수 있으며, 여기서 제1 반응물은 기판과 접촉되어 제1 반응물의 하나의 단일층만이 기판의 제2 노출된 표면에 대해 기판의 제1 노출된 표면에 우선적으로 흡착된다. 이어서, 기판은 제2 스테이션 내에 배치될 수 있으며, 이때 제2 반응물은 제1 반응물의 부재 (또는 실질적으로 부재) 하에 기판과 접촉된다. 제2 반응물은 흡착된 제1 반응물과 우선적으로 반응할 수 있으며, 따라서 제2 반응물의 하나의 단일층만이 제2 표면에 대해 기판의 제1 표면 상에 흡착된다. 선택적으로, 기판은 목적하는 두께의 박막이 형성될 때까지 제1 및 제2 스테이션 사이에서 반복적으로 이동될 수 있다. 선택적으로, 제1 반응물은 제2 노출된 표면이 아닌 제1 노출된 표면 상에 흡착된다. 선택적으로, 선택성은 기상 반응물의 공간적 또는 일시적 분리를 증가시킴으로써 증가될 수 있다. 제1 및 제2 스테이션은 웨이퍼의 다른 표면 상에 또는 스테이션 상에 제1 및 제2 반응물을 포함하는 바람직하지 않은 화학 기상 증착 (CVD) 반응을 최소화하도록 공정 단계 동안 기체 격리 상태에 있을 수 있다. 예컨대, 웨이퍼를 스테이션 내의 반응물과 접촉시킨 후에, 반응물이 다른 스테이션으로 옮겨가는 것을 최소화하도록 웨이퍼가 또 다른 스테이션으로 이동하기 전에 상기 스테이션이 퍼지될 수 있다. According to some embodiments herein, the thin film may be selectively deposited on the first surface of the substrate with respect to different surfaces of the second substrate by atomic layer deposition (ALD). The substrate may be disposed within the first station wherein the first reactant contacts the substrate such that only a single layer of the first reactant is preferentially adsorbed to the first exposed surface of the substrate relative to the second exposed surface of the substrate . Subsequently, the substrate can be placed in a second station, wherein the second reactant is contacted with the substrate under the absence (or substantially absence) of the first reactant. The second reactant may preferentially react with the adsorbed first reactant so that only a single layer of the second reactant is adsorbed onto the first surface of the substrate relative to the second surface. Optionally, the substrate can be repeatedly moved between the first and second stations until a thin film of desired thickness is formed. Optionally, the first reactant is adsorbed onto the first exposed surface rather than the second exposed surface. Optionally, the selectivity can be increased by increasing the spatial or temporal separation of the gaseous reactants. The first and second stations may be in a gas isolation state during the process step to minimize undesirable chemical vapor deposition (CVD) reactions on the other surface of the wafer or on the station, including the first and second reactants. For example, after contacting the wafer with the reactants in the station, the station may be purged before the wafer moves to another station to minimize the transfer of reactants to another station.

원자층 증착법Atomic layer deposition

ALD 유형 공정은 전구체 화학물질의 제어된 자기-제한 표면 반응을 기초로 한다. 기판을 전구체에 교대로 연속적으로 접촉시킴으로써 기상 반응을 회피한다. 기상 반응물은, 예컨대 반응물 펄스 사이의 반응 챔버로부터 과량의 반응물 및/또는 반응물 부산물을 제거함으로써, 또는, 본원에 기술된 바와 같이, 상이한 공간에 상이한 반응물을 제공하고 상이한 공간 사이에서 기판을 이동시킴으로써 기판 표면 상에서 서로 분리된다. The ALD type process is based on controlled self-limiting surface reactions of precursor chemicals. The vapor phase reaction is avoided by bringing the substrate into contact with the precursor alternately and continuously. The gaseous reactants can be removed, for example, by removing excess reactants and / or reactant by-products from the reaction chamber between the reactant pulses, or by providing different reactants in different spaces and moving the substrate between different spaces, Are separated from each other on the surface.

증착 온도는 일반적으로 반응물의 열 분해 온도 이하이지만 반응물의 응축을 피하고 목적하는 표면 반응을 위한 활성화 에너지를 제공하기에 충분히 높은 수준으로 유지된다. 물론, 임의의 주어진 ALD 반응을 위한 적절한 온도 범위는 관련된 표면 종단 및 반응 종에 따라 달라질 수 있을 것이다. 흔히, 제1 표면 및 제2의 상이한 표면 (예컨대, 상이한 조성 및/또는 상이한 형태 또는 결정성을 포함함)을 포함하는 기판은 일반적으로 낮춰진 압력에서 적절한 증착 온도로 가열될 수 있다. 본원의 일부 실시예에 따르면, 온도는, 나열된 값의 임의의 2개 사이의 범위, 예컨대 20℃ 내지 500℃, 20℃ 내지 400℃, 20℃ 내지 300℃, 20℃ 내지 200℃, 20℃ 내지 100℃, 50℃ 내지 500℃, 50℃ 내지 400℃, 50℃ 내지 300℃, 50℃ 내지 200℃, 50℃ 내지 100℃, 100℃ 내지 500℃, 100℃ 내지 400℃, 100℃ 내지 300℃, 100℃ 내지 200℃, 200℃ 내지 500℃, 200℃ 내지 400℃, 또는 200℃ 내지 300℃를 포함하여 예컨대 약 600℃ 이하, 예컨대 500℃, 450℃, 400℃, 350℃¸300℃, 250℃, 200℃, 150℃, 100℃, 50℃¸또는 20℃ 이하에서 증착되는 막의 유형에 따라 변한다. The deposition temperature is generally below the thermal decomposition temperature of the reactants, but is maintained at a level high enough to avoid condensation of the reactants and provide activation energy for the desired surface reaction. Of course, the appropriate temperature range for any given ALD reaction will depend on the surface termination involved and the species of reaction. Often, a substrate comprising a first surface and a second different surface (e.g. comprising different compositions and / or different shapes or crystallinity) can be heated to a suitable deposition temperature at a generally lowered pressure. According to some embodiments herein, the temperature may range between any two of the listed values, such as 20 ° C to 500 ° C, 20 ° C to 400 ° C, 20 ° C to 300 ° C, 20 ° C to 200 ° C, 100 ° C, 50 ° C to 500 ° C, 50 ° C to 400 ° C, 50 ° C to 300 ° C, 50 ° C to 200 ° C, 50 ° C to 100 ° C, 100 ° C to 500 ° C, 100 ° C to 400 ° C, Such as 100 ° C to 200 ° C, 200 ° C to 500 ° C, 200 ° C to 400 ° C, or 200 ° C to 300 ° C, for example, about 600 ° C or less such as 500 ° C, 450 ° C, 400 ° C, 350 ° C, 250 ° C, 200 ° C, 150 ° C, 100 ° C, 50 ° C or 20 ° C or less.

용어 “웨이퍼”및 “기판”은 본원에서 상호교환적으로 사용된다. 기판 표면은 기상 제1 반응물과 접촉될 수 있다. 일부 실시예에서, 기상 제1 반응물의 펄스가 기판을 함유하는 반응 공간에 제공된다. 일부 실시예에서, 기판은 기상 제1 반응물이 제공되는 반응 공간으로 이동된다. 바람직하게는, 기상 반응물은, 기판이 반응 공간으로 이동할 때 반응 공간 내에 존재하지 않으며, 기상 반응물은 후속하여 반응 공간 내에 제공된다. 일부 실시예에서, 기상 반응물은, 기판이 반응 공간으로 이동될 때 이미 반응 공간 내에 존재한다. 선택적으로, 일부 기상 반응물은, 기판이 반응 공간 내에 배치될 때 반응 공간 내에 이미 존재하며, 이후 추가의 기상 제2 반응물이 반응 공간에 첨가된다. 조건은 제1 반응물의 약 하나 이하의 단일 층이 자기-제한 방식으로 기판 표면 상에 흡착되지 않도록 선택되는 것이 바람직하다. 적절한 접촉 시간은 특정 환경에 기초하여 당업자에 의해 용이하게 결정될 수 있다. 과량의 제1 반응물 및 존재하는 경우, 반응 부산물은 예컨대 불활성 기체를 퍼지함으로써 또는 기판을 제1 반응물의 존재로부터 제거함으로써 기판 표면으로부터 제거된다. The terms " wafer " and " substrate " are used interchangeably herein. The substrate surface may be contacted with the gaseous first reactant. In some embodiments, a pulse of the gaseous first reactant is provided in a reaction space containing the substrate. In some embodiments, the substrate is transferred to a reaction space in which the gaseous first reactant is provided. Preferably, the gaseous reactant is not present in the reaction space when the substrate moves into the reaction space, and the gaseous reactant is subsequently provided in the reaction space. In some embodiments, the gaseous reactant is already present in the reaction space when the substrate is moved into the reaction space. Optionally, some gaseous reactant is already present in the reaction space when the substrate is placed in the reaction space, and then an additional gaseous second reactant is added to the reaction space. The conditions are preferably such that no more than about a single layer of the first reactant is adsorbed on the substrate surface in a self-limiting manner. The appropriate contact time can be readily determined by one skilled in the art based on the particular circumstances. Excess of the first reactant and, if present, the reaction by-products are removed from the substrate surface, for example, by purging the inert gas or by removing the substrate from the presence of the first reactant.

"퍼지"는 예컨대 진공 펌프로 챔버를 배기하고/하거나 반응기 내부의 기체를 아르곤 또는 질소와 같은 불활성 기체로 대체함으로써 기상 전구체 및/또는 기상 부산물이 제거되는 것을 의미한다. 전형적인 (및 본원에 따른 적절한) 퍼지 시간은 약 0.05 내지 20초, 보다 바람직하게는 약 1 내지 10, 및 보다 더 바람직하게는 약 1 내지 2초이다. 그러나, 필요한 경우, 예컨대 매우 높은 종횡비 구조 또는 복잡한 표면 형태를 갖는 다른 구조에 대한 높은 등각 스텝 커버리지가 필요한 경우, 다른 퍼지 시간, 예컨대 적어도 20초의 퍼지 시간, 예컨대 나열된 값의 임의의 2개의 범위를 포함하여 적어도 20초, 25초, 30초, 40초, 또는 50초가 이용될 수 있다. "Purge" means that the gaseous precursor and / or gaseous byproducts are removed by, for example, evacuating the chamber with a vacuum pump and / or replacing the gas inside the reactor with an inert gas such as argon or nitrogen. Typical (and accordingly suitable) purge times are from about 0.05 to 20 seconds, more preferably from about 1 to 10, and even more preferably from about 1 to 2 seconds. However, if necessary, high purge times, such as purge times of at least 20 seconds, such as any two ranges of listed values, are required if high conformal step coverage is required, for example, for very high aspect ratio structures or other structures with complex surface morphologies. At least 20 seconds, 25 seconds, 30 seconds, 40 seconds, or 50 seconds may be used.

기판 표면은 기상 제2 기체 반응물과 접촉될 수 있다. 일부 실시예에서, 제2 기체 반응물의 펄스가 기판을 함유하는 반응 공간에 제공된다. 일부 실시예에서, 기판은 기상 제2 반응물이 제공될 때 반응 공간으로 이동된다. 선택적으로, 기상 제2 반응물은, 기판이 반응 공간 내에 배치될 때 반응 공간 내에 이미 존재한다. 선택적으로, 기상 제2 반응물은, 기판이 반응 공간 내에 배치될 때 반응 공간 내에 존재하지 않으며, 제2 반응물은 후속하여 반응 공간에 첨가된다. 선택적으로, 일부 기상 제2 반응물은, 기판이 반응 공간 내에 배치될 때 이미 반응 공간 내에 존재하며, 이후 추가의 기상 제2 반응물이 반응 공간에 첨가된다. 과량의 제2 반응물 및 존재하는 경우, 표면 반응의 기체 부산물이 기판 표면으로부터 제거된다. 접촉 및 제거 단계는 목적하는 두께의 박막이 기판의 표면 상에 선택적으로 형성될 때까지 반복되며, 각각의 사이클은 분자 단일층만을 남긴다. 기판 표면을 다른 반응물과 교대로 연속적으로 접촉하는 단계를 포함하는 추가의 상이 보다 복잡한 재료, 예컨대 3차 (ternary) 재료를 형성하기 위해 포함될 수 있다. The substrate surface may be in contact with the gaseous second gaseous reactant. In some embodiments, a pulse of the second gaseous reactant is provided in a reaction space containing the substrate. In some embodiments, the substrate is transferred to the reaction space when the gaseous second reactant is provided. Optionally, the gaseous second reactant is already present in the reaction space when the substrate is placed in the reaction space. Optionally, the gaseous second reactant is not present in the reaction space when the substrate is placed in the reaction space, and the second reactant is subsequently added to the reaction space. Optionally, some gaseous second reactants are already present in the reaction space when the substrate is placed in the reaction space, after which additional gaseous second reactants are added to the reaction space. Excess gaseous byproducts of the second reactant and, if present, surface reaction are removed from the substrate surface. The contacting and removing steps are repeated until a thin film of the desired thickness is selectively formed on the surface of the substrate, each cycle leaving only a single layer of molecules. Additional phases may be included to form more complex materials, such as ternary materials, including alternating continuously contacting the substrate surface with other reactants.

상기한 바와 같이, 각 사이클의 각각의 상은 바람직하게는 자기-제한적이다. 과량의 반응물 전구체가 민감한 구조 표면을 포화시키기 위해 각 상에 제공될 수 있다. 표면 포화는 이용가능한 모든 반응 부위 (예컨대, 물리적 크기 또는 “입체 장애”반응물의 적용)의 반응물 점유를 보장하므로 우수한 단계 커버리지를 보장한다. 전형적으로, 재료의 하나의 분자 층만이 각 사이클로 증착된다 (또는 하나의 분자 층 미만이 각 사이클로 증착된다). 그러나, 일부 실시예에서, 하나 이상의 분자층만이 사이클 동안 증착될 수 있다. As noted above, each phase of each cycle is preferably self-limiting. Excess reactant precursors may be provided to each phase to saturate the delicate structural surface. Surface saturation ensures excellent step coverage because it ensures reactant occupancy of all available reaction sites (e. G., Application of physical size or " steric hindrance " reactants). Typically, only one molecular layer of material is deposited in each cycle (or less than one molecular layer is deposited in each cycle). However, in some embodiments, only one or more of the molecular layers may be deposited during the cycle.

과량의 반응물을 제거하는 단계는 반응 공간의 일부 내용물을 배출될 수 있고/있거나 반응 공간을 헬륨, 질소 또는 다른 불활성 기체로 퍼지하는 단계를 포함할 수 있다. 일부 실시예에서, 퍼지는 불활성 캐리어 기체를 반응 공간으로 지속적으로 흐르게 하면서 반응성 기체의 흐름을 차단하는 단계를 포함할 수 있다. The step of removing excess reactants may include draining some of the contents of the reaction space and / or purging the reaction space with helium, nitrogen or other inert gas. In some embodiments, it may include blocking the flow of reactive gas while continuing to flow the expanding inert carrier gas into the reaction space.

ALD 유형 공정에서 사용되는 전구체는, 기판 표면과 접촉하기 전에 전구체가 기상일 경우, 표준 조건 (실온 및 대기압) 하에서 고체, 액체 또는 기체 재료일 수 있다. 기판 표면을 기화된 전구체와 접촉하는 것은 한정된 기간 동안 전구체 증기가 기판 표면과 접촉한다는 것을 의미한다. 전형적으로, 접촉 시간은 약 0.05 내지 10초이다. 그러나, 기판 유형 및 이의 표면적에 따라, 상기 접촉 시간은 10초보다 훨씬 더 길 수 있다. 접촉 시간은 경우에 따라 분 단위일 수 있다. 최적의 접촉 시간은 특정 환경에 기초하여 당업자에 의해 결정될 수 있다. The precursor used in the ALD type process may be a solid, liquid or gaseous material under standard conditions (room temperature and atmospheric pressure) when the precursor is gaseous prior to contacting the substrate surface. Contacting the substrate surface with the vaporized precursor means that the precursor vapor is in contact with the substrate surface for a limited period of time. Typically, the contact time is about 0.05 to 10 seconds. However, depending on the substrate type and its surface area, the contact time can be much longer than 10 seconds. The contact time may be in minutes depending on the case. The optimal contact time can be determined by one skilled in the art based on the particular circumstances.

전구체의 질량 유량이 또한 당업자에 의해 결정될 수 있다. 일부 실시예에서, 금속 전구체의 유량은 바람직하게는 제한 없이 약 1 sccm 내지 1000 sccm, 보다 바람직하게는 약 100 sccm 내지 500 sccm이다. 본원의 일부 실시예에 따른 예시적인 질량 유량은 적어도 1 sccm, 예컨대, 나열된 값의 임의의 2개 사이의 값을 포함하여, 적어도 10 sccm, 50 sccm, 100 sccm, 200 sccm, 300 sccm, 400 sccm, 500 sccm, 600 sccm, 700 sccm, 800 sccm, 900 sccm, 또는 1000 sccm을 포함한다. The mass flow rate of the precursor can also be determined by one skilled in the art. In some embodiments, the flow rate of the metal precursor is preferably from about 1 sccm to about 1000 sccm, more preferably from about 100 sccm to about 500 sccm, without limitation. An exemplary mass flow rate in accordance with some embodiments herein may be at least 10 sccm, 100 sccm, 100 sccm, 200 sccm, 300 sccm, 400 sccm, including values between at least 1 sccm, for example between any two of the listed values. , 500 sccm, 600 sccm, 700 sccm, 800 sccm, 900 sccm, or 1000 sccm.

반응 챔버 내의 압력은 전형적으로 약 0.01 내지 약 20 mbar, 보다 바람직하게는, 나열된 값의 임의의 2개 사이의 값을 포함하여, 약 1 mbar 내지 약 10 mbar, 예컨대 1, 2, 3, 4, 5, 6, 7, 8, 9, 또는 10 m bar이다. 그러나, 일부의 경우 압력은 주어진 특정 상황에서 당업자에 의해 결정될 수 있는 바와 같이, 이러한 범위보다 높거나 낮을 수 있다. The pressure in the reaction chamber is typically from about 0.01 mbar to about 20 mbar and more preferably from about 1 mbar to about 10 mbar such as 1, 2, 3, 4, 5, 6, 7, 8, 9, or 10 m bar. However, in some cases, the pressure may be higher or lower than this range, as can be determined by one skilled in the art in a given specific situation.

막의 증착을 시작하기 전에, 기판은 전형적으로 적절한 성장 온도로 가열된다. 성장 온도는 형성된 박막 유형, 전구체의 물리적인 특성 등에 따라 달라진다. 성장 온도는 형성된 각각의 유형의 박막을 참조하여 아래에서 보다 상세하게 논의된다. 성장 온도는 증착된 재료에 대한 결정화 온도 미만이어서 비정질 박막이 형성될 수 있거나 결정화 온도 초과여서 결정질 박막이 형성될 수 있다. 바람직한 증착 온도는 다수의 요인, 예컨대 제한 없이 반응물 전구체, 압력, 유량, 반응기의 배열, 증착된 박막의 결정화 온도, 및 그 위에 증착될 재료의 성질을 포함하여 기판의 조성에 따라 달라질 수 있다. 특정 성장 온도가 당업자에 의해 선택될 수 있다. 일부 실시예에서, ALD 반응을 위한 제1 및 제2 반응물은 동일한 성장 온도를 갖는다. 일부 실시예에서, ALD 반응을 위한 제1 및 제2 반응물은 상이한 성장 온도를 갖는다. 선택적으로, 제1 반응물은 제2 반응물보다 높은 성장 온도를 갖는다. 선택적으로, 제1 반응물은 제2 반응물보다 낮은 성장 온도를 갖는다. 본원의 일부 실시예에 따른 ALD는 열적(thermal) ALD를 포함할 수 있다. 본원의 일부 실시예에 따른 ALD는 열적 플라즈마 보조 ALD 또는 플라즈마 향상 ALD (PEALD)를 포함할 수 있다. Prior to beginning deposition of the film, the substrate is typically heated to an appropriate growth temperature. Growth temperature depends on the type of thin film formed, the physical properties of the precursor, and so on. The growth temperature is discussed in more detail below with reference to each type of thin film formed. The growth temperature is lower than the crystallization temperature for the deposited material, so that an amorphous thin film may be formed or a crystallization temperature may be exceeded so that a crystalline thin film may be formed. The preferred deposition temperature may vary depending on the composition of the substrate, including without limitation, the reactant precursor, the pressure, the flow rate, the arrangement of the reactor, the crystallization temperature of the deposited film, and the nature of the material to be deposited thereon. Certain growth temperatures may be selected by those skilled in the art. In some embodiments, the first and second reactants for the ALD reaction have the same growth temperature. In some embodiments, the first and second reactants for the ALD reaction have different growth temperatures. Optionally, the first reactant has a higher growth temperature than the second reactant. Optionally, the first reactant has a lower growth temperature than the second reactant. An ALD according to some embodiments herein may include thermal ALD. The ALD according to some embodiments herein may include thermal plasma assisted ALD or plasma enhanced ALD (PEALD).

사용될 수 있는 적절한 반응기의 예는 스테이션이 서로 기체 격리되어 배치되거나 배치될 수 있는 복수의 스테이션을 갖는 반응기를 포함한다. ALD 장비는 예컨대 네덜란드 알미르에 본사가 있는 ASM으로부터 상업적으로 이용가능하다. 일부 실시예에서, 유동형 ALD 반응기가 사용된다. 바람직하게는 반응물은 반응 챔버에 도달할 때까지 분리되어 유지되어, 전구체에 대한 공유 선이 최소화된다. 그러나, 다른 배열이 가능하며, 예컨대 미국 특허 출원 제2005/0092247호 및 제2002/0108570호에 기술된 예비-반응 챔버가 사용되며, 이러한 공개문헌은 그 전체가 참조로써 본원에 포함되어 있다. An example of a suitable reactor that may be used includes a reactor having a plurality of stations in which the stations can be arranged or arranged gas isolated from each other. ALD equipment is commercially available, for example, from ASM, which is headquartered in Almir, the Netherlands. In some embodiments, a flow type ALD reactor is used. Preferably, the reactants remain separated until reaching the reaction chamber, minimizing the sharing line for the precursor. However, other arrangements are possible, for example the pre-reaction chambers described in U.S. Patent Application Nos. 2005/0092247 and 2002/0108570 are used, the disclosure of which is incorporated herein by reference in its entirety.

성장 공정은 클러스터 도구에 연결된 반응기 또는 반응 공간에서 선택적으로 수행될 수 있다. 클러스터 도구에서, 각각의 반응 공간은 하나의 유형의 공정에 전용되기 때문에, 각 모듈 내의 반응 공간의 온도는 일정하게 유지될 수 있으며, 이는 기판이 각각 실행되기 전에 공정 온도로 가열되는 반응기에 비해 처리량을 향상시킨다. The growth process may optionally be performed in a reactor or reaction space connected to the cluster tool. In the cluster tool, since each reaction space is dedicated to one type of process, the temperature of the reaction space within each module can be kept constant, which means that compared to a reactor heated to process temperature before the substrate is each run, .

독립형 반응기는 로드-록 (load-lock)이 장착되어 있을 수 있다. 이러한 경우, 각 실행 사이에 반응 공간을 식힐 필요가 없다. The stand-alone reactor may be equipped with a load-lock. In this case, it is not necessary to cool the reaction space between each run.

화학 기상 증착Chemical vapor deposition

일부 실시예에서, 본원에 기술된 하나 이상의 전구체를 사용하여 화학 기상 증착 (CVD)에 의해 박막 또는 박막의 일부가 증착된다. 예컨대, 일부 실시예에서, 막은 CVD로 생산된 막 위에 ALD의 하나 이상의 사이클 이전에, 및/또는 ALD의 하나 이상의 사이클 후에 CVD에 의해 증착될 수 있다. 예컨대, 일부 실시예에서, CVD는 목적하는 기판 상에서 수행되지만 ALD는 수행되지 않는다. 증착은 다양한 CVD 방법에 따라 적절하게 수행될 수 있다. CVD 방법은, 예컨대 미국특허 제7,438,760호에 기술되어 있으며, 이는 그 전체가 본원에 참조로써 포함되어 있다. 본원의 일부 실시예에 따라 개시된 방법은 CVD를 적용함으로써 적절하게 실시될 수 있다. 일부 실시예에서, CVD는 열적이다. 일부 실시예에서, CVD는는 플라즈마-향항 화학 기상 증착 (PECVD)이다. In some embodiments, a portion of the thin film or film is deposited by chemical vapor deposition (CVD) using one or more of the precursors described herein. For example, in some embodiments, the film may be deposited by CVD prior to one or more cycles of ALD on the film produced by CVD, and / or after one or more cycles of ALD. For example, in some embodiments, CVD is performed on the desired substrate, but ALD is not performed. Deposition can be suitably performed according to various CVD methods. CVD methods are described, for example, in U.S. Patent No. 7,438,760, which is incorporated herein by reference in its entirety. The method disclosed in accordance with some embodiments herein may be suitably implemented by applying CVD. In some embodiments, the CVD is thermal. In some embodiments, the CVD is plasma-enhanced chemical vapor deposition (PECVD).

CVD 반응물 및, 선택적으로 에칭 기체 및/또는 전기적 도펀트 전구체를 포함하는 2개 이상의 반응물은, 바람직하게는 분리 기체 형태로 또는 공급 기체의 형성을 위한 혼합에 의해 챔버에 도입된다. 공급 기체를 형성하기 위한 혼합은 챔버 내에서 또는 챔버로 공급 기체의 도입 이전에 일어날 수 있다. CVD 챔버 내의 총 압력은 바람직하게는 약 10-5 Torr 내지 약 1000 Torr의 범위, 보다 바람직하게는 약 10-4 Torr 내지 약 대기압의 범위, 예컨대 약 760 Torr의 범위이다. 일부 실시예에서, 화학 기상 증착 조건은 적어도 약 10-5 Torr의 챔버 압력, 바람직하게는 약 760 Torr 이하의 챔버 압력, 예컨대 약 760 Torr, 740 Torr, 720 Torr, 700 Torr, 680 Torr, 660 Torr, 640 Torr, 620 Torr, 600 Torr, 580 Torr, 560 Torr, 540 Torr, 520 Torr, 500 Torr, 480 Torr, 460 Torr, 440 Torr, 420 Torr, 400 Torr, 350 Torr, 300 Torr, 250 Torr, 200 Torr, 150 Torr 이하의 압력, 또는 약 10-4 Torr 내지 약 760 Torr, 예컨대 나열된 값의 임의의 2개 사이의 값을 포함하여 약 10-4 Torr, 10-3 Torr, 10-2 Torr, 10-1 Torr, 1 Torr, 5 Torr, 10 Torr, 30 Torr, 50 Torr, 100 Torr, 150Torr, 200 Torr, 250Torr, 300 Torr, 350 Torr, 400 Torr, 450 Torr, 500 Torr, 600 Torr, 650, 700 Torr, 750 Torr, 또는 760 Torr의 범위인 압력을 포함한다. 챔버 압력은 본원에서 증착 압력으로 지칭될 수 있다. Sn 전구체의 부분 압력은 바람직하게는 약 0.0001% 내지 약 100%의 총 압력, 보다 바람직하게는 약 0.001% 내지 약 50%의 총 압력의 범위이다. 일부 실시예에서, CVD 반응 챔버의 온도는 약 600℃ 이하, 예컨대 약 550℃ 이하이다. 일부 실시예에서, 반응 챔버의 온도는 약 500℃ 이하, 예컨대, 약 500℃, 490℃, 480℃, 470℃, 460℃, 450℃, 440℃, 430℃, 420℃, 410℃, 400℃, 375℃, 350℃, 325℃, 또는 300℃ 이하이다. The CVD reactants and, optionally, two or more reactants comprising an etch gas and / or an electrically dopant precursor are preferably introduced into the chamber either in the form of a separate gas or by mixing for the formation of a feed gas. Mixing to form the feed gas may occur within the chamber or prior to introduction of the feed gas into the chamber. The total pressure in the CVD chamber is preferably in the range of about 10 -5 Torr to about 1000 Torr, more preferably in the range of about 10 -4 Torr to about atmospheric pressure, such as about 760 Torr. In some embodiments, the chemical vapor deposition conditions include a chamber pressure of at least about 10 -5 Torr, preferably a chamber pressure of about 760 Torr, 740 Torr, 720 Torr, 700 Torr, 680 Torr, 660 Torr , 640 Torr, 620 Torr, 600 Torr, 580 Torr, 560 Torr, 540 Torr, 520 Torr, 500 Torr, 480 Torr, 460 Torr, 440 Torr, 420 Torr, 400 Torr, 350 Torr, 300 Torr, 250 Torr, 200 Torr, a pressure below 150 Torr, or from about 10 -4 Torr to about 760 Torr, for example, including any value between the two of the listed value of about 10 -4 Torr, 10 -3 Torr, 10 -2 Torr, 10 -1 Torr, 1 Torr, 5 Torr, 10 Torr, 30 Torr, 50 Torr, 100 Torr, 150 Torr, 200 Torr, 250 Torr, 300 Torr, 350 Torr, 400 Torr, 450 Torr, 500 Torr, 600 Torr, 650, 700 Torr, 750 Torr, or 760 Torr. The chamber pressure may be referred to herein as the deposition pressure. The partial pressure of the Sn precursor is preferably in the range of about 0.0001% to about 100% total pressure, more preferably about 0.001% to about 50% total pressure. In some embodiments, the temperature of the CVD reaction chamber is below about 600 ° C, such as below about 550 ° C. In some embodiments, the temperature of the reaction chamber is less than or equal to about 500 캜, such as about 500 캜, 490 캜, 480 캜, 470 캜, 460 캜, 450 캜, 440 캜, 430 캜, 420 캜, 410 캜, , 375 ° C, 350 ° C, 325 ° C, or 300 ° C or less.

스테이션station

본원에 사용된 “스테이션”은 스테이션 내의 기판 상에서 증착 반응이 수행될 수 있도록 기판을 함유할 수 있는 위치를 포괄적으로 지칭한다. 따라서 스테이션은 반응기, 또는 반응기의 일부, 또는 반응 공간 또는 반응기 내의 반응 챔버를 지칭할 수 있다. As used herein, " station " refers collectively to a location that may contain a substrate such that a deposition reaction may be performed on a substrate within the station. Thus, the station may refer to a reactor, or a portion of a reactor, or a reaction chamber within a reaction space or reactor.

바람직하게는, 본원의 실시예에 따른 스테이션은 기판이 스테이션 내부에서 처리되는 동안 서로 “기체 격리”상태이거나, 기체 격리되도록 구성된다. 본원에 사용된 “기체 격리”는 제1 스테이션 내의 제1 반응물이 또 다른 스테이션으로 검출가능하게 흐르거나 확산될 수 없고, 또한, 다른 반응물 (예컨대, 다른 스테이션으로부터의)이 제1 스테이션 내로 검출가능하게 흐르거나 확산될 수 없음을 의미한다. 본원의 실시예에 따른 스테이션은 서로 영구적으로 기체 격리된 상태일 수 있거나 (예컨대, 고체 벽 또는 이산 챔버로 분리됨), 서로 기체 격리된 상태로 가역적일 수 있다 (예컨대, 기판이 주어진 스테이션 내에 위치된 후, 또는 주어진 스테이션 내에 기판을 배치하기 바로 전에 고체 장벽 또는 기체 베어링 또는 기체 커튼 (예컨대, 불활성 기체 커튼 예컨대 N2 커튼)을 위치시킴으로써, 여기서 상기 고체 장벽 또는 기체 베어링 또는 기체 커튼은 기체 격리된 상태로 기판을 배치함). 일부 실시예에서, 스테이션은 기체 베어링 또는 기체 커튼이 아닌 물리적 장벽에 의해 기체 격리된 상태이다. 일부 실시예에서, 스테이션은 기체 베어링 및 기체 커튼과 접합된 물리적 장벽에 의해 기체 격리된 상태이다. 일부 실시예에서, 특정 스테이션 내의 기판의 배치 후 또는 이와 동시에, 상기 기판은 다른 스테이션과 기체 격리된 상태로 배치되며 (상기 공정 단계가 상기 스테이션에서 수행될 수 있도록), 기판이 스테이션 내의 반응물에 노출된 후, 상기 스테이션은 기체 격리되고, 상기 기판은 스테이션으로부터 제거되어 중간 공간 내에 위치될 수 있다. 복수의 상이한 스테이션으로부터의 기판은 스테이션에서 스테이션으로의 이동을 위한 공유된 중간 공간 내에 배치될 수 있다. 스테이션은 예컨대, 물리적 장벽에 의해 기체 격리된 상태로 배치될 수 있다. Preferably, stations according to embodiments herein are configured to be "gas isolated" or gas isolated from each other while the substrate is being processed inside the station. As used herein, " gas quarantine " means that a first reactant in a first station can not flow or diffuse detectably to another station, and another reactant (e.g., from another station) It can not flow or diffuse. Stations in accordance with embodiments herein may be permanently gas isolated from each other (e.g., separated into solid walls or discrete chambers), or may be reversible gas-insulated from each other (e.g., the substrate is located within a given station By placing a solid barrier or a gas bearing or gas curtain (e.g., an inert gas curtain, such as an N 2 curtain) just before placing the substrate in a given station, or after placing the substrate in a given station, wherein the solid barrier or gas bearing or gas curtain is in a gas isolated state As shown in Fig. In some embodiments, the station is gas isolated by a physical barrier, not a gas bearing or gas curtain. In some embodiments, the station is gas isolated by a gas barrier and a physical barrier bonded to the gas curtain. In some embodiments, after or after the placement of the substrate within a particular station, the substrate is placed in a gas isolated condition with the other station (such that the processing step can be performed at the station) The station is gas isolated, and the substrate can be removed from the station and placed in the intermediate space. Substrates from a plurality of different stations may be placed in a shared intermediate space for movement from station to station. The station may be placed in a gas isolated state, for example, by a physical barrier.

일부 실시예에서, 스테이션은 고체 재료에 의해 서로 분리되고, 기체 베어링 또는 기체 커튼에 의해 서로 분리되지 않는다. 일부 실시예에서, 스테이션은 고체 재료 또는 기체 커튼에 의해 서로 분리되고, 기체 베어링에 의해 서로 분리되지 않는다. 일부 실시예에서, 스테이션은 고체 재료 또는 기체 베어링에 의해 서로 분리되고, 기체 커튼에 의해 서로 분리되지 않는다. 선택적으로, 물리적 장벽은 스테이션과 중간 공간 사이에 기판을 셔틀시키는 이동 스테이지와 결합하여 이동할 수 있으므로, 물리적 장벽은 기판이 스테이션 내에 배치됨과 동시에 (또는 약간 이전에 또는 약간 후에) 기체 격리된 상태로 스테이션을 배치한다. 선택적으로, 물리적 장벽은, 예컨대 물리적 장벽에 의해 남겨진 일부 갭을 채우기 위해 기체 장벽과 함께 결합되어 사용될 수 있다. 일부 실시예에서, 물리적 장벽이 제공되지만 기체 장벽 또는 기체 커튼은 제공되지 않는다. In some embodiments, the stations are separated from each other by solid materials and are not separated from each other by gas bearings or gas curtains. In some embodiments, the stations are separated from each other by a solid material or a gas curtain, and are not separated from each other by a gas bearing. In some embodiments, the stations are separated from one another by solid materials or gas bearings, and are not separated from one another by gas curtains. Optionally, the physical barrier can move in combination with a moving stage that shuttles the substrate between the station and the intermediate space, so that the physical barrier is maintained in a gas isolated state at the same time (or only slightly before or after) . Optionally, the physical barrier may be used in conjunction with a gas barrier, for example, to fill some of the gaps left by the physical barrier. In some embodiments, a physical barrier is provided, but no gas barrier or gas curtain is provided.

일부 실시예에서, 스테이션은 반응기 모듈 또는 챔버를 포함하므로, 각각의 스테이션은 분리 챔버 또는 모듈을 포함한다. 일부 실시예에서, 스테이션은 스테이션 사이에 벽, 기체 커튼 또는 기체 베어링을 위치시킴으로써 반응 챔버의 다른 부분으로부터 기체 격리 상태로 배치될 수 있는 반응 챔버의 일부를 포함한다. 선택적으로, 주어진 스테이션은 하나 이상의 벽, 기체 커튼, 기체 베어링 또는 이러한 항목의 임의의 조합에 의해 완전히 폐쇄된다. 상이한 반응물을 제공하는 2개의 스테이션 사이의 물리적 분리가 본원의 일부 실시예에 따른 기체 격리를 추가로 용이하게 할 수 있는 것으로 생각된다. 따라서, 일부 실시예에서, 제1 반응물을 제공하는 제1 스테이션은 제2 반응물을 제공하는 제2 스테이션에 바로 인접해있지 않고, 오히려 물리적 공간이 제1 및 제2 스테이션, 뿐만 아니라 선택적인 특징 예컨대 벽 또는 기체 벽 또는 기체 베어링 및/또는 사이의 챔버 사이에 유지된다. 일부 실시예에서, 스캐빈저(예컨대, 진공으로 기체 연통하는 2차 전구체 스캐빈저)가 스테이션 사이에 위치되어 스테이션으로부터 탈출하고/탈출하거나 기판과 함께 드래그된 임의의 전구체를 스캐빈징한다. In some embodiments, the station includes a reactor module or chamber, so that each station includes a separation chamber or module. In some embodiments, the station includes a portion of the reaction chamber that can be placed in a gas isolated state from other portions of the reaction chamber by placing a wall, gas curtain, or gas bearing between the stations. Optionally, a given station is completely closed by one or more walls, gas curtains, gas bearings or any combination of these items. It is believed that the physical separation between the two stations providing different reactants can further facilitate gas isolation according to some embodiments herein. Thus, in some embodiments, the first station providing the first reactant is not immediately adjacent to the second station providing the second reactant, but rather the physical space is connected to the first and second stations, as well as optional features, Wall or gas wall or between the gas bearing and / or the chamber between. In some embodiments, a scavenger (e.g., a secondary precursor scavenger in vacuum communication with gas) is positioned between the stations to escape and / or escape from the station or scavenges any precursors that are dragged with the substrate.

본원의 일부 실시예에 따르면, 증착을 위한 스테이션은 반응물 공급원과 기체 연통하는 상태이므로, 반응물은 스테이션 내로 흐를 수 있다. 전형적으로, 본원의 다양한 실시예에 따른 증착 (예컨대 ALD)을 위한 스테이션은 오직 하나의 반응물만 각각 제공할 것이다 (예컨대, 제1 스테이션은 제1의 절반 반응을 위해 오직 하나의 반응물을 제공할 수 있고, 제2 스테이션은 ALD 반응을 종결시키기 위해 제2의 상이한 절반 반응을 위한 오직 하나의 상이한 반응물을 제공할 수 있음). 따라서, ALD를 위해, 제1 스테이션은 제1 반응물을 제공할 수 있고, 제2 스테이션은 제1 반응물과 상이한 제2 반응물을 제공할 수 있다. 제2 반응물은 제1 스테이션에서 기판과 접촉된 제1 반응물의 흡수로부터 수득된 층 (전형적으로 하나 이하의 단일층)과 반응할 수 있다. 서로 접촉하는 경우 다수의 제1 및 제2 기체 및/또는 플라즈마 반응물이 반응기 및/또는 기판의 표면 상에 바람직하지 않은 증착을 생성시킬 수 있는 바람직하지 않은 화학 기상 증착(CVD)-유형 반응을 야기할 수 있음을 유의해야 한다. 선택적인 ALD 공정은 CVD 반응으로 인한 선택성의 손실 및/또는 막 품질 저하에 특히 민감하다. 또한, 2개 이상의 반응물, 예컨대 이중 선택적인 ALD(4, 6 또는 그 이상의 반응물을 포함할 수 있음)를 포함하는 ALD 공정은 다양한 반응물 사이의 CVD 반응물로 인해 선택성의 손실 및/또는 막 품질 저하에 특히 취약하다. 따라서, 본원의 일부 실시예에 따르면, 바람직하지 않은 CVD-유형의 반응을 피하기 위해 상이한 반응물 사이의 물리적 및/또는 일시적 분리가 제공되는 것이 고려된다. 바람직하게는, 제1 스테이션은 제2 반응물이 아닌 제1 반응물을 제공하고, 제2 스테이션은 제1 반응물이 아닌 제2 반응물을 제공한다. 제1 및 제2 스테이션은 서로 기체 격리된 상태일 수 있다. 이와 같이, 제2 반응물은 제1 스테이션으로부터 실질적으로 또는 완전히 부재할 수 있고, 제1 반응물은 제2 스테이션으로부터 실질적으로 또는 완전히 부재할 수 있고, 이는 제1 반응물과 제2 반응물 사이의 바람직하지 않은 CVD-유형 반응을 최소화하거나 제거할 수 있다. 단지 임의의 다중-스테이션 ALD 반응기가 스테이션 사이의 기체 격리를 제공하지 않음을 유의한다. 예컨대, 다수의 종래의 다중-스테이션 ALD 반응기는, 예컨대 동일한 스테이션에서 복수의 반응물을 제공함으로써, 또는 “트레일링”반응물이 기판과 함께 이동하고 다른 반응물과 반응하는 것을 가능케 하면서 기판을 신속하게 이동시킴으로써 반응물 사이의 불완전한 분리 또는 분리 부재를 포함할 수 있다. 또한, 처리량 단독을 증가시키는 것에 대한 종래의 강조는, 예컨대 반응물의 농도가 높음과 동안 스테이션으로부터 기판을 신속하게 이동시킴으로써 (그리고, 상대적으로 높은 농도의 “트레일링”반응물을 다음 스테이션으로 가져옴으로써) 바람직하지 않은 CVD-유형, 또는 다른 바람직하지 않은 반응의 가능성을 악화시킬 수 있다. 공정 이점 예컨대 매우 선택적인 증착, 높은 막 품질, 및/또는 반응기 상의 증착물의 부재를 수득하기 위해서는 상대적으로 낮은 처리량이 허용가능하다는 것이 본원의 일부 실시예에 따라 고려된다. According to some embodiments herein, the station for deposition is in gas communication with the reactant supply, so that the reactants can flow into the station. Typically, a station for deposition (e.g., ALD) according to various embodiments of the present disclosure will provide only one reactant each (e.g., the first station may provide only one reactant for the first half reaction And the second station may provide only one different reactant for a second, different half-reaction to terminate the ALD reaction. Thus, for ALD, the first station may provide a first reactant and the second station may provide a second reactant different from the first reactant. The second reactant may react with a layer (typically less than one monolayer) obtained from the absorption of the first reactant in contact with the substrate at the first station. (CVD) -type reactions that can result in undesirable deposition on the surface of the reactor and / or substrate when a plurality of first and second gases and / or plasma reactants are in contact with one another. It should be noted that Selective ALD processes are particularly susceptible to loss of selectivity due to CVD reactions and / or film degradation. In addition, ALD processes involving two or more reactants, such as bi-optional ALD (which may include 4, 6, or more reactants), can lead to loss of selectivity and / or film degradation due to CVD reactants between various reactants It is particularly vulnerable. Thus, according to some embodiments herein, it is contemplated that physical and / or temporal separation between different reactants is provided to avoid undesirable CVD-type reactions. Preferably, the first station provides a first reactant that is not a second reactant, and the second station provides a second reactant that is not a first reactant. The first and second stations may be in gas isolated state from each other. As such, the second reactant may be substantially or completely absent from the first station, and the first reactant may be substantially or completely absent from the second station, which may result in an undesirable reaction between the first reactant and the second reactant CVD-type reactions can be minimized or eliminated. Note that only an arbitrary multi-station ALD reactor provides gas isolation between stations. For example, a number of conventional multi-station ALD reactors may be used to provide a plurality of reactors, for example by providing multiple reactants at the same station, or by rapidly moving the substrate while allowing " trailing " reactants to move with the substrate and react with the other reactants Incomplete separation or separation members between the reactants. In addition, conventional emphasis on increasing throughput alone may be achieved, for example, by rapidly moving the substrate from the station (and bringing a relatively high concentration of " trailing " reactant to the next station) Undesirable CVD-type, or other undesirable reactions. Process Advantages It is contemplated according to some embodiments herein that relatively low throughput is acceptable to obtain a highly selective deposition, a high film quality, and / or the absence of deposits on the reactor.

일부 실시예에서, 스테이션은 열적 ALD를 위해 구성된다. 일부 실시예에서, 스테이션은 PEALD를 위해 구성된다. 선택적으로, 원격 플라즈마 생성기에 의해 플라즈마가 생성될 수 있거나 그 자리에서 생성될 수 있다. In some embodiments, the station is configured for thermal ALD. In some embodiments, the station is configured for PEALD. Alternatively, the plasma may be generated or generated in situ by a remote plasma generator.

일부 실시예에서, 스테이션 내의 반응물은 샤워 헤드를 통해 전달된다. 선택적으로, 샤워 헤드는 과량의 반응물을 포획하고, 다른 반응물과의 CVD 반응에 참여할 가능성이 있는 반응물의 양을 최소화하기 위해 그 주변 둘레에 진공 배기 스캐빈저를 포함한다. 일부 실시예에서, 반응물은 스테이션 (및/또는 반응물 공급원 라인 및/또는 퍼지 라인) 내에 포함되지만, 스테이션 사이의 임의의 공간으로의 진입은 허용되지 않는다. In some embodiments, the reactants in the station are delivered through the showerhead. Optionally, the showerhead includes a vacuum evacuation scavenger around its periphery to capture excess reactants and minimize the amount of reactants that are likely to participate in a CVD reaction with other reactants. In some embodiments, the reactants are contained within the station (and / or the reactant source line and / or purge line), but no entry into any space between the stations is allowed.

일부 인덱싱된 다중-스테이션 공정(예컨대, 기판이 다중 스테이션 사이에서 이동되는 공정)에 대해, 가장 느린 공정 시간을 갖는 스테이션은 속도-제한적임을 유의한다. 즉, 제1 스테이션이 증착 및 퍼지를 위해 3초를 필요로 하는 경우, 다른 스테이션이 반응물을 제공하고 퍼지하기 위해 3초 미만을 요구하더라도, 3초마다 하나 이하의 기판이 스테이션을 통해 순환될 수 있다. 이는 기판에 대한 보다 짧은 노출 시간을 요구하는 스테이션에서 반응물이 지속적으로 공급되는 경우 공정이 느려지고/느려지거나 반응물을 낭비할 수 있다. 일부 실시예에서, 반응물은 각각의 스테이션에 지속적으로 공급되는 것이 아니라, 오히려 각 스테이션에서의 노출 시간은 그 스테이션에서 발생하는 특정 반응에 기초하여 선택된다. 따라서, 제1 스테이션에서의 제1 반응물이 제2 스테이션에서의 제2 반응물보다 짧은 노출 시간을 요구하는 경우, 제1 반응물의 흐름은 제1 반응물에 대한 충분한 증착 시간 후에 제1 스테이션에서 차단될 수 있으며, 심지어 제2 반응물은 제2 스테이션에 여전히 공급된다. 선택적으로, 과량의 반응물이 회수된다. 예컨대, 반응물 #1이 스테이션 #1에서 기판과 1초 동안 접촉하고, 반응물 #2가 스테이션 #2에서 3초 동안 기판과 접촉하고, 기판이 스테이션 #1에서 반응물 #1과 1초 동안 접촉한 후에, 진공은 스테이션 #2에서 지속적으로 접촉하는 동안 과량의 반응물 #1을 회수할 수 있다. 반응물 #1은 연속적으로 흐를 수 있거나, 반응물 #1의 흐름은 접촉 후 차단될 수 있음을 유의한다. 선택적으로, 반응물은 샤워 헤드 또는 샤워 헤드형 분배기를 통해 제공되고, 이는 그 둘레 주위에 진공을 추가로 포함한다. 반응물이 증착되기에 충분한 시간 후에, 진공은 임의의 과량의 반응물을 회수한다. 선택적으로 샤워 헤드 또는 샤워 헤드형 분배기가 기판의 중앙에서 가장자리로 반응물이 흐르도록 구성될 수 있다. 이러한 반응물 흐름의 배열은 횡단 유동 디자인의 특징일 수 있는 가장자리 효과를 최소화하거나 제거할 수 있는 것으로 생각된다. Note that for some indexed multi-station processes (e.g., a process in which a substrate is moved between multiple stations), stations with the slowest process times are rate-limited. That is, if the first station requires three seconds for deposition and purging, no more than one substrate may be cycled through the station every three seconds, although other stations may require less than three seconds to provide and purge reactants have. This may slow down / slow down or waste reactants if the reactants are continuously supplied at a station requiring shorter exposure times to the substrate. In some embodiments, the reactants are not continuously supplied to each station, but rather the exposure time at each station is selected based on the particular reaction occurring at that station. Thus, if the first reactant in the first station requires a shorter exposure time than the second reactant in the second station, the flow of the first reactant can be blocked in the first station after a sufficient deposition time for the first reactant , And even the second reactant is still supplied to the second station. Optionally, excess reactant is recovered. For example, if reactant # 1 is in contact with the substrate for one second in station # 1, reactant # 2 is in contact with the substrate in station # 2 for three seconds, and substrate is in contact with reactant # 1 for one second in station # , The vacuum can recover excess reactant # 1 during continuous contact at station # 2. Note that Reactant # 1 can flow continuously, or the flow of Reactant # 1 can be blocked after contact. Optionally, the reactants are provided through a showerhead or showerhead dispenser, which further includes a vacuum around its perimeter. After a sufficient time for the reactants to deposit, the vacuum recovers any excess reactants. Optionally, a showerhead or showerhead type dispenser may be configured to allow reactants to flow from the center to the edge of the substrate. The arrangement of these reactant streams is believed to be capable of minimizing or eliminating the edge effect which may be characteristic of the transverse flow design.

본원의 일부 실시예에 따르면, 2개 이상의 스테이션 사이에서 기판이 셔플링되며, 2개의 스테이션은 동일한 반응물을 제공하지 않는다. 예컨대, 제1 스테이션은 기판의 제1 노출된 표면 (흡착이 없거나 실질적으로 일어나지 않는 기판의 제2의 상이한 노출된 표면에 대해) 상에 선택적으로 흡착된 제1 반응물을 제공하여 제1 노출된 표면 상에 하나의 단일층만을 형성할 수 있으며, 제2 스테이션은 제1 반응물과는 상이한 제2 반응물을 제공할 수 있고 흡착된 제1 반응물과 반응하여 제2 반응물의 하나의 단일층만이 기판의 제1 노출된 표면 위로 흡착된다 (그러나, 기판의 제2의 상이한 노출된 표면과는 반응하지 않음). 기판은 목적하는 두께의 막이 형성될 때까지 제1 스테이션과 제2 스테이션 사이에서 반복적으로 앞뒤로 셔플링될 수 있다. 일부 실시예에서, 기판은 스테이션 사이를 지속적으로 이동한다. 그러나, 연속적인 이동이 상이한 반응물의 혼합을 야기할 수 있고 (예컨대, 기판 홀더가 스테이션 1과 스테이션 2 사이를 연속적으로 이동하는 경우, 스테이션 1의 일부 반응물이 기판 홀더와 결합된 채로 남아있을 수 있고 스테이션 2를 따라 “드래그”될 수 있음), 이는 상이한 반응물 사이에 바람직하지 않은 CVD 반응을 야기할 수 있다. 다른 한편으로, 기판이 스테이션과 스테이션 사이의 빠른 이동, 예컨대 인덱싱에 있는 동시에 정지 (pause) 또는 거의 정지 (pause)와 관련된 중지-시작 동작은 기판이 스테이션 외부에 있는 시간을 최소화할 수 있고/있거나 (따라서 다른 스테이션으로부터 탈출한 반응물에 대한 잠재적인 노출을 최소화할 수 있음), 기판이 스테이션을 탈출하기 전에 주어진 스테이션을 퍼지하는 것을 용이하게 할 수 있다. 따라서, 일부 실시예에서, 스테이션간의 기판의 이동은 연속적이지 않고, 오히려 중지-시작, 또는 교대하는 느림-빠름 동작과 같은 인덱싱 동작을 포함한다. According to some embodiments herein, the substrate is shuffled between two or more stations, and the two stations do not provide the same reactants. For example, the first station may provide a first reactant selectively adsorbed on a first exposed surface of the substrate (for a second, different exposed surface of the substrate that is not adsorbed or substantially does not) to form a first exposed surface And the second station may provide a second reactant different from the first reactant and react with the adsorbed first reactant so that only a single layer of the second reactant reacts with the substrate 1 adsorbed onto the exposed surface (but not with the second different exposed surface of the substrate). The substrate can be repeatedly shuffled back and forth between the first station and the second station until a film of the desired thickness is formed. In some embodiments, the substrate moves continuously between stations. However, continuous movement can result in mixing of different reactants (e.g., when the substrate holder is continuously moving between station 1 and station 2, some of the reactants in station 1 may remain coupled with the substrate holder Can be " dragged " along station 2), which can lead to undesirable CVD reactions between different reactants. On the other hand, a pause-start operation associated with a fast movement of the substrate between the station and the station, such as pause or near pause in the indexing, may minimize the time the substrate is outside the station and / (Thus minimizing potential exposure to reactants escaping from other stations), which may facilitate purging of a given station before the substrate escapes the station. Thus, in some embodiments, the movement of the substrate between the stations is not continuous, but rather involves an indexing operation, such as a pause-start or an alternating slow-fast operation.

본원의 일부 실시예에 따라 스테이션에서 스테이션으로 기판을 이동시키기 위한 접근법 및 상응하는 공정 단계의 예가 도 3 내지 6에 개략적으로 도시되어 있으며 아래 보다 상세하게 기술되어 있다. An example of an approach and corresponding process steps for moving a substrate from station to station in accordance with some embodiments of the present disclosure is schematically illustrated in Figures 3-6 and described in more detail below.

일부 실시예에서, 기판은 1000 밀리초 (msec) 미만, 예컨대 임의의 2개의 나열된 값 사이의 범위, 예컨대 10 내지 1000 msec, 10 내지 500 msec, 10 내지 400 msec, 10 내지 300 msec, 10 내지 200 msec, 10 내지 100 msec, 30 내지 1000 msec, 30 내지 500 msec, 30 내지 400 msec, 30 내지 300 msec, 30 내지 200 msec, 30 내지 100 msec, 50 내지 1000 msec, 50 내지 500 msec, 50 내지 400 msec, 50 내지 300 msec, 50 내지 200 msec, 50 내지 100 msec, 100 내지 1000 msec, 100 내지 500 msec, 100 내지 400 sec, 100 내지 300 msec 또는 100 내지 200 msec를 포함하여, 1000 msec, 900, 800, 700, 600, 500, 400, 300, 200, 175, 150, 125, 100, 75, 50, 25, 10, 또는 5 msec 미만으로 공정 시퀀스에서 하나의 스테이션에서 다음 스테이션으로 이동된다 (예컨대, 제1 스테이션과 제2 스테이션 사이의 이동 시간, 그리고 스테이션에서의 시간을 반드시 포함하지는 않음). 선택적으로, 기판은 기체 베어링 또는 기체 커튼이 아닌, 벽과 같은 고체 재료에 의해 분리된 2개 이상의 스테이션 사이에서 셔플링될 수 있다. 선택적으로, 기판은 직선 경로가 아닌 환형 경로 또는 호를 따라 스테이션 사이에서 셔플링된다. 선택적으로, 기판은 호 또는 환형 경로가 아닌 직선 경로를 따라 스테이션 사이에서 셔플링된다. 본원의 일부 실시예에 따라 임의의 추가 위치를 통과하지 않고 스테이션에서 스테이션으로 기판이 이동되는 것이 처리 시간을 최소화 함으로써 처리량을 증가시킬 수 있는 것으로 또한 생각된다. 선택적으로, 기판은 추가 위치를 통과하지 않고 제1 스테이션에서 제2 스테이션으로 직접 이동된다. In some embodiments, the substrate has a length of less than 1000 milliseconds, e.g., between any two listed values, such as 10 to 1000 msec, 10 to 500 msec, 10 to 400 msec, 10 to 300 msec, 10 to 200 10 to 100 msec, 30 to 1000 msec, 30 to 500 msec, 30 to 400 msec, 30 to 300 msec, 30 to 200 msec, 30 to 100 msec, 50 to 1000 msec, 50 to 500 msec, 50 to 400 900 ms, 1000 msec, 1000 msec, 100 msec, 100 msec, 100 msec, 50 to 300 msec, 50 to 200 msec, 50 to 100 msec, 100 to 1000 msec, 100 to 500 msec, 100 to 400 sec, (E.g., from one station to the next station in the process sequence at less than 800, 700, 600, 500, 400, 300, 200, 175, 150, 125, 100, 75, 50, 25, 10, Movement time between the first station and the second station, and time at the station). Optionally, the substrate may be shuffled between two or more stations separated by a solid material, such as a wall, rather than a gas bearing or gas curtain. Optionally, the substrate is shunted between stations along an annular path or arc rather than a straight path. Optionally, the substrate is shuffled between stations along a straight path, rather than a call or annulus path. It is also contemplated that moving the substrate from station to station without passing through any additional locations in accordance with some embodiments herein may increase throughput by minimizing processing time. Optionally, the substrate is moved directly from the first station to the second station without passing through the additional location.

본원의 일부 실시예에 따르면, 스테이션에서 스테이션을 통과하는 물리적 구조를 최소화하는 것은 상이한 스테이션 사이에 기체 격리를 용이하게 할 수 있는 것으로 생각된다. 예컨대, 스테이션 사이에서 서셉터를 이동시키는 것보다 각 스테이션 내에 서셉터를 제공하는 것이 서셉터를 따라 트레일링하는 잔여 반응물을 최소화할 수 있고, 추가로 서셉터 자체상의 CVD 유형 증착을 최소화할 수 있다. 예컨대, 반응물이 존재하지 않는 스테이션 내로 기판을 이동시키는 것만으로 서셉터 자체 상에 증착된 바람직하지 않은 CVD 유형을 최소화할 수 있다. 일부 실시예에서, 기판은 스테이션에서 스테이션으로 이동되고, 각 스테이션에서 고정형 서셉터 상에 배치된다. 이와 같이, 기판은 스테이션 사이를 이동하는 어떠한 서셉터 상에도 배치되지 않는다. 일부 실시예에서, 서셉터는 스테이션에서 스테이션으로 이동하지 않는다. 예컨대, 회전하는 플레이트 웨이퍼 홀더 (예컨대, “레이지 수잔 (lazy Susan)”형태)는 잔여 반응물을 스테이션에서 스테이션으로 “트레일링”을 가져올 가능성이 있다. 또한, 복수의 플레이트를 보유하고/하거나, 웨이퍼를 스테이션에서 스테이션으로 이송하기 위해 플레이트를 회전시키고/시키거나, 웨이퍼가 플레이트 상에 지지된 채로 웨이퍼를 반응물에 노출시키기 위한 종래의 “플레이트”웨이퍼 홀더는 웨이퍼에 인접한 표면이 스테이션에서 스테이션으로 이동한다는 단점이 있다. 이와 같이, 증착 (ALD 및/또는 CVD)은 플레이트의 표면 상에서 발생할 수 있으며 이는 바람직하지 않다. 따라서, 일부 실시예에서, 기판은 회전하는 웨이퍼 홀더 상에 배치되지 않는다. 일부 실시예에서, ALD 반응기는 회전하는 웨이퍼 홀더를 포함하지 않는다. 일부 실시예에서, 기판은 고정 기판 홀더 상에만 배치된다. 일부 실시예에서, 각 스테이션은 스테이션 내에 포함되고 스테이션의 외부로 이동하지 않는 적어도 하나의 웨이퍼 홀더를 포함한다. 일부 실시예에서, 이송 부재는 스테이션 내의 서셉터 또는 스테이션 내의 웨이퍼 홀더 상에 기판을 배치한다. 일부 실시예에서, 반응기의 표면은 하나 이상의 반응물에 노출되지 않는다. 이와 같이, 일부 실시예에서, 표면은 하나 이상의 반응물에 실질적으로 접촉되지 않는다. It is contemplated, according to some embodiments herein, that minimizing the physical structure through the station at the station can facilitate gas isolation between different stations. For example, rather than moving the susceptor between stations, providing the susceptor within each station can minimize residual reactants trailing along the susceptor and further minimize CVD type deposition on the susceptor itself . For example, undesirable CVD types deposited on the susceptor itself can be minimized by simply moving the substrate into a station where no reactants are present. In some embodiments, the substrate is moved from station to station and placed on stationary susceptors at each station. As such, the substrate is not disposed on any susceptor moving between stations. In some embodiments, the susceptor does not move from station to station. For example, a rotating plate wafer holder (e.g., in the form of a " lazy Susan ") is likely to bring the remaining reactant "trailing" from the station to the station. Further, a conventional " plate " wafer holder for holding and / or rotating the plate to transfer the wafer from the station to the station, or to expose the wafer to the reactant while the wafer is supported on the plate, Has the disadvantage that the surface adjacent to the wafer moves from station to station. As such, deposition (ALD and / or CVD) may occur on the surface of the plate, which is undesirable. Thus, in some embodiments, the substrate is not placed on the rotating wafer holder. In some embodiments, the ALD reactor does not include a rotating wafer holder. In some embodiments, the substrate is disposed only on the fixed substrate holder. In some embodiments, each station includes at least one wafer holder contained within the station and not moving out of the station. In some embodiments, the transfer member places the substrate on a susceptor in the station or on a wafer holder in the station. In some embodiments, the surface of the reactor is not exposed to one or more reactants. As such, in some embodiments, the surface is not substantially in contact with one or more reactants.

바람직하게는, 이송 부재에 의해 스테이션 내의 서셉터 상에 기판이 배치된 후에, 이송 부재는 스테이션으로부터 후퇴하여 이송 부재는 어떠한 반응물과도 접촉하지 않는다. Preferably, after the substrate is placed on the susceptor in the station by the transfer member, the transfer member retracts from the station such that the transfer member does not contact any reactants.

본원의 일부 실시예에 따르면, 웨이퍼 표면은 2개 이상의 반응물과 반복적으로 순차적으로 접촉되는 유일한 표면이다 (즉, 다른 표면, 예컨대 서셉터, 이송 부재, 챔버 표면, 기체 공급 도관 및/또는 배출 도관은 2개 이상의 상이한 반응물과 접촉되지 않음). 본원의 다양한 실시예에 따라, 상이한 반응물과의 접촉은 상이한 스테이션에서 발생할 수 있다. 따라서, 스테이션의 내부 공간 및 스테이션 내부에 존재하는 임의의 다른 반응기 부분과 직접 연통하는, 벽 표면, 서셉터 표면, 기체 도관 및 배출 도관 표면을 포함하는, 스테이션의 모든 내부 표면은 실질적으로 하나 이하의 반응물과 접촉된다. According to some embodiments herein, the wafer surface is the only surface that is in repeated, sequential contact with two or more reactants (i.e., other surfaces such as susceptors, transfer members, chamber surfaces, gas supply conduits, and / But not in contact with two or more different reactants). According to various embodiments herein, contact with different reactants may occur at different stations. Thus, all internal surfaces of the station, including wall surfaces, susceptor surfaces, gas conduits, and discharge conduit surfaces, which communicate directly with the interior space of the station and any other reactor portion present within the station, Lt; / RTI >

스테이션의 내부 표면은 반응물 기체 외에 하나 이상의 불활성 기체 (예컨대, 캐리어 기체 및/또는 퍼지 기체)와 접촉될 수 있음을 유의한다. 웨이퍼를 하나의 스테이션에서 또 다른 스테이션으로 이송시키고, 하나의 스테이션에서 또 다른 스테이션으로 이동시키기 위한 임의의 웨이퍼 이송 부재는 웨이퍼가 반응물과 접촉하는 동안 스테이션 내에 존재하지 않을 것이며, 따라서, 반응물과 접촉되지 않을 것이다. It is noted that the inner surface of the station may be in contact with one or more inert gases (e.g., carrier gas and / or purge gas) other than the reactant gas. Any wafer transfer member for transferring wafers from one station to another station and for transferring from one station to another station will not be present in the station while the wafer is in contact with the reactants and thus will not be in contact with the reactants I will not.

선택적으로, 기판은 각 스테이션 내의 반응물에 노출되는 동안 고정된 채로 유지될 수 있다. 일부 실시예에서, 기판은 회전 웨이퍼 지지체 시스템을 통해 2개 이상의 스테이션 사이에서 이동된다. 기판은, 스테이션 사이에서 기판이 이동될 수 있도록 회전될 수 있는 웨이퍼 지지체, 예컨대 패들 상에 배치될 수 있다. 선택적으로, 기판이 스테이션 내의 반응물과 접촉된 후에, 기판을 후속 스테이션에 대해 회전시키기 전에 회전 웨이퍼 지지체에 퍼지가 적용된다. 일부 실시예에서, 기판은 스파이더, 예컨대 본원에 기술된 스파이더를 통해 2개 이상의 스테이션 사이에서 이동된다. 일부 실시예에서, 기판은 하나의 스테이션에서 다른 곳으로 엔드 이펙터 상에서 이송된다. Optionally, the substrate may remain stationary while exposed to reactants within each station. In some embodiments, the substrate is moved between two or more stations through a rotating wafer support system. The substrate can be placed on a wafer support, e.g., paddle, that can be rotated to allow movement of the substrate between the stations. Optionally, after the substrate contacts the reactants in the station, purging is applied to the rotating wafer support before rotating the substrate relative to the subsequent station. In some embodiments, the substrate is moved between two or more stations via a spider, such as the spider described herein. In some embodiments, the substrate is transported on an end effector from one station to another.

2개의 상이한 스테이션이 2개의 상이한 반응물을 포함하는 경우, 상이한 반응 조건, 예컨대 상이한 압력 및/또는 온도가 상이한 스테이션 내에 유지될 수 있음을 유의한다. 예컨대, 제1 스테이션은 제1 스테이션의 제1 반응물에 대해 최적화된 제1 온도 및 압력일 수 있고, 제2 스테이션은 제2 스테이션의 제2 반응물에 대해 최적화된 제2 온도 및 압력일 수 있다. 이와 같이, 일부 실시예에서, 제1 스테이션은 제2 스테이션과 상이한 온도에 있다. 일부 실시예에서, 제1 스테이션은 제2 스테이션과 상이한 압력에 있다. 일부 실시예에서, 제1 스테이션은 제2 스테이션과 상이한 온도 및 압력에 있다. 일부 실시예에서, 제1 스테이션은 제2 스테이션과 상이한 온도에 있지만, 2개의 스테이션은 동일한 압력에 있다. 일부 실시예에서, 제1 스테이션은 제2 스테이션과 동일한 온도에 있지만, 2개의 스테이션은 상이한 압력에 있다. It is noted that when two different stations comprise two different reactants, different reaction conditions, such as different pressures and / or temperatures, may be maintained in different stations. For example, the first station may be the first temperature and pressure optimized for the first reactant of the first station, and the second station may be the second temperature and pressure optimized for the second reactant of the second station. As such, in some embodiments, the first station is at a different temperature than the second station. In some embodiments, the first station is at a different pressure than the second station. In some embodiments, the first station is at a different temperature and pressure than the second station. In some embodiments, the first station is at a different temperature than the second station, but the two stations are at the same pressure. In some embodiments, the first station is at the same temperature as the second station, but the two stations are at different pressures.

선택적으로, 스테이션은 추가로 퍼지 기체 공급원 및/또는 진공과 기체 연통상태이므로, 스테이션은 퍼지될 수 있다. 예컨대, 본원의 일부 실시예에 따르면, 기판이 제1 스테이션에서 반응물과 접촉된 후에(그러나, 기판이 제2 스테이션으로 이동되기 전에), 기판이 제1 스테이션 내에 남아있는 동안 스테이션은 퍼지될 수 있으므로 남아있는 반응물이 웨이퍼와 함께 제2 스테이션으로 이송될 가능성을 최소화 하거나 제거할 수 있다. 다음 스테이션으로 이동될 때 기판 상에 트레일링되는 반응물이 다음 스테이션에서 다른 반응물과 바람직하지 않은 CVD 유형 반응을 초래할 수 있고, 따라서, 본원의 일부 실시예에 따라, 퍼지는 상이한 반응물 사이의 분리를 용이하게 하고, 이는 이러한 바람직하지 않는 CVD 유형 반응을 최소화한다. Optionally, the station is further in gas communication with the purge gas source and / or vacuum so that the station can be purged. For example, according to some embodiments herein, the station may be purged while the substrate remains in the first station after the substrate is contacted with the reactants at the first station (but before the substrate is moved to the second station) It is possible to minimize or eliminate the possibility that the remaining reactant is transferred to the second station together with the wafer. The reactants trailing on the substrate when moved to the next station can result in undesirable CVD-type reactions with other reactants in the next station, thus facilitating separation between the different reactants that diffuse, according to some embodiments herein , Which minimizes this undesirable CVD-type reaction.

선택적으로, “퍼지 위치”는 퍼지 기체 및/또는 진공과 기체 연통하는 상태일 수 있지만, 기판에 반응물을 공급하지는 않는다. 제1 스테이션 내의 제1 반응물과 접촉한 후, 기판이 퍼지 위치에 배치될 수 있음이 고려된다. 기판이 퍼지 위치에 있는 동안 퍼지가 수행되어 기판으로부터 임의의 남아있는 제1 반응물을 제거할 수 있다. 퍼지 후에, 기판은, 기판에 제2 반응물을 제공하는 제2 스테이션 내에 배치될 수 있다. 선택적으로, 퍼지 위치는 반응물을 제공하는 각각의 스테이션과 기체 격리된 상태이다. 퍼지 위치는 반응 스테이션 자체를 퍼지하는 것과 호환될 수 있음을 유의한다. 예컨대, 기판이 스테이션 내의 반응물과 접촉한 후에 (그리고 기판이 스테이션 내부에 여전히 있는 동안), 퍼지 기체가 스테이션으로 공급되어 스테이션이 퍼지될 수 있고, 기판은 이어서 추가의 퍼지를 위해 퍼지 위치에 배치될 수 있다. 예컨대, 기판이 스테이션 내의 반응물과 접촉한 후에 (그리고 기판이 스테이션 내부에 여전히 있는 동안), 기판은 추가의 퍼지를 위해 퍼지 위치에 배치될 수 있고, 스테이션 자체는 기판이 퍼지 위치에서 퍼지되는 동안 퍼지될 수 있다 (스테이션의 퍼지는 기판이 제거되기 전에, 제거되는 동안, 또는 제거된 후에 시작될 수 있다). 일부 실시예에서, 중간 공간 (스테이션의 외측)은 퍼지 위치를 포함하거나, 중간 공간은 퍼지 위치로 구성되어 있거나 필수적으로 구성되어 있다. Optionally, the " purge position " may be in gas communication with the purge gas and / or vacuum, but does not supply reactants to the substrate. It is contemplated that after contacting the first reactant in the first station, the substrate may be placed in the purge position. Purge can be performed while the substrate is in the purge position to remove any remaining first reactant from the substrate. After purging, the substrate can be placed in a second station that provides a second reactant to the substrate. Optionally, the purge location is gas isolated from each station providing the reactants. Note that the purge position may be compatible with purging the reaction station itself. For example, after the substrate contacts the reactants in the station (and while the substrate is still inside the station), the purge gas may be supplied to the station to allow the station to be purged, and the substrate is then placed in the purge position for further purge . For example, after the substrate contacts the reactants in the station (and while the substrate is still inside the station), the substrate may be placed in the purge position for further purging and the station itself may be placed in the purge (The spreading of the station can begin before, during, or after the substrate is removed). In some embodiments, the intermediate space (outside of the station) comprises a purge location, or the intermediate space is configured or essentially configured with a purge location.

일부 ALD 공정에서, 반응물 조건의 일부 세트 (예컨대, 온도, 압력, 반응물의 양) 하의 일부 반응물은 챔버 또는 스테이션으로부터 반응물이 퍼지되는 것을 어렵게할 수 있다. 본원의 일부 실시예에 따른 방법 및 장치는 반응물 및 조건을 “퍼지하는데 어렵게 한다”라고 언급될 수 있는 것으로 생각된다. 예컨대, 특정 반응 조건 세트 하의 특정 반응물이 특정 스테이션에서 퍼지되기 어려운 경우, 기판은 스테이션으로부터 제거될 수 있는 동안 스테이션은 또 다른 기판이 스테이션 내에 배치되기 전에 퍼지되는 것이 지속될 수 있다. 선택적으로, 기판은 임의의 잔여 트레일링 반응물을 제거하기 위해 퍼지 스테이션으로 이동될 수 있는 반면, “퍼지되기 어려운”반응물은 그 스테이션으로부터 계속해서 퍼지된다. In some ALD processes, some reactants under some set of reactant conditions (e.g., temperature, pressure, amount of reactants) can make it difficult for the reactants to purged from the chamber or station. It is contemplated that the method and apparatus according to some embodiments herein may be referred to as " making it difficult to purge " the reactants and conditions. For example, if a particular reactant under a particular set of reaction conditions is difficult to purge at a particular station, the station can continue to be purged before another substrate is placed in the station while the substrate can be removed from the station. Optionally, the substrate may be moved to the purge station to remove any residual trailing reactants, while the " hard to purge " reactant is continuously purged from the station.

서로 반응하는 2개의 반응물이 모두 동일한 퍼지 위치 또는 퍼지 라인에 존재하는 경우, 반응물은 퍼지 위치 및/또는 퍼지 라인 상에 바람직하지 않은 CVD 증착을 남길 수 있는 것으로 생각된다. 따라서, 일부 실시예에서, 상이한 스테이션은 상이한 퍼지 라인과 기체 연통하는 상태에 있으므로, 제1 반응물은 퍼지 라인 내의 제2 반응물과 접촉되지 않는다. 예컨대, 제1 반응물을 제공하는 스테이션은 제1 퍼지 라인과 기체 연통하는 상태에 있을 수 있고, 제2 반응물을 제공하는 스테이션은 제1 퍼지 라인과 상이한 제2 퍼지 라인과 기체 연통하는 상태이 있을 수 있다. 따라서, 일부 실시예에서, 상이한 퍼지 위치는 상이한 반응물을 퍼지하는 것과 관련되어 있다. 예컨대, 제1 퍼지 위치는 제1 반응물을 제공하는 제1 스테이션으로부터 하류 (공정 흐름에서)에 위치될 수 있고, 제2 퍼지 위치는 제2 반응물을 제공하는 제2 스테이션으로부터 하류 (공정 흐름에서)에 위치될 수 있으므로, 제1 반응물 및 제2 반응물은 동일한 퍼지 위치에서 퍼지되지 않는다. It is believed that if the two reacting reactants are all in the same purge location or purge line, the reactants can leave undesirable CVD deposition on the purge location and / or purge line. Thus, in some embodiments, the different stations are in gas communication with different purge lines, so that the first reactant is not in contact with the second reactant in the purge line. For example, the station providing the first reactant may be in gas communication with the first purge line, and the station providing the second reactant may be in gas communication with the second purge line different from the first purge line . Thus, in some embodiments, different purge locations are associated with purifying different reactants. For example, the first purge location may be located downstream (in the process stream) from the first station providing the first reactant and the second purge location may be located downstream (in the process stream) from the second station providing the second reactant. The first reactant and the second reactant are not purged at the same purge location.

선택적으로, 예컨대 이중 선택적인 ALD (예컨대, 2015년 4월 15일에 출원된 미국특허출원 제14/687833호에 기술되어 있음, 이는 그 전체가 본원에 참조로써 포함되어 있음)와 관련하여, 제3 스테이션은 기판의 제2 노출된 표면 상에 선택적으로 흡착되어 제1 노출된 표면에 대해 단일층만 (또는 제1 노출된 표면 상에 층착된 막) 형성하는 제3 반응물 (제1 및 제2 반응물과 상이함)을 추가로 제공한다. 또한, 제4 스테이션은 제2 표면 상에 흡착된 제3 반응물과 반응는 제4 반응물 (제3 반응물과 상이함)을 추가로 제공하므로 제4 반응물의 단일층만이 제2 표면 상에 흡착된다. 제1, 제2, 제3, 및 제4 스테이션 각각은 연속적으로, 또는 일시적으로 (예컨대 기판이 각각의 스테이션 내부에 배치될 때와 같이) 서로 기체 격리된 상태일 수 있다. Optionally, in conjunction with, for example, dual selective ALD (described, for example, in U.S. Patent Application No. 14/687833, filed April 15, 2015, which is incorporated herein by reference in its entirety) The three stations are selectively adsorbed on the second exposed surface of the substrate to form a third reactant (first and second) that forms only a single layer (or a film deposited on the first exposed surface) Which is different from the reactants. In addition, the fourth station additionally provides a fourth reactant (different from the third reactant) with the third reactant adsorbed on the second surface so that only a single layer of the fourth reactant is adsorbed on the second surface. Each of the first, second, third, and fourth stations may be in a state of gas isolation from each other, either continuously or temporally (e.g., as when the substrates are disposed within respective stations).

선택적으로, 본원의 일부 실시예에 따른 하나 이상의 스테이션은 그 위에 기판이 배치될 수 있는 서셉터를 포함한다. 서셉터는 가열될 수 있으므로, 기판을 적절한 온도로 가열할 수 있도록 구성될 수 있다. 상이한 반응물이 상이한 온도에서 반응될 수 있음을 유의한다. 따라서, 일부 실시예에서, 서셉터는 상이한 지속기간 동안 기판을 가열하여 기판이 적절한 온도에 도달할 수 있도록 할 수 있다. Optionally, at least one station according to some embodiments herein comprises a susceptor onto which a substrate may be placed. The susceptor can be heated so that it can be configured to heat the substrate to a suitable temperature. It is noted that different reactants may be reacted at different temperatures. Thus, in some embodiments, the susceptor may heat the substrate for a different duration to allow the substrate to reach an appropriate temperature.

선택적으로, 서셉터는 기판보다 낮은 질량을 가질 수 있으므로, 서셉터는 기판보다 신속하게 가열될 수 있다. 선택적으로, 서셉터는 스테이션에서 스테이션으로 이동하지 않는다. Optionally, the susceptor can have a lower mass than the substrate, so that the susceptor can be heated faster than the substrate. Optionally, the susceptor does not move from station to station.

일부 실시예에서, ALD 반응기는 적어도 2개의 스테이션, 예컨대, 나열된 값의 임의의 2개 사이의 범위를 포함하여 적어도 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 30, 40, 50, 100, 150, 200, 250, 300, 400, 또는 500개의 스테이션을 포함한다. 본원의 일부 실시예에 따라 상이한 반응물 사이의 분리를 유지함으로써 바람직하지 않은 CVD 반응을 최소화하기 위해, 반응기가 기판의 적어도 2배의 스테이션을 갖는 것이 유용할 수 있는 것으로 생각된다. 예컨대, 반응기는 스테이션 당 0.5개 기판 이하의 비율, 예컨대, 나열된 값의 임의의 2개 사이의 범위를 포함하여 스테이션 당 0.5, 0.4, 0.3, 0.2, 0.1, 또는 0.05개 기판의 비율로 구성될 수 있다. In some embodiments, the ALD reactor comprises at least two stations, for example at least 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12 , 13, 14, 15, 16, 17, 18, 19, 20, 30, 40, 50, 100, 150, 200, 250, 300, 400, or 500 stations. It is contemplated that it may be useful for the reactor to have at least twice the station of the substrate in order to minimize undesirable CVD reactions by maintaining separation between the different reactants according to some embodiments herein. For example, the reactor may be configured at a ratio of less than 0.5 substrates per station, e.g., a ratio of 0.5, 0.4, 0.3, 0.2, 0.1, or 0.05 substrates per station, including a range between any two of the listed values have.

ALD 방법ALD method

본원의 일부 실시예에 따르면, 원자층 증착 (ALD)의 방법이 제공된다. 상기 방법은 노출된 표면을 갖는 기판을 제공하는 단계를 포함할 수 있다. 상기 방법은 제1 스테이션에서 전체의 기판을 제1 반응물과 접촉시켜 제1 반응물의 하나의 단일층만이 노출된 표면 상에 흡착되도록 하는 단계를 포함할 수 있다. 상기 방법은 기판을 제2 스테이션 내에 배치하는 단계, 및 제2 스테이션에서 전체의 기판을 제1 반응물이 사실상 없는 상태에서 제2 반응물과 접촉시켜 제2 반응물의 하나의 단일층만이 제1 반응물을 흡착시킨 노출된 표면 상에 흡착되도록 하는 단계를 포함할 수 있다. 선택적으로, 기판은 이송 시스템에 의해 제1 스테이션 및 제2 스테이션 내에 배치되며, 여기서 이송 시스템의 표면은 실질적으로 하나 이상의 반응물의 존재 하에 있지 않다. 선택적으로, 상기 방법은 노출된 표면 위에 목적하는 두께의 막이 증착될 때까지 반복된다. 선택적으로, 기판 자체 외에, 다른 표면은 제1 및 제2 반응물 모두와 접촉되지 않는다 (예컨대, 제1 및 제2 스테이션의 표면, 기체 공급 라인, 퍼지 라인, 서셉터, 및/또는 기판 이송 부재가 존재하는 경우, 제1 및 제2 반응물 모두와 접촉되지 않음). 선택적으로, ALD는 선택적인 ALD를 포함한다. 선택적으로, ALD는 이중 선택적인 ALD를 포함한다. 일부 실시예에서, 임의의 스테이션, 기판 이송 부재, 및/또는 퍼지 라인의 표면은 하나 이상의 반응물과 실질적으로 접촉되지 않는다. 이와 같이, 스테이션 내의 표면은 (존재하는 경우 기판 자체 외에) 하나 이상의 반응물과 실질적으로 접촉되지 않는다. According to some embodiments herein, a method of atomic layer deposition (ALD) is provided. The method may include providing a substrate having an exposed surface. The method may include contacting the entire substrate with a first reactant at a first station such that only a single layer of the first reactant is adsorbed on the exposed surface. The method comprising placing a substrate in a second station and contacting the entire substrate with a second reactant in the substantially absence of the first reactant so that only a single layer of the second reactant adsorbs the first reactant To be adsorbed on the exposed surface of the substrate. Optionally, the substrate is disposed within the first station and the second station by a transfer system, wherein the surface of the transfer system is substantially free of one or more reactants. Optionally, the method is repeated until a film of desired thickness is deposited over the exposed surface. Optionally, in addition to the substrate itself, the other surface is not in contact with both the first and second reactants (e.g., the surfaces of the first and second stations, the gas feed line, the purge line, the susceptor, and / If present, does not contact both the first and second reactants). Optionally, the ALD comprises an optional ALD. Optionally, the ALD comprises a bi-selective ALD. In some embodiments, the surface of any station, substrate transfer member, and / or purge line is not substantially in contact with one or more reactants. As such, the surface within the station (other than the substrate itself if present) is substantially out of contact with one or more reactants.

일부 실시예에서, 상기 방법은 선택적인 ALD를 포함한다. 상기 방법은 2개의 상이한 노출된 표면 (예컨대, 상이한 조성 및/또는 상이한 형태 또는 결정성)을 포함하는 기판을 제공하는 단계를 포함할 수 있다. 상기 방법은 제1 스테이션에서 전체의 기판을 제1 반응물과 접촉시켜 제1 반응물의 하나의 단일층만이 기판의 제2의 상이한 노출된 표면에 우선하여 제1 노출된 표면 상에 흡착되도록 하는 단계를 포함할 수 있다. 상기 방법은 기판을 제2 스테이션 내에 배치하는 단계, 및 제2 스테이션에서 전체의 기판을 제1 반응물이 사실상 없는 상태에서 제2 반응물과 접촉시켜 제2 반응물의 하나의 단일층만이 제1 반응물을 흡착시킨 제1 노출된 표면 상에 흡착되도록 하는 단계를 포함할 수 있다. 선택적으로, 상기 방법은 제1 노출된 표면 상에 (제2 노출된 표면에 대해) 목적하는 두께의 막이 선택적으로 증착될 때까지 반복된다. 상기 방법에 따르면, 제1 반응물의 흡착은 제2 노출된 표면 상에서 발생하지 않는다. 선택적으로, 상기 방법은 이중 선택적인 ALD를 포함한다. 선택적으로, 기판 자체의 표면 외에, 다른 표면은 제1 및 제2 반응물 모두와 접촉되지 않는다 (예컨대, 제1 및 제2 스테이션의 표면, 기체 공급 라인, 퍼지 라인, 서셉터, 및/또는 기판 이송 부재가 존재하는 경우, 제1 및 제2 반응물 모두와 접촉되지 않음). In some embodiments, the method comprises selective ALD. The method may include providing a substrate comprising two different exposed surfaces (e.g., different compositions and / or different shapes or crystallinity). The method comprises contacting the entire substrate with a first reactant at a first station such that only a single layer of the first reactant is adsorbed onto the first exposed surface in preference to a second different exposed surface of the substrate . The method comprising placing a substrate in a second station and contacting the entire substrate with a second reactant in the substantially absence of the first reactant so that only a single layer of the second reactant adsorbs the first reactant To be adsorbed on the first exposed surface of the substrate. Optionally, the method is repeated until a film of the desired thickness (on the second exposed surface) is selectively deposited on the first exposed surface. According to the method, adsorption of the first reactant does not occur on the second exposed surface. Optionally, the method comprises dual selective ALD. Optionally, in addition to the surface of the substrate itself, the other surface is not in contact with both the first and second reactants (e.g., the surface of the first and second stations, the gas supply line, the purge line, the susceptor, and / If the member is present, does not contact both the first and second reactants).

임의의 이론에 의해 제한되지 않고, CVD 반응은 예컨대 선택성을 감소시키거나 제거함으로써 ALD, 및 특히 선택적인 ALD 또는 이중 선택적인 ALD를 방해할 수 있는 것으로 생각된다. 추가로, 바람직하지 않은 CVD 반응은 증착된 막의 품질을 감소시키고/시키거나, 반응기 상에 바람직하지 않은 증착을 남길 수 있고, 추가적인 세척 공정을 필요로 하고/하거나 반응기를 손상시킬 수 있다. 본원의 일부 실시예에 따른 선택적인 ALD 공정은 CVD 반응을 최소화하고/하거나 제거함으로써, 고도로 선택적인 증착, 높은 막 품질을 제공하고, 또한 반응기 표면 상의 임의의 증착을 방지하고 반응기의 작동 수명을 연장시키는 것으로 생각된다. 따라서, 일부 실시예에서, 물리적 및 선택적으로 일시적인 분리가 ALD 반응물 사이에서 유지된다. 일부 실시예에서, 2개의 상이한 반응물이 ALD 증착 공정 동안 임의의 시간에서 동일한 위치에 존재하지 않는다. 예시로써, 기판은 상이한 스테이션으로 이동될 수 있으며, 이들 각각은 다른 스테이션과 기체 격리된 상태이고 기판에 상이한 반응물을 제공한다. 추가로, 잔여 반응물은 이것이 후속 스테이션 내에 배치되기 전에 기판으로부터 제거되어 후속 스테이션에 기판을 따르는 잔여 반응물을 포함하는 바람직하지 않은 CVD 반응물을 최소화 할 수 있다. Without being limited by any theory, it is believed that the CVD reaction can interfere with ALD, and in particular selective ALD or dual selective ALD, for example by reducing or eliminating selectivity. In addition, undesirable CVD reactions can reduce the quality of deposited films, leave undesirable deposits on the reactor, require additional cleaning processes, and / or damage the reactor. Selective ALD processes in accordance with some embodiments herein minimize and / or eliminate CVD reactions to provide highly selective deposition, high film quality, and also prevent any deposition on the reactor surface and extend the operating life of the reactor . Thus, in some embodiments, a physical and optionally temporary separation is maintained between the ALD reactants. In some embodiments, two different reactants are not present at the same location at any time during the ALD deposition process. By way of example, the substrate may be moved to a different station, each of which is gas isolated from the other station and provides a different reactant to the substrate. In addition, the residual reactant may be removed from the substrate before it is placed in the subsequent station to minimize undesirable CVD reactants, including residual reactants along the substrate to subsequent stations.

도 1a는 본원의 일부 실시예에 따른 ALD 방법을 나타내는 흐름도이다. 상기 방법은 제1 기판을 제공하는 단계(105)를 포함할 수 있다. 상기 방법은 (a) 제1 스테이션에 제1 기판을 배치하는 단계(115)를 포함할 수 있다. 제1 기판은 다수의 접근법, 예컨대 회전 기판 홀더 또는 스파이더와 같은 이송 부재를 포함하는 기판 이송 시스템에 의해 제1 스테이션 내에 배치될 수 있다. 선택적으로, 이송 부재는 기판을 스테이지 또는 서셉터 상에 배치하고, 제1 스테이션을 한정하는 하나 이상의 이동식 장벽은 기체 격리 상태로 제1 스테이션 내에 기판을 배치하도록 배치된다. 기판은 연장된 리프트 핀 상에 배치될 수 있으며, 이는 스테이지 또는 서셉터의 적절한 표면 상에 기판을 위치시키기 위해 하강될 수 있다. 선택적으로, 이송 부재는 중간 공간 내의 제1 기판 이송 기구 (예컨대, 이동식 스테이지) 상에 기판을 배치하고, 제1 기판 이송 기구는 기판을 제1 스테이션 내로 이동시킨다. 선택적으로, 각각의 기판 이송 기구는 중간 공간에서 기판 이송 기구로부터 기판을 연장시키고 들어올리거나, 적절한 표면 상에 기판을 배치하기 위해 후퇴하도록 구성된 복수의 리프트 핀을 포함한다. 리프팅된 기판은 스파이더와 같은 기판 이송 부재에 의해 용이하게 픽업되어 기판을 중간 공간 내의 상이한 기판 이송 기구로 이동시킬 수 있다. 선택적으로, 기판을 제1 스테이션 내의 스테이지 또는 서셉터 상에 배치한 후에, 또는 기판을 제1 기판 이송 기구 상에 배치한 후에, 기판 이송 부재는 중간 공간 내로 후퇴된다. 선택적으로, 제1 스테이션은, 예컨대 반응물이 제공되는 임의의 다른 스테이션 (예컨대, 본원에 기술된 제2 스테이션)과 기체 격리된 것과 같이, 기체 격리된 상태로 배치될 수 있다(125). 제1 스테이션은 기판이 제1 스테이션 내에 배치된 것과 동시에, 또는 그 후에 기체 격리된 상태로 배치될 수 있다. 대안적으로, 제1 스테이션은 기판이 제1 스테이션에 배치되는 시점에 기체 격리된 상태일 수 있다. 일부 실시예에서, 제1 스테이션은 제2 스테이션과 지속적으로 기체 격리된 상태이다. 상기 방법은 (b) 제2 반응물이 사실상 없고 제1 스테이션이 제2 스테이션과 기체 격리인 상태에 있는 동안 제1 스테이션 내의 제1 기판을 제1 반응물과 접촉시키는 단계를 포함할 수 있으며, 여기서, 제1 반응물은 기판의 표면과 반응하여 제1 반응물의 하나의 단일층만이 제1 기판의 표면 상에 흡착된다(135). 제1 기판이 제1 스테이션 내에 배치된 후에 제1 반응물은 제1 스테이션 내로 흘러들어갈 수 있거나, 제1 기판이 제1 스테이션 내에 배치될 때 제1 반응물은 이미 제1 스테이션 내에 존재할 수 있다. 선택적으로, 제1 반응물은 기판이 제1 스테이션 내에 배치되는 시점에 제1 스테이션에 존재하지 않는다. 선택적으로, 제1 스테이션에서 제1 반응물에 노출된 후에, 그리고 제2 스테이션 내에 배치되기 전에, 제1 기판은 제1 스테이션, 및/또는 제1 스테이션과 상이한 퍼지 위치 (예컨대, 중간 공간 내의 퍼지 위치)에서 퍼지되도록 노출될 수 있다. 상기 방법은 (c) 제1 기판을 제2 스테이션 내에 배치하는 단계(145)를 포함할 수 있다. 선택적으로, 제1 스테이션을 한정하는 하나 이상의 이동식 장벽은 중간 공간에 기판을 노출시키도록 이동된다. 리프트 핀은, 존재하는 경우, 이송 부재에 기판을 접근가능하게 할 수 있도록 연장될 수 있다. 이송 부재 (예컨대, 회전 기판 홀더 또는 스파이더)는 기판을 픽업하여 기판을 제2 스테이지 또는 서셉터 상에 배치할 수 있다. 기판은 연장된 리프트 핀 상에 배치될 수 있고, 이는 기판을 적절한 표면 상에 위치시키기 위해 후퇴할 수 있다. 제2 스테이션을 한정하는 하나 이상의 이동식 장벽은 기판을 기체 격리된 상태로 제2 스테이션 내에 배치하기 위해 이동될 수 있다. 선택적으로, 제2 스테이션 내에 제1 기판을 배치하는 단계는 제1 기판 이송 기구, 예컨대 이동식 스테이지를 통해 기판을 중간 공간으로 이동시킨 다음, 중간 공간 내에서, 중간 공간의 제2 기판 이송 기구 (예컨대, 제2 이동식 스테이지)로 기판을 이동시키는 단계를 포함하며, 이는 기판을 제2 스테이션에 배치할 수 있다. 선택적으로, 기판은, 기판 이송 부재 (예컨대, 스파이더 또는 회전 기판 홀더)를 통해 중간 공간 내의 제1 기판 이송 기구에서 중간 공간 내의 제2 기판 이송 기구로 이동될 수 있다. 선택적으로, 기판을 제2 스테이지 또는 서셉터 상에 배치한 후에, 또는 기판을 제2 기판 이송 기구 상에 배치한 후에, 기판 이송 부재는 중간 공간 내로 후퇴된다. 선택적으로, 제2 스테이션은 제1 스테이션과 기체 격리된 상태로 배치될 수 있고(155), 예컨대, 제2 스테이션은 반응물이 제공되는 임의의 다른 스테이션 (예컨대 제1 스테이션)과 기체 격리된 상태로 배치될 수 있다. 제2 스테이션은 기판이 제2 스테이션에 배치되는 것과 동시에, 또는 그 후에 기체 격리된 상태로 배치될 수 있다. 대안적으로, 제2 스테이션은 기판이 제1 스테이션에 배치되는 시점에 기체 격리된 상태일 수 있다. 일부 실시예에서, 제2 스테이션은 제2 스테이션과 지속적으로 기체 격리된 상태이다. 상기 방법은 (d) 제2 스테이션 내의 제1 기판을 사실상 제1 반응물이 없고 제2 스테이션이 제1 스테이션과 기체 격리인 상태 동안 제2 반응물과 접촉시키는 단계를 포함할 수 있으며, 여기서 제2 반응물은 제1 반응물과 상이하고, 제1 반응물의 하나의 단일층과만 반응하여, 제2 반응물의 하나의 단일층만이 제1 기판의 표면 상에 흡착된다(165). 제1 기판이 제2 스테이션 내에 배치된 후에 제2 반응물이 제2 스테이션 내로 흘러들어갈 수 있거나, 제1 기판이 제1 스테이션 내에 배치될 때 제2 반응물이 제2 스테이션 내에 이미 존재할 수 있다. 선택적으로, 제2 반응물은 기판이 제1 스테이션에 배치되는 시점에 제2 스테이션에 존재하지 않는다. 선택적으로, 제2 스테이션 내의 제2 반응물에 노출된 후, 및 또 다른 스테이션(예컨대, 제1 스테이션, 또는 제3 스테이션) 내에 배치되기 전에, 제1 기판은, 제2 스테이션, 및/또는 제2 스테이션과 상이한 퍼지 위치 (예컨대 중간 공간 내의 퍼지 위치)에서 퍼지되도록 노출될 수 있다. 상기 방법은, 원하는 두께의 막이 제1 기판의 표면 상에 증착될 때까지 단계 (a) 내지 (d)를 반복하는 단계를 포함할 수 있으며, 여기서, 단계 (b)는 사실상 제2 반응물이 없다(175). 선택적으로, 기판 자체의 표면 외에, 다른 표면은 제1 및 제2 반응물 모두와 접촉되지 않는다 (예컨대, 제1 및 제2 스테이션의 표면, 기체 공급 라인, 퍼지 라인, 서셉터, 및/또는 기판 이송 부재가 존재하는 경우, 제1 및 제2 반응물 모두와 접촉되지 않음) (185). 당업자는 본원에 나열된 단계들이 일부 실시예에 따라 상이한 순서로 수행되거나, 제거되거나, 중복될 수 있다는 것을 이해할 것이다. IA is a flow diagram illustrating an ALD method in accordance with some embodiments of the present disclosure. The method may include providing a first substrate ( 105 ). The method may include (a) placing a first substrate at a first station ( 115 ). The first substrate can be placed in the first station by a number of approaches, such as a substrate transfer system comprising a transfer member such as a rotating substrate holder or a spider. Optionally, the transfer member is arranged to position the substrate on a stage or susceptor, and the one or more removable barriers defining the first station are arranged to position the substrate within the first station in a gas isolated state. The substrate may be placed on an extended lift pin, which may be lowered to position the substrate on a suitable surface of the stage or susceptor. Optionally, the transfer member disposes the substrate on a first substrate transfer mechanism (e.g., a movable stage) in the intermediate space, and the first substrate transfer mechanism moves the substrate into the first station. Alternatively, each substrate transfer mechanism includes a plurality of lift pins configured to extend and retract the substrate from the substrate transfer mechanism in the intermediate space, or to retract to position the substrate on a suitable surface. The lifted substrate can be easily picked up by a substrate transfer member, such as a spider, to move the substrate to a different substrate transfer mechanism in the intermediate space. Optionally, after the substrate is placed on the stage or susceptor in the first station, or after the substrate is placed on the first substrate transfer mechanism, the substrate transfer member is retracted into the intermediate space. Alternatively, the first station, for example, be as a reagent and any other stations are provided (for example, the second station described herein) and a gas isolated, placed in a gas isolated state 125. The first station may be placed in a gas isolated state at the same time as or after the substrate is placed in the first station. Alternatively, the first station may be in a gas isolated state at the time the substrate is placed in the first station. In some embodiments, the first station is continuously gas isolated from the second station. The method may include (b) contacting the first substrate in the first station with the first reactant while the second reactant is substantially absent and the first station is in gas isolation with the second station, The first reactant reacts with the surface of the substrate such that only a single layer of the first reactant is adsorbed on the surface of the first substrate ( 135 ). The first reactant may flow into the first station after the first substrate is placed in the first station, or the first reactant may already be present in the first station when the first substrate is placed in the first station. Optionally, the first reactant is not present in the first station at the time the substrate is placed in the first station. Optionally, after exposure to the first reactant at the first station and before being placed in the second station, the first substrate may be moved to a first station, and / or to a purging position (e.g., ). ≪ / RTI > The method may include (c) placing ( 145 ) a first substrate in a second station. Optionally, one or more removable barriers defining the first station are moved to expose the substrate to the intermediate space. The lift pin, if present, can be extended to allow the substrate to be accessible to the transfer member. A transfer member (e.g., a rotating substrate holder or spider) can pick up the substrate and place the substrate on the second stage or susceptor. The substrate may be disposed on an extended lift pin, which may be retracted to position the substrate on a suitable surface. One or more removable barriers defining the second station may be moved to place the substrate in a gas isolated state within the second station. Optionally, the step of disposing the first substrate in the second station comprises moving the substrate to an intermediate space through a first substrate transport mechanism, e.g., a mobile stage, and then moving the substrate into a second substrate transport mechanism , A second mobile stage), which can place the substrate in the second station. Optionally, the substrate can be moved from the first substrate transfer mechanism in the intermediate space to the second substrate transfer mechanism in the intermediate space through a substrate transfer member (e.g., a spider or a rotating substrate holder). Optionally, after placing the substrate on the second stage or susceptor, or after placing the substrate on the second substrate transfer mechanism, the substrate transfer member is retracted into the intermediate space. Optionally, the second station may be positioned 155 in a gas isolated state with the first station, for example, the second station may be in a gas isolated state with any other station (e.g., the first station) . The second station can be placed at the same time as, or after, the substrate is placed in the second station. Alternatively, the second station may be gas insulated at the time the substrate is placed in the first station. In some embodiments, the second station is continuously gas isolated from the second station. The method may include (d) contacting a first substrate in a second station with a second reactant during a state where there is substantially no first reactant and the second station is gas isolated from the first station, Is different from the first reactant and reacts with only one single layer of the first reactant so that only a single layer of the second reactant is adsorbed on the surface of the first substrate ( 165 ). The second reactant may flow into the second station after the first substrate is placed in the second station or the second reactant may already be present in the second station when the first substrate is placed in the first station. Optionally, the second reactant is not present in the second station at the time the substrate is placed in the first station. Optionally, after being exposed to the second reactant in the second station and before being placed in another station (e.g., a first station, or a third station), the first substrate may be a second station, and / May be exposed to be purged at a different purge location (e.g., a purge location in the intermediate space) than the station. The method may include repeating steps (a) through (d) until a film of desired thickness is deposited on the surface of the first substrate, wherein step (b) is substantially free of the second reactant ( 175 ). Optionally, in addition to the surface of the substrate itself, the other surface is not in contact with both the first and second reactants (e.g., the surface of the first and second stations, the gas supply line, the purge line, the susceptor, and / If the member is present, the first and not in contact with both the second reactant) 185. Those skilled in the art will appreciate that the steps listed herein may be performed, removed, or duplicated in different orders, depending on some embodiments.

도 1b는 본원의 일부 실시예에 따른 선택적인 ALD 방법을 나타내는 흐름도이다. 상기 방법은 제1 노출된 표면 및 제1 노출된 표면과 상이한 제2 노출된 표면을 포함하는 제1 기판을 제공하는 단계(110)를 포함할 수 있다. 상기 방법은 (a) 제1 스테이션에 제1 기판을 배치하는 단계(120)를 포함할 수 있다. 제1 기판은 다수의 접근법, 예컨대 회전 기판 홀더 또는 스파이더와 같은 이송 부재를 포함하는 기판 이송 시스템에 의해 제1 스테이션 내에 배치될 수 있다. 선택적으로, 이송 부재는 기판을 스테이지 또는 서셉터 상에 배치하고, 제1 스테이션을 한정하는 하나 이상의 이동식 장벽은 기체 격리 상태로 스테이션 내에 기판을 배치하도록 위치된다. 기판은 리프트 핀 상에 배치될 수 있으며, 이는 기판을 적절한 표면 상에 위치시키기 위해 하강될 수 있다. 선택적으로, 이송 부재는 중간 공간 내의 제1 기판 이송 기구 (예컨대, 이동식 스테이지) 상에 기판을 배치하고, 제1 기판 이송 기구는 기판을 제1 스테이션 내로 이동시킨다. 선택적으로, 각각의 기판 이송 기구는 중간 공간에서 기판 이송 기구로부터 기판을 연장시키고 들어올리도록 구성된 복수의 리프트 핀을 포함한다. 리프팅된 기판은 이송 부재 (예컨대, 스파이더)에 의해 용이하게 픽업되어 기판을 중간 공간 내의 상이한 기판 이송 기구로 이동시킬 수 있다. 선택적으로, 기판을 제1 스테이션 내의 스테이지 또는 서셉터 상에 배치한 후에, 또는 기판을 제1 기판 이송 기구 상에 배치한 후에, 기판 이송 부재는 중간 공간 내로 후퇴된다. 선택적으로, 제1 스테이션은, 예컨대 반응물이 제공되는 임의의 다른 스테이션 (예컨대, 본원에 기술된 제2 스테이션)과 기체 격리된 것과 같이, 기체 격리된 상태로 배치될 수 있다(130). 제1 스테이션은 기판이 제1 스테이션 내에 배치된 것과 동시에, 또는 그 후에 기체 격리된 상태로 배치될 수 있다. 대안적으로, 제1 스테이션은 기판이 제1 스테이션에 배치되는 시점에 기체 격리된 상태일 수 있다. 일부 실시예에서, 제1 스테이션은 제2 스테이션과 지속적으로 기체 격리된 상태이다. 상기 방법은 (b) 사실상 제2 반응물이 없고 제1 스테이션이 제2 스테이션과 기체 격리된 상태에 있는 동안 제1 스테이션 내의 제1 기판을 제1 반응물과 접촉하는 단계를 포함할 수 있으며, 여기서, 제1 반응물은 제2 노출된 표면에 대해 제1 노출된 표면과 우선적으로 반응하여 제1 반응물의 하나의 단일층만이 제1 노출된 표면 상에 흡착된다(140). 제1 기판이 제1 스테이션 내에 배치된 후에 제1 반응물은 제1 스테이션 내로 흘러들어갈 수 있거나, 제1 기판이 제1 스테이션 내에 배치될 때 제1 반응물은 이미 제1 스테이션 내에 존재할 수 있다. 선택적으로, 제1 반응물은 기판이 제1 스테이션 내에 배치되는 시점에 제1 스테이션에 존재하지 않는다. 선택적으로, 제1 스테이션에서 제1 반응물에 노출된 후에, 그리고 제2 스테이션 내에 배치되기 전에, 제1 기판은 제1 스테이션, 및/또는 제1 스테이션과 상이한 퍼지 위치 (예컨대, 중간 공간 내의 퍼지 위치)에서 퍼지되도록 노출될 수 있다. 상기 방법은 (c) 제1 기판을 제2 스테이션 내에 배치하는 단계(150)를 포함할 수 있다. 선택적으로, 제1 스테이션을 한정하는 하나 이상의 이동식 장벽은 기판이 중간 공간에 노출되도록 이동되고, 이송 부재 (예컨대 회전 기판 홀더 또는 스파이더)는 기판을 픽업하여 기판을 제2 스테이지 또는 서셉터 상에 배치한다. 기판은 리프트 핀 상에 배치될 수 있으며, 이는 기판을 적절한 표면 상에 위치시키기 위해 하강될 수 있다. 제2 스테이션을 한정하는 하나 이상의 이동식 장벽은 기판을 기체 격리된 상태로 제2 스테이션 내에 배치하기 위해 이동될 수 있다. 선택적으로, 제1 기판을 제2 스테이션 내에 배치하는 단계는 제1 기판 이송 기구, 예컨대 이동식 스테이지를 통해 기판을 중간 공간으로 이동시키는 단계를 포함한다. 리프트 핀은, 존재하는 경우, 이송 부재에 기판을 접근가능하게 하도록 상승될 수 있다. 이어서, 중간 공간 내에서, 이송 부재는 기판을 중간 공간 내의 제2 기판 이송 기구 (예컨대 제2 이동식 스테이지)로 이동시킬 수 있다. 기판은 리프트 핀 상에 배치될 수 있고, 이는 기판을 적절한 표면 상에 위치시키기 위해 하강될 수 있다. 이송 부재는 기판을 제2 스테이션 내로 배치할 수 있다. 선택적으로, 기판은 이송 부재 (예컨대 스파이더 또는 회전 기판 홀더)를 통해 중간 공간 내의 제1 기판 이송 기구에서 중간 공간 내의 제2 기판 이송 기구로 이동될 수 있다. 선택적으로, 기판을 제2 스테이션 내의 스테이지 또는 서셉터 상에 배치한 후에, 또는 기판을 제2 기판 이송 기구 상에 배치한 후에, 기판 이송 부재는 중간 공간 내로 후퇴된다. 선택적으로, 제2 스테이션은 기체 격리된 상태로 배치될 수 있고, 예컨대, 제2 스테이션은 반응물이 제공되는 임의의 다른 스테이션 (예컨대 제1 스테이션)과 기체 격리된 상태로 배치될 수 있다(160). 제2 스테이션은 기판이 제2 스테이션에 배치되는 것과 동시에, 또는 그 후에 기체 격리된 상태로 배치될 수 있다. 대안적으로, 제2 스테이션은 기판이 제1 스테이션에 배치되는 시점에 기체 격리된 상태일 수 있다. 일부 실시예에서, 제2 스테이션은 제2 스테이션과 지속적으로 기체 격리된 상태이다. 상기 방법은 (d) 제2 스테이션 내의 제1 기판을 사실상 제1 반응물이 없고 제1 스테이션이 제2 스테이션과 기체 격리인 상태 동안 제2 반응물과 접촉시키는 단계를 포함할 수 있으며, 여기서 제2 반응물은 제1 반응물과 상이하고, 제1 노출된 표면 상의 제1 반응물의 하나의 단일층과만 반응하여, 제2 반응물의 하나의 단일층만이 제1 노출된 표면에 흡착된다(170). 제1 기판이 제2 스테이션 내에 배치된 후에 제2 반응물이 제2 스테이션 내로 흘러들어갈 수 있거나, 제1 기판이 제1 스테이션 내에 배치될 때 제2 반응물이 제2 스테이션 내에 이미 존재할 수 있다. 선택적으로, 제2 반응물은 기판이 제1 스테이션에 배치되는 시점에 제2 스테이션에 존재하지 않는다. 선택적으로, 제2 스테이션 내의 제2 반응물에 노출된 후, 및 또 다른 스테이션(예컨대, 제1 스테이션, 또는 제3 스테이션) 내에 배치되기 전에, 제1 기판은, 제2 스테이션, 및/또는 제2 스테이션과 상이한 퍼지 위치에서 퍼지되도록 노출될 수 있다. 상기 방법은, 목적하는 두께의 막이 제2 노출된 표면에 대해 제1 노출된 표면 상에 선택적으로 증착될 때까지 단계 (a) 내지 (d)를 반복하는 단계를 포함할 수 있으며, 여기서, 단계 (b)는 사실상 제2 반응물이 없다(180). 선택적으로, 기판 자체의 표면 외에, 다른 표면은 제1 및 제2 반응물 모두와 접촉되지 않는다 (예컨대, 제1 및 제2 스테이션의 표면, 기체 공급 라인, 퍼지 라인, 서셉터, 및/또는 기판 이송 부재가 존재하는 경우, 제1 및 제2 반응물 모두와 접촉되지 않음) (190). 당업자는 본원에 나열된 단계들이 일부 실시예에 따라 상이한 순서로 수행되거나, 제거되거나, 중복될 수 있다는 것을 이해할 것이다. 1B is a flow diagram illustrating an alternative ALD method in accordance with some embodiments of the present disclosure. The method may include providing ( 110 ) a first substrate comprising a first exposed surface and a second exposed surface different from the first exposed surface. The method may include (a) placing a first substrate at a first station ( 120 ). The first substrate can be placed in the first station by a number of approaches, such as a substrate transfer system comprising a transfer member such as a rotating substrate holder or a spider. Optionally, the transfer member is positioned to position the substrate on a stage or susceptor, and one or more removable barriers defining the first station are positioned to position the substrate within the station in a gas isolated state. The substrate may be placed on a lift pin, which may be lowered to position the substrate on a suitable surface. Optionally, the transfer member disposes the substrate on a first substrate transfer mechanism (e.g., a movable stage) in the intermediate space, and the first substrate transfer mechanism moves the substrate into the first station. [0154] Optionally, each substrate transfer mechanism includes a plurality of lift pins configured to extend and lift the substrate from the substrate transfer mechanism in the intermediate space. The lifted substrate can be easily picked up by a transfer member (e.g., a spider) to move the substrate to a different substrate transfer mechanism in the intermediate space. Optionally, after the substrate is placed on the stage or susceptor in the first station, or after the substrate is placed on the first substrate transfer mechanism, the substrate transfer member is retracted into the intermediate space. Alternatively, the first station, for example, be as a reagent and any other stations are provided (for example, the second station described herein) and a gas isolated, placed in a gas isolated state 130. The first station may be placed in a gas isolated state at the same time as or after the substrate is placed in the first station. Alternatively, the first station may be in a gas isolated state at the time the substrate is placed in the first station. In some embodiments, the first station is continuously gas isolated from the second station. The method may include (b) contacting the first substrate in the first station with the first reactant while the first station is in a gas isolated state with the second station substantially free of the second reactant, The first reactant preferentially reacts with the first exposed surface with respect to the second exposed surface such that only a single layer of the first reactant is adsorbed 140 onto the first exposed surface. The first reactant may flow into the first station after the first substrate is placed in the first station, or the first reactant may already be present in the first station when the first substrate is placed in the first station. Optionally, the first reactant is not present in the first station at the time the substrate is placed in the first station. Optionally, after exposure to the first reactant at the first station and before being placed in the second station, the first substrate may be moved to a first station, and / or to a purging position (e.g., ). ≪ / RTI > The method may include (c) placing a first substrate in a second station ( 150 ). Optionally, one or more movable barriers defining the first station are moved to expose the substrate to the intermediate space, and the transfer member (e.g., rotating substrate holder or spider) picks up the substrate and places the substrate on the second stage or susceptor do. The substrate may be placed on a lift pin, which may be lowered to position the substrate on a suitable surface. One or more removable barriers defining the second station may be moved to place the substrate in a gas isolated state within the second station. Optionally, disposing the first substrate in the second station includes moving the substrate to an intermediate space through a first substrate transfer mechanism, e.g., a mobile stage. The lift pin, if present, can be raised to make the substrate accessible to the transfer member. Subsequently, within the intermediate space, the transfer member may move the substrate to a second substrate transfer mechanism (e.g., a second moveable stage) in the intermediate space. The substrate may be placed on a lift pin, which may be lowered to position the substrate on a suitable surface. The transfer member can place the substrate into the second station. Optionally, the substrate may be moved from the first substrate transfer mechanism in the intermediate space to the second substrate transfer mechanism in the intermediate space through a transfer member (e.g., a spider or a rotating substrate holder). Optionally, after the substrate is placed on the stage or susceptor in the second station, or after the substrate is placed on the second substrate transfer mechanism, the substrate transfer member is retracted into the intermediate space. Alternatively, the second station may be placed in a gas isolated state, for example, the second station may be placed in a gas isolated state ( 160 ) with any other station (e.g., a first station) . The second station can be placed at the same time as, or after, the substrate is placed in the second station. Alternatively, the second station may be gas insulated at the time the substrate is placed in the first station. In some embodiments, the second station is continuously gas isolated from the second station. The method may include (d) contacting a first substrate in a second station with a second reactant while the first station is substantially free of the first reactant and the first station is gas isolated from the second station, Is different from the first reactant and only reacts with one single layer of the first reactant on the first exposed surface such that only a single layer of the second reactant is adsorbed 170 on the first exposed surface. The second reactant may flow into the second station after the first substrate is placed in the second station or the second reactant may already be present in the second station when the first substrate is placed in the first station. Optionally, the second reactant is not present in the second station at the time the substrate is placed in the first station. Optionally, after being exposed to the second reactant in the second station and before being placed in another station (e.g., a first station, or a third station), the first substrate may be a second station, and / May be exposed to be purged at a different purge location from the station. The method may include repeating steps (a) through (d) until the desired thickness of film is selectively deposited on the first exposed surface with respect to the second exposed surface, wherein step (b) is virtually free of the second reactant ( 180 ). Optionally, in addition to the surface of the substrate itself, the other surface is not in contact with both the first and second reactants (e.g., the surface of the first and second stations, the gas supply line, the purge line, the susceptor, and / If the member is present, the first and not in contact with both the second reactant) 190. Those skilled in the art will appreciate that the steps listed herein may be performed, removed, or duplicated in different orders, depending on some embodiments.

일부 실시예에서, 퍼지하기 어렵거나 CVD 반응이 일어나기 쉬운 하나 이상의 반응물을 포함하는 적어도 하나의 공정 단계가 본원의 일부 실시예에 따라 기판을 제1 스테이션 내에 배치하는 단계 이전에 수행된다. 예컨대, 기판은 적어도 하나의 예비 스테이션 내에 배치되고, 퍼지하기 어렵고/어렵거나 CVD 반응이 일어나기 쉬운 예비 반응물 (또는 반응물의 조합물)과 접촉된다. 기판이 예비 반응물 (또는 반응물의 조합물)과 접촉된 후에, 기판은 제1 스테이션 내에 배치된다. 예컨대, 기판은 예비 스테이션 내에서 예비 패시베이션(passivation) 단계 또는 예비 CVD 반응을 겪을 수 있다. 선택적으로, 예비 반응물 (또는 반응물의 조합물)과 접촉된 후이지만 제1 스테이션 내에 배치되기 전에, 기판은 퍼지에 적용된다 (예비 스테이션 또는 퍼지 위치에서). In some embodiments, at least one process step comprising one or more reactants that are difficult to purge or susceptible to a CVD reaction is performed prior to the step of disposing the substrate in the first station according to some embodiments herein. For example, the substrate is placed in at least one standby station and is contacted with a pre-reactant (or a combination of reactants) that is difficult to purge / difficult or prone to cause a CVD reaction. After the substrate is contacted with the pre-reactant (or a combination of reactants), the substrate is placed in the first station. For example, the substrate may undergo a preliminary passivation step or a preliminary CVD reaction in the standby station. Optionally, the substrate is applied to the purge (at the standby station or in the purge position) after being in contact with the pre-reactant (or a combination of reactants) but before being placed in the first station.

일부 실시예에서, 기판은 제1 스테이션 외의 어떠한 위치에서도 제1 반응물과 접촉되지 않고, 기판은 제2 스테이션 외의 어떠한 위치에서도 제2 반응물과 접촉되지 않는다. 이와 같이, 제1 반응물은 제2 스테이션에서 제공되지 않고/않거나 제2 반응물은 제1 스테이션에서 제공되지 않는다. 선택적으로, 각각의 스테이션은 하나의 유형의 반응물만 제공한다. In some embodiments, the substrate is not in contact with the first reactant at any location other than the first station, and the substrate is not in contact with the second reactant at any location other than the second station. As such, the first reactant is not provided at the second station and / or the second reactant is not provided at the first station. Optionally, each station provides only one type of reactant.

반응물 사이의 일시적인 분리를 유지하는 것은 본원의 일부 실시예에 따른 “기체 격리”의 유지를 용이하게 할 수 있고, 바람직하지 않은 CVD 반응을 최소화할 수 있는 것으로 또한 생각된다. 예컨대, 제1 반응물이 제2 반응물과 동시에 반응기 내로 흐르지 않는 경우, 이러한 반응물은 일시적인 기체 격리 상태로 유지될 수 있다. 예컨대, 기체 벽 또는 기체 베어링이 공간적인 기체 격리를 유지하는 실시예들에서, 일시적인 격리는 스테이션 밖으로 확산하는 미량의 기체의 영향을 최소화하거나 제거함으로써 기체 격리를 추가로 용이하게 할 수 있다. 예컨대, 물리적인 벽이 기체 격리를 유지하는 실시예들에서, 일시적 격리는 다른 스테이션으로의 반응물의 확산 또는 누출을 추가로 최소화하거나 제거할 수 있다. 일부 실시예에서, 기체 격리는 2개의 반응물 사이의 일시적인 분리를 포함한다. 일부 실시예에서, 기체 격리는 2개의 반응물 사이의 물리적 및 일시적인 분리를 포함한다. 일부 실시예에서, ALD 공정 내의 모든 반응물은 물리적으로 분리된다. 일부 실시예에서, ALD 공정 내의 모든 반응물은 일시적으로 분리된다. 일부 실시예에서, ALD 공정 내의 모든 반응물은 물리적 및 일시적으로 분리된다. 반응물 사이의 일시적인 분리를 유지하는 것은 처리량을 감소시킬 수 있지만, 본원의 일부 실시예에 따르면, 높은 선택성, 높은 막 품질 및/또는 반응기 수명과 같은 공정 이점이 달성될 수 있도록 처리량을 감소시키는 것이 수용 가능하다. It is also contemplated that maintaining a temporary separation between reactants may facilitate maintenance of " gas quenching " in accordance with some embodiments herein and may minimize undesirable CVD reactions. For example, if the first reactant does not flow into the reactor simultaneously with the second reactant, such reactants can be maintained in a transient gas isolation state. For example, in embodiments where the gas wall or gas bearing maintains spatial gas isolation, temporary isolation may further facilitate gas isolation by minimizing or eliminating the effects of traces of gas diffusing out of the station. For example, in embodiments where the physical wall maintains gas isolation, temporary isolation may further minimize or eliminate diffusion or leakage of reactants to other stations. In some embodiments, the gas sequestration involves a temporary separation between the two reactants. In some embodiments, gas sequestration includes physical and temporal separation between two reactants. In some embodiments, all reactants in the ALD process are physically separated. In some embodiments, all reactants in the ALD process are temporarily separated. In some embodiments, all reactants in the ALD process are physically and temporally separated. Maintaining temporary dissociation between reactants can reduce throughput, but according to some embodiments of the present disclosure, reducing process throughput may be advantageous to reduce throughput such that process advantages such as high selectivity, high film quality, and / or reactor life can be achieved. It is possible.

일부 실시예에서, 제1 기판이 제2 반응물과 접촉한 후에 제1 기판이 제1 스테이션에 존재하는 동안 제1 스테이션이 퍼지된다. 제1 기판이 제2 반응물에 접촉한 후에 제1 기판이 그에 존재하는 동안 제2 스테이션이 퍼지될 수 있다. 선택적으로, 제1 스테이션 및 제2 스테이션은, 퍼지 라인에서 제1 및 제2 반응물 사이의 바람직하지 않은 CVD 반응 가능성을 최소화하기 위해, 본원에 기술된 바와 같은 별도의 퍼지 라인을 포함한다. 본원의 일부 실시예에 따르면, 제1 기판이 반응물과 접촉되는 스테이션 내에서 퍼지되도록 노출되는 경우, 퍼지 후에, 제1 기판은 퍼지 위치 및/또는 웨이퍼 핸들링 챔버와 같은 중간 위치에 배치되지 않고 후속 스테이션 내에 직접 배치될 수 있는 것으로 생각된다. In some embodiments, the first station is purged while the first substrate is in the first station after the first substrate is in contact with the second reactant. The second station may be purged while the first substrate is present after the first substrate contacts the second reactant. Optionally, the first station and the second station include separate purge lines as described herein to minimize the possibility of undesirable CVD reactions between the first and second reactants in the purge line. According to some embodiments of the present application, when the first substrate is exposed to be purged in a station in contact with the reactants, after purging, the first substrate is not placed in an intermediate position, such as a purge position and / or a wafer handling chamber, As shown in Fig.

일부 실시예에서, 제1 스테이션 내의 제1 기판을 제1 반응물과 접촉시킨 후에, 기판은 추가 위치에 배치되지 않고 제2 스테이션 내에 배치된다. 추가 위치의 예는 퍼지 위치 및 반응물을 전달하도록 구성된 다른 스테이션을 포함한다. 기판은 제1 스테이션에서 제2 스테이션으로 이동되는 동안 3차원 공간 (예컨대 “중간 공간”을 통과할 수 있지만, 3차원 공간이 상이한 스테이션 또는 퍼지 위치를 포함하지 않는 한, 기판은 “추가 위치”내에 배치되지 않는 것으로 간주될 것임을 유의한다. 이와 같이, 일부 실시예에서, 제1 스테이션 내의 제1 기판을 제1 반응물과 접촉시킨 후에, 기판은 추가 위치 내에 배치되지 않고 제2 스테이션 내에 배치되고, 따라서 기판은 제1 반응물 후 및 제2 반응물 전에 임의의 추가 반응물과 접촉되지 않는다. In some embodiments, after contacting the first substrate in the first station with the first reactant, the substrate is placed in the second station without being placed in an additional position. Examples of additional locations include purge locations and other stations configured to deliver reactants. As long as the substrate can pass through a three-dimensional space (e.g., an " intermediate space ") while moving from a first station to a second station, Thus, in some embodiments, after contacting the first substrate in the first station with the first reactant, the substrate is placed in the second station without being placed in an additional position, and therefore, The substrate is not in contact with any additional reactants after the first reactant and before the second reactant.

일부 실시예에서, 제1 기판은, 제1 반응물과 접촉된 후 및 제2 위치에 배치되기 전에 제1 퍼지 위치에서 퍼지된다. 제1 퍼지 위치는 제1 스테이션과 기체 연통하는 상태가 아닌 위치일 수 있다. 일부 실시예에서, 제1 기판은, 제2 위치에서 제2 반응물과 접촉된 후에 제2 퍼지 위치에서 퍼지된다. 제2 퍼지 위치는 제2 스테이션과 기체 연통하는 상태가 아닌 위치일 수 있다. 일부 실시예에서, 제2 퍼지 위치는 제1 퍼지 위치와 상이하다. 일부 실시예에서, 제2 퍼지 위치는 제1 퍼지 위치와 동일하다. In some embodiments, the first substrate is purged at a first purge position after being contacted with the first reactant and before being placed in the second position. The first purge position may be a position that is not in a state of gas communication with the first station. In some embodiments, the first substrate is purged at the second purge location after being contacted with the second reactant at the second location. The second purge position may be a position that is not in a state of gas communication with the second station. In some embodiments, the second purge position is different from the first purge position. In some embodiments, the second purge location is the same as the first purge location.

본원에 기술된 바와 같이, 반응기 표면 및/또는 기판 상에 바람직하지 않은 증착을 남길 수 있는, 화학 기상 증착 (CVD)-유형 반응을 최소화하거나 제거하는 것이 바람직할 수 있다. 따라서, 일부 실시예에서, 제1 스테이션의 임의의 표면 상에서 실질적으로 CVD-유형 반응이 발생하지 않으며, 여기에서, 제2 스테이션의 임의의 표면 상에서 실질적으로 CVD-유형 반응이 발생하지 않는다. 본원에 사용된 “실질적으로 CVD-유형이 일어나지 않는”(이의 어근의 변형을 포함함)은 반응 공간에서 과량의 반응물을 포함하는 반응의 0.1% 이하, 바람직하게는 0.01% 이하가 CVD-유형 반응이라는 것을 의미한다. 일부 실시예에서, 반응기의 임의의 표면 상에서 실질적으로 CVD-유형의 반응이 일어나지 않는다. 일부 실시예에서, 기판 상에서 실질적으로 CVD-유형의 반응이 일어나지 않는다. 일부 실시예에서, 퍼지 라인 및/또는 퍼지 위치에서 실질적으로 CVD-유형의 반응이 일어나지 않는다. 기판이 제2 반응물이 “사실상 없는”제1 반응물과 접촉되는 경우 (또는 그 반대), 제1 반응물 및 제2 반응물이 서로 CVD 유형 반응에 관련되어 있을 지라도, 실질적으로 CVD-유형 반응은 없을 것이다. 따라서, 본원에 사용된 바와 같이, 제1 반응물이 제2 반응물이 “사실상 없는”경우 (또는 그 반대), 제1 반응물 대 제2 반응물의 몰 비는 적어도 10,000:1, 예컨대 적어도 10,000:1; 20,000:1; 30,000;1, 40,000:1; 50,000:1; 75,000:1; 100,000:1; 150,000:1; 200,000:1, 250,000:1; 300,000:1;400,000:1, 500,000:1; 600,000:1; 700,000:1; 800,000:1; 900,000:1; 1,000,000:1 또는 1,000,000,000:1 (임의의 2개의 나열된 값 사이의 범위를 포함함)이다. 본원에 사용된 “사실상 없는”은 또한 완전한 부재를 포함함을 유의한다. 즉, 제2 반응물이 완전히 부재인 경우, 반응은 제2 반응물이 사실상 없는 상태”에서 수행되지만, 제2 반응물이 사실상 없는 경우, 완전히 부재일 필요는 없다, 이와 같이, 본원에 사용된 바와 같이, 어구 “하나 보다 많은 반응물과 실질적으로 접촉된 표면의 없음 (및 이의 어근의 변형)”은 각각의 적용가능한 표면 (웨이퍼 외)이 ALD 공정 동안 최대 하나의 반응물과 접촉하지만, 임의의 다른 반응물의 양은 현저하지 않은 양보다 많지 않음을 의미하므로, 그 표면과 접촉하는 임의의 기체에 대해, 임의의 다른 반응물 대 총 기체의 몰비는 1:10,000 미만, 예컨대 1:10,000; 1:20,2000; 1:30,000; 1:40,000; 1:50,000; 1:75,000; 1:100,00; 1:150,000; 1:200,000; 1:250,000; 1:300:000; 1:400,000; 1:500,000; 1:600,000; 1:700,000; 1:800,000; 1:900,000; 1:1,000,000; 또는 1:1,000,000,000 미만 (임의의 2개의 나열된 값 사이의 범위를 포함함)이다. 어구 “하나 이상의 반응물과 실질적으로 접촉되는 표면이 없음”(및 이의 변형)은 또한 본원에서 표면이 반응물과 접촉되지 않거나 단지 하나의 반응물과 접촉되는 것을 포함함을 유의한다. As described herein, it may be desirable to minimize or eliminate chemical vapor deposition (CVD) -type reactions that can leave undesirable deposition on the reactor surface and / or substrate. Thus, in some embodiments, a substantially CVD-type reaction does not occur on any surface of the first station, wherein a substantially CVD-type reaction does not occur on any surface of the second station. As used herein, " substantially no CVD-type " (including modifications of the root thereof) means that less than 0.1%, preferably less than 0.01% of the reaction involving excess reactants in the reaction space is CVD- . In some embodiments, a substantially CVD-type reaction does not occur on any surface of the reactor. In some embodiments, a substantially CVD-type reaction does not occur on the substrate. In some embodiments, a substantially CVD-type reaction does not occur at the purge line and / or purge location. There will be substantially no CVD-type reactions, even though the first reactant and the second reactant are related to each other in a CVD-type reaction when the substrate is contacted with the first reactant " substantially " . Thus, as used herein, the molar ratio of the first reactant to the second reactant is at least 10,000: 1, such as at least 10,000: 1; 20,000: 1; 30,000; 1, 40,000: 1; 50,000: 1; 75,000: 1; 100,000: 1; 150,000: 1; 200,000: 1, 250,000: 1; 300,000: 1; 400,000: 1, 500,000: 1; 600,000: 1; 700,000: 1; 800,000: 1; 900,000: 1; 1,000,000: 1 or 1,000,000,000: 1 (including the range between any two listed values). As used herein, " substantially free " also includes the complete absence. That is, when the second reactant is completely absent, the reaction is carried out in a state in which the second reactant is virtually absent, but when the second reactant is substantially absent, it need not be completely absent. Thus, as used herein, The phrase " no more than one reactant and no substantially contacted surface (and its variants) " means that each applicable surface (other than the wafer) contacts at most one reactant during the ALD process, The amount of any other reactant to total gas is less than 1: 10,000, such as 1: 10,000; 1: 20,2000; 1: 30,000; 1: 40,000; 1: 50,000; 1: 75,000; 1: 100.00; 1: 150,000; 1: 200,000; 1: 250,000; 1: 300: 000; 1: 400,000; 1: 500,000; 1: 600,000; 1: 700,000; 1: 800,000; 1: 900,000; 1: 1,000,000; Or less than 1: 1,000,000,000 (including a range between any two listed values). It is noted that the phrase " no surface in substantial contact with one or more reactants " (and variations thereof) also includes herein that the surface is not in contact with the reactants or only with one reactant.

본원의 일부 실시예에 따르면, 감소하는 공정 처리량은 바람직하지 않은 CVD 반응을 최소화하거나 제거하기 위해 수용가능할 것으로 생각된다. 그러나, 일부 실시예에서, 제1 스테이션과 제2 스테이션을 동시에 사용하면서 바람직하지 않은 CVD 반응을 최소화하거나 제거하기 위해 2개의 웨이퍼가 제1 스테이션과 제2 스테이션 사이에서 효과적으로 교환될 수 있는 것도 또한 고려된다. 따라서, 일부 실시예에서, 제1 기판이 제1 스테이션 내에 존재하지 않는 동안, 제2 기판은 제1 스테이션 내에 배치될 수 있고, 여기서 제2 기판은 제3 노출된 표면 및 제3 노출된 표면과 상이한 제4 노출된 표면을 포함한다. 제1 스테이션 내의 제2 기판은 제1 반응물 (제2 반응물이 실질적으로 없음)과 접촉될 수 있으므로, 제1 반응물은 바람직하게는 제4 노출된 표면에 대해 제3 노출된 표면과 반응하고, 따라서, 제1 반응물의 하나의 단일층만이 제3 노출된 표면 상에 흡착된다. 제1 스테이션 내의 제2 기판이 제1 반응물과 접촉된 후에, 그리고 제2 스테이션 내의 제1 기판이 제2 반응물과 접촉된 후에, 제2 기판은 제1 반응물이 사실상 없는 제2 스테이션 내에 배치될 수 있고, 이는 제1 기판을 제2 반응물이 사실상 없는 제1 스테이션 내에 배치시키고, 따라서, 제1 기판과 제2 기판이 교환된다. 일부 실시예에서, 제1 반응물은 제4 표면과 반응하지 않는다. 일부 실시예에서, 반응기는 다수의 스테이션 쌍을 포함하고, 각각의 스테이션 쌍에서, 웨이퍼 쌍은 목적하는 두께의 막이 각각의 웨이퍼 상에 선택적으로 증착될 때까지 반복적으로 교환된다. According to some embodiments herein, the reduced throughput is believed to be acceptable to minimize or eliminate undesirable CVD reactions. However, in some embodiments it is also contemplated that two wafers may be effectively exchanged between the first station and the second station to minimize or eliminate undesirable CVD reactions while simultaneously using the first and second stations. do. Thus, in some embodiments, the second substrate may be disposed in the first station while the first substrate is not present in the first station, wherein the second substrate is exposed to the third exposed surface and the third exposed surface And a different fourth exposed surface. Since the second substrate in the first station can be contacted with the first reactant (substantially free of the second reactant), the first reactant preferably reacts with the third exposed surface with respect to the fourth exposed surface, , Only one single layer of the first reactant is adsorbed on the third exposed surface. After the second substrate in the first station is contacted with the first reactant and after the first substrate in the second station is contacted with the second reactant, the second substrate can be placed in a second station substantially free of the first reactant Which places the first substrate in the first station substantially free of the second reactant, and thus the first substrate and the second substrate are exchanged. In some embodiments, the first reactant does not react with the fourth surface. In some embodiments, the reactor comprises a plurality of station pairs, and at each station pair, the wafer pairs are repeatedly exchanged until a film of the desired thickness is selectively deposited on each wafer.

본원의 일부 실시예에 따르면, 추가의 ALD 반응은, 예컨대 이중 선택적인 ALD 공정 시퀀스의 일부로서 기판 상에서 수행될 수 있다. 임의의 이론에 의해 제한되지 않고, 본원의 다양한 실시예에 따른 방법 및 장치는 이중 선택적인 ALD에 매우 유용한 것으로 생각된다. 이중 선택적인 ALD는 전형적으로 2개 이상의 반응물을 포함하므로 (예컨대, 4 또는 6개의 반응물), 이중 선택적인 ALD는 상이한 반응물 사이의 바람직하지 않은 CVD 반응에 특히 민감할 수 있다. 따라서, 본원의 다양한 실시예에 따라 반응물 사이의 공간적 및/또는 일시적 분리를 유지함으로써 높은 선택성, 고 품질의 증착된 막, 및 반응기 상의 증착물이 최소 내지 없는 이중 선택적인 ALD를 생산할 수 있다. 추가의 ALD 반응은 제1 또는 제2 스테이션 외의 스테이션에서 수행될 수 있다. 일부 실시예에서, 추가의 비-선택적인 ALD 반응은 기판 상에서 수행된다. 일부 실시예에서, 추가의 ALD 반응은 선택적이고 기판의 2개의 상이한 표면 상에 이중 선택적인 ALD를 제공한다. 일부 실시예에서, 목적하는 두께의 제1 막이 ALD에 의해 기판의 제1 표면 상에 선택적으로 증착되고, 제2의 목적하는 두께의 상이한 막이 ALD에 의해 제1 기판의 제2의 상이한 표면 상에 선택적으로 증착된다 (제1 및 제2 막은 동일한 두께일 수 있거나 상이한 두께일 수 있다). 선택적으로, 목적하는 두께의 제2 막은 제3 반응물을 제공하는 제3 스테이션과 제4 반응물을 제공하는 제4 스테이션 사이에서 웨이퍼를 셔플링함으로써 증착되며, 여기서, 제3 및 제4 스테이션은 제1 및 제2 스테이션과 서로 기체 격리인 상태에 있고, 제3 및 제4 반응물은 제2 표면 상에 선택적으로 흡착되므로, 제1 기판 상에 이중 선택적인 ALD를 제공한다. 일부 실시예에서, 상기 방법은 제1 기판의 제1 표면 상에 있지 않지만 제1 기판의 제2 표면 상에 제2 박막을 증착하는 제2 선택적인 ALD 공정을 추가로 포함한다. 예컨대, 상기 방법은 이중 선택적인 ALD를 포함할 수 있다. According to some embodiments herein, additional ALD reactions may be performed on the substrate, e.g., as part of a dual selective ALD process sequence. Without being limited by any theory, it is believed that the methods and apparatus according to various embodiments of the present disclosure are very useful for dual selective ALD. Dual selective ALDs typically contain two or more reactants (e.g., four or six reactants), so bi-selective ALD can be particularly sensitive to undesirable CVD reactions between different reactants. Thus, by maintaining spatial and / or temporal separation between reactants in accordance with various embodiments herein, it is possible to produce a highly selective, high quality deposited film, and dual selective ALD with minimal or no deposition on the reactor. Additional ALD reactions may be performed at stations other than the first or second station. In some embodiments, an additional non-selective ALD reaction is performed on the substrate. In some embodiments, the additional ALD reaction is selective and provides dual selective ALD on two different surfaces of the substrate. In some embodiments, a first film of a desired thickness is selectively deposited on the first surface of the substrate by ALD, and a different film of a second desired thickness is deposited on the second different surface of the first substrate by ALD (The first and second films may be of the same thickness or may be of a different thickness). Optionally, a second membrane of desired thickness is deposited by shuffling the wafer between a third station providing a third reactant and a fourth station providing a fourth reactant, wherein the third and fourth stations are first And third and fourth reactants are selectively adsorbed on the second surface, thus providing dual selective ALD on the first substrate. In some embodiments, the method further comprises a second selective ALD process that is not on the first surface of the first substrate, but deposits a second thin film on the second surface of the first substrate. For example, the method may comprise a bi-selective ALD.

일부 실시예에서, 선택적인 ALD 반응은 다중 기판 상에서 병렬로 수행된다. 일부 실시예에서, 상기 (a) 내지 (d) 단계가 반복되는 동안, 제3 기판이 제3 스테이션 내에 배치된다. 제3 기판은 제5 노출된 표면 및 제5 노출된 표면과 상이한 제6 노출된 표면을 포함할 수 있다. 제3 스테이션 내의 제3 기판은 제2 반응물이 사실상 없는 상태에서 제1 반응물과 접촉될 수 있으며, 여기서 제3 스테이션은 제1 스테이션 및 제2 스테이션과 기체 격리된 상태이고 (또는 기판이 제3 스테이션 내에 배치되는 것과 동시에 또는 그 후에 제1 및 제2 스테이션과 기체 격리된 상태로 배치됨), 여기서 제1 반응물은 제6 노출된 표면이 아닌 제5 노출된 표면과 반응하고, 따라서 제1 반응물의 하나의 단일층만이 제5 노출된 표면 상에 흡착된다. 제3 스테이션 내의 제3 기판이 제1 반응물과 접촉된 후에, 제3 기판은 제4 스테이션 내에 배치될 수 있고, 여기서 제4 스테이션은 제1 스테이션, 제2 스테이션, 및 제3 스테이션과 기체 격리된 상태이다 (또는 기판이 제4 스테이션 내에 배치되는 것과 동시에 또는 그 후에 제1, 제2, 및 제3 스테이션과 기체 격리된 상태로 배치됨). 제4 스테이션 내의 제3 기판은 제1 반응물이 사실상 없는 상태에서 제2 반응물과 접촉될 수 있고, 여기서 제2 반응물은 제6 노출된 표면에 대해 제5 노출된 표면 상에 제1 반응물의 하나의 단일층과만 우선적으로 반응하고, 따라서, 제2 반응물의 하나의 단일층만이 제5 노출된 표면 상에 흡착된다. 추가로, 목적하는 두께의 선택적으로 증착된 막을 달성하기 위해, 상기 방법은, 목적하는 두께의 막이 제6 표면이 아닌 제5 표면에 선택적으로 증착될 때까지, 제3 스테이션 내의 제3 기판을 제2 반응물이 사실상 없는 상태에서 제1 반응물과 접촉시키는 단계 및 제4 스테이션 내의 제3 기판을 제1 반응물이 사실상 없는 상태에서 제2 반응물과 접촉시키는 단계를 반복하는 단계를 포함할 수 있다. In some embodiments, the selective ALD reaction is performed in parallel on multiple substrates. In some embodiments, while the steps (a) - (d) are repeated, a third substrate is placed in the third station. The third substrate may include a fifth exposed surface and a sixth exposed surface different from the fifth exposed surface. The third substrate in the third station may be contacted with the first reactant in a substantially absence of the second reactant, wherein the third station is in gas isolation from the first station and the second station (or the substrate is in the third station Wherein the first reactant reacts with a fifth exposed surface other than the sixth exposed surface, and thus one of the first reactants is disposed in a gas isolated condition with the first and second stations, Lt; RTI ID = 0.0 > 5 < / RTI > After the third substrate in the third station is contacted with the first reactant, the third substrate can be placed in the fourth station, wherein the fourth station is connected to the first station, the second station, (Or placed in a gas isolated state with the first, second, and third stations at the same time as or after the substrate is placed in the fourth station). The third substrate in the fourth station can be contacted with the second reactant in the substantially absence of the first reactant, wherein the second reactant comprises one of the first reactants on the fifth exposed surface relative to the sixth exposed surface Only the single layer reacts preferentially, so that only a single layer of the second reactant is adsorbed on the fifth exposed surface. Additionally, in order to achieve a selectively deposited film of desired thickness, the method may be repeated until the desired thickness of film is selectively deposited on a fifth surface other than the sixth surface, 2 contacting the first reactant in a substantially free state with the first reactant and contacting the third substrate in the fourth station with the second reactant in the substantially absence of the first reactant.

다양한 접근법이 본원의 방법 및 반응기에 따라 스테이션 사이, 예컨대 제1 및 제2 스테이션 사이의 기체 격리를 제공하기에 적절하다. 또한, 스테이션은 지속적으로 기체 격리인 상태일 수 있거나, 기판이 스테이션 내에 배치된 후이지만 전구체가 스테이션 내에 제공되기 전에 기체 격리된 상태로 배치될 수 있음을 유의한다. 일부 실시예에서, 적어도 하나의 고체 재료는 제1 스테이션과 제2 스테이션 사이에 기체 격리, 예컨대 유리 또는 세라믹 또는 금속 또는 고분자 벽을 제공한다. 일부 실시예에서, 기체 베어링 또는 기체 커튼은 제1 스테이션과 제2 스테이션 사이에 기체 격리를 제공한다. 일부 실시예에서, 제1 및 제2 스테이션 사이의 기체 격리는 기체 베어링 또는 기체 커튼을 포함하지 않지만 재료 벽에 완전히 의존한다. Various approaches are suitable for providing gas isolation between stations, e.g. between the first and second stations, in accordance with the present method and reactor. It is also noted that the station may be in a continuously gas isolated state or after the substrate is placed in the station, but the precursor may be placed in a gas isolated state before being provided in the station. In some embodiments, at least one solid material provides gas isolation, such as glass or ceramic or metal or polymer walls, between the first station and the second station. In some embodiments, the gas bearing or gas curtain provides gas isolation between the first station and the second station. In some embodiments, the gas isolation between the first and second stations does not include a gas bearing or gas curtain, but is entirely dependent on the material wall.

일부 실시예에서, 스테이션은 서로에 대해 고정된 위치에 있다. 일부 실시예에서, 제1 스테이션은 제2 스테이션에 대해 고정된 위치에 있다. 일부 실시예에서, 기판은 스테이션 내의 반응물과 접촉되는 동안 (예컨대, 제1 스테이션 내의 제1 반응물 및/또는 제2 스테이션 내의 제2 반응물과 접촉되는 동안) 움직이지 않는다. In some embodiments, the stations are in a fixed position relative to each other. In some embodiments, the first station is in a fixed position relative to the second station. In some embodiments, the substrate does not move while in contact with the reactants in the station (e.g., while in contact with the first reactant in the first station and / or the second reactant in the second station).

다양한 방법이 본원의 방법 및 반응기에 따라 스테이션에서 스테이션으로 기판을 이동시키기에 적절하다. 일부 실시예에서, 회전 기판 홀더 (예컨대 회전하는 패들 포함)가 제공된다. 따라서, 일부 실시예에서, 제1 기판을 제2 스테이션 내에 배치하는 단계는 제1 기판을 지지하는 기판 홀더를 회전시켜 제1 기판을 제2 스테이션 내에 배치하는 단계를 포함한다. 일부 실시예에서, 스파이더가 제공된다. 따라서, 일부 실시예에서, 스파이더는 제1 기판을 제1 스테이션 내에 배치하고, 제1 기판을 제1 스테이션으로부터 제거하고, 제1 기판을 제2 스테이션 내에 배치한다. 선택적으로, 스테이션은 서로에 대해 고정될 수 있다. 일부 실시예에서, 제1 기판은 제1 스테이션에서 기판 홀더 내에 배치되고, 여기서 제1 기판을 제2 스테이션 내에 배치하는 단계는 기판 홀더의 이동 없이 수행된다. 일부 실시예에서, 회전 기판 홀더 및 스파이더 모두가 제공된다. Various methods are suitable for moving the substrate from station to station in accordance with the present method and reactor. In some embodiments, a rotating substrate holder (e.g., including rotating paddles) is provided. Thus, in some embodiments, disposing the first substrate in the second station includes rotating the substrate holder supporting the first substrate to dispose the first substrate in the second station. In some embodiments, a spider is provided. Thus, in some embodiments, the spider places the first substrate in the first station, removes the first substrate from the first station, and places the first substrate in the second station. Optionally, the stations may be fixed relative to one another. In some embodiments, the first substrate is disposed within the substrate holder at the first station, wherein the step of disposing the first substrate in the second station is performed without movement of the substrate holder. In some embodiments, both the rotating substrate holder and the spider are provided.

본원의 일부 실시예에 따라 스테이션에서 스테이션으로 기판을 이동시키기 위한 접근법의 예시가 도 3 내지 도 6에 개략적으로 도시되어 있다. 도 2a 내지 도 2b에 개략적으로 도시된 바와 같이, 단일 챔버를 포함하는 증착을 위한 종래의 접근법들(도 2a 참조)은 동일한 챔버에 복수의 공정 단계를 포함할 수 있다 (도 2b 참조 ). 이와 같이, 상이한 공정 단계로부터의 잔여 반응물은 서로 반응하여 바람직하지 않은 CVD 반응을 야기할 수 있다. 도 3a에 개략적으로 도시된 바와 같이, 본원의 일부 실시예에 따라, 기판은 본원의 일부 실시예에 따라 하나의 챔버에서 다른 챔버로 이동될 수 있다(해당하는 공정 단계가 도 3b에 개략적으로 도시되어 있음). 예컨대, 제1 공정 단계는 제1 스테이션 내에서 수행될 수 있고, 제2 공정 단계는 제2 스테이션 내에서 수행될 수 있다. 제1 공정 단계가 퍼지되기 어려운 반응물을 포함하고/하거나, 특히 이후 공정 단계의 반응물과 반응하는 경우, 본원의 일부 실시예에 따른 제1 공정 단계와 후속 공정 단계의 공간적 분리가 제1 반응물을 포함하는 반응을 감소시킬 수 있다. An example of an approach for moving a substrate from station to station in accordance with some embodiments of the present disclosure is schematically illustrated in Figs. 3-6 . As shown schematically in Figs. 2A-2B , conventional approaches for deposition including a single chamber ( see Fig. 2A ) can include a plurality of process steps in the same chamber ( see Fig. 2B ). As such, the remaining reactants from different process steps may react with one another and cause undesirable CVD reactions. As schematically shown in FIG . 3A , according to some embodiments herein, a substrate may be moved from one chamber to another in accordance with some embodiments of the present disclosure (corresponding process steps are schematically illustrated in FIG . 3B , Lt; / RTI > For example, the first processing step may be performed in the first station, and the second processing step may be performed in the second station. If the first process step comprises a reactant that is difficult to purge and / or reacts with reactants, especially in subsequent process steps, the spatial separation of the first process step and the subsequent process step according to some embodiments herein comprises the first reactant Lt; / RTI >

도 4a에 개략적으로 도시된 바와 같이, 본원의 일부 실시예에 따라, 기판은 별도의 스테이션에서 2개 이상의 공정 단계를 거칠 수 있고 (예컨대, 제1 스테이션 “RC1”에서 제1 공정 단계를 거치고 이어서 제2 공정 단계를 위해 제2 스테이션 “RC2”에 배치됨), 이어서 제3 스테이션 “RC3”에 배치될 수 있다. 해당하는 공정 단계가 도 4c에 개략적으로 도시되어 있다. 단일 챔버 (“RC1”)를 포함하는 종래의 접근법은 전형적으로 반응물의 펄스를 교대로 순차적으로 적용하는 단계 (예컨대 단계 1, 2, 3, 및 4), 및 챔버 내에서 상응하는 퍼지 단계를 수행하는 단계 (예컨대, 단계 1p, 2p, 3p)를 포함함을 유의한다 (도 4b 참조). 퍼지의 효율에 따라, 종래 기술의 접근법은 여전히 잔류하는 반응물 및 후속의 상이한 반응물 사이의 CVD 반응을 초래할 수 있음을 유의한다. 본원의 일부 실시예에 따르면, 퍼지의 일부 또는 전부가 공정 시간을 추가하지 않도록 기판이 상이한 반응에 대해 상이한 스테이션으로 이동된다. 예컨대 도 4c에 도시된 바와 같이, 기판은 스테이션 1, 2 및 3의 4개의 상이한 공정 단계에 노출될 수 있다 (각각 “RC1”“RC2” 및 “RC3”). 일부 실시예에서, 기판이 공정 단계에 노출된 후에 스테이션이 퍼지될 수 있다. 반응물 사이의 물리적인 분리는 스테이션을 기체 격리된 상태로 유지함으로써 달성될 수 있다. 선택적으로, 기판은 각각의 스테이션에서, 또는 분리된 퍼지 위치에서 퍼지될 수 있으며, 따라서 상이한 반응물 사이의 CVD 반응을 추가로 최소화될 수 있다. 선택적으로, 기판이 스테이션으로부터 제거됨과 동시에 또는 그 후에 퍼지가 계속될 수 있다. 퍼지와 반응물 사이의 공간적인 분리를 유지하는 조합은 도 4b에 제시된 접근법에 비해 공정 시간을 실질적으로 증가시킬 필요는 없지만, 반응기 상의 CVD 증착을 최소화 또는 제거하면서 실질적으로 더 높은 선택도 및 막 품질을 산출할 수 있음을 유의한다. 일부 실시예에서, 반응물은 각각의 스테이션에서 지속적으로 흐르며, 기판이 스테이션으로부터 제거된 후에, 이는 퍼지 위치 내에 배치되고 불활성 기체에 노출되므로, 임의의 트레일링 반응물이 스테이션으로부터 실질적으로 제거된다. 도 4에 제시된 예시에서, 스테이션은 중앙 웨이퍼 핸들링 챔버에 연결되고 웨이퍼는 중앙 웨이퍼 핸들링 챔버를 통해 스테이션에서 스테이션으로 이송된다. As schematically depicted in FIG . 4A , according to some embodiments herein, a substrate may undergo two or more process steps at a separate station (e.g., via a first process step at a first station " RC1 "&Quot; placed in the second station " RC2 " for the second process step), and then in the third station " RC3 ". The corresponding process steps are schematically illustrated in Fig. 4c . Conventional approaches involving a single chamber (" RC1 ") typically involve sequentially applying sequential pulses of reactants (e.g., steps 1, 2, 3, and 4) and performing a corresponding purge step in the chamber (E.g., steps 1p, 2p, 3p) ( see FIG. 4b ). It is noted that, depending on the efficiency of the purge, the prior art approach may still result in a CVD reaction between the remaining reactant and the subsequent different reactants. According to some embodiments herein, the substrate is moved to a different station for different reactions so that some or all of the purge does not add processing time. 4C , the substrate can be exposed to four different process steps of station 1, 2 and 3 ("RC1", "RC2" and "RC3", respectively). In some embodiments, the station may be purged after the substrate is exposed to a processing step. Physical separation between reactants can be achieved by keeping the station in a gas isolated state. Alternatively, the substrate may be purged at each station, or in a separate purged position, thus further minimizing the CVD reaction between the different reactants. Optionally, purging can be continued at the same time as or after the substrate is removed from the station. The combination of maintaining the spatial separation between the purge and the reactants is not required to substantially increase the process time relative to the approach shown in Figure 4b , but it is possible to achieve substantially higher selectivity and film quality while minimizing or eliminating CVD deposition on the reactor. It can be calculated. In some embodiments, the reactants continue to flow at each station, and after the substrate is removed from the station, it is placed in the purge position and exposed to the inert gas, so that any trailing reagent is substantially removed from the station. In the example shown in Figure 4 , the station is connected to the central wafer handling chamber and the wafer is transferred from the station to the station through the central wafer handling chamber.

도 5에 개략적으로 도시된 바와 같이, 본원의 일부 실시예에 따르면, 기판은 3개 이상의 스테이션(“RC1”“RC2”“RC3”) 사이에서 반복적으로 셔플링될 수 있으며, 상이한 공정 단계가, 예컨대 이중 선택적인 ALD의 맥락에서, 스테이션 각각에서 발생할 수 있다. 예컨대, 기판은, 제1 반응물이 기판과 접촉되는 제1 공정 단계를 위해 스테이션 1 (“RC1”)에 배치될 수 있고, 제2 반응물이 기판과 접촉되는 제2 공정 단계를 위해 스테이션 2 (“RC2”)에 배치될 수 있고, 적어도 제3 공정 단계를 위해 스테이션 3 (“RC3”)에 배치된다. 선택적으로, 공정은 목적하는 두께의 막이 기판의 목적하는 표면 상에 증착될 때까지 반복될 수 있다. 도 5의 예시에서, 스테이션은 중앙 웨이퍼 핸들링 챔버에 연결되지 않고, 웨이퍼는 하나의 스테이션에서 인접한 다른 스테이션으로 직접 이송된다. 스테이션은 웨이퍼 이송을 용이하게 하기 위해 개방될 수 있는 분리 밸브에 의해 분리된 별도의 반응 챔버에 배치될 수 있다. 챔버는 각각 원형 구조로 인접하여 배열될 수 있으므로, 마지막 챔버 (RC3)가 제1 챔버(RC1)에 인접하고 웨이퍼는 루프 내에서 이동될 수 있다. As schematically illustrated in Figure 5 , according to some embodiments herein, the substrate may be repeatedly shuffled between three or more stations (" RC1 "," RC2 "," RC3 "), For example in the context of a dual selective ALD. For example, the substrate may be placed in station 1 (" RC1 ") for a first process step in which a first reactant is in contact with the substrate, and station 2 (" RC2 ") and is located at station 3 (" RC3 ") for at least a third processing step. Optionally, the process can be repeated until a film of the desired thickness is deposited on the desired surface of the substrate. In the example of Figure 5, the station is not connected to the central wafer handling chamber, and the wafer is transported directly from one station to another adjacent station. The station can be placed in a separate reaction chamber separated by a separation valve that can be opened to facilitate wafer transfer. The chambers can be arranged adjacent to each other in a circular structure, so that the last chamber RC3 is adjacent to the first chamber RC1 and the wafer can be moved in the loop.

도 6에 도시된 바와 같이, 본원의 일부 실시예에 따르면, 기판은 다수의 스테이션 (예컨대, “RC1”“RC2”“RC3” 및 “RC4”) 사이에서 반복적으로 회전될 수 있다. 선택적으로, 회전은 목적하는 두께의 막이 형성될 때까지 반복될 수 있다. 상이한 반응물이 2개 이상의 상이한 스테이션에 제공될 수 있다. 예컨대, 각각의 스테이션 쌍이 상이한 ALD 공정을 수행할 수 있거나, 2개 이상의 스테이션 쌍이 동일한 ALD 공정을 수행할 수 있다. 즉, “RC1” 및 “RC2” 쌍은 “공정 1”을 수행할 수 있고, “RC3” 및 “RC4” 쌍은 “공정 1”또는 “공정 2”를 수행할 수 있다. 일부 실시예에서, 제1 반응물은 RC1에 제공되고, 제2 반응물은 RC2에 제공되고, 제3 반응물은 RC3에 제공되고, 제4 반응물은 RC4에 제공된다. 선택적으로, 단일 선택적인 ALD 공정의 맥락의 예시에서, 제1 반응물은 제3 반응물과 동일하고 (그러나 제2 및 제4 반응물과는 상이함), 제2 반응물은 제4 반응물과 동일하다 (그러나 제1 및 제3 반응물과는 상이함). 선택적으로, 이중 선택적인 ALD 맥락의 예시에서, 제1, 제2, 제3, 및 제4 반응물은 서로 상이하다. As shown in FIG . 6 , according to some embodiments herein, the substrate may be repeatedly rotated between multiple stations (e.g., "RC1", "RC2", "RC3", and "RC4"). Alternatively, rotation may be repeated until a film of the desired thickness is formed. Different reactants can be provided to two or more different stations. For example, each station pair may perform a different ALD process, or two or more station pairs may perform the same ALD process. That is, the pair "RC1" and "RC2" can perform "process 1", and the pair "RC3" and "RC4" can perform "process 1" or "process 2". In some embodiments, a first reactant is provided to RC1, a second reactant is provided to RC2, a third reactant is provided to RC3, and a fourth reactant is provided to RC4. Alternatively, in an example of the context of a monoselective ALD process, the first reactant is the same as the third reactant (but different from the second and fourth reactants), the second reactant is the same as the fourth reactant The first and third reactants being different). Alternatively, in an example of a bi-selective ALD context, the first, second, third, and fourth reactants are different from each other.

일부 실시예에서, 2개 이상의 스테이션 쌍이 동일한 반응물을 제공할 수 있음을 유의한다 (예컨대, RC1 및 RC2는 각각 제1 및 제2 반응물을 제공하고, RC3 및 RC4는 각각 제1 및 제2 반응물을 제공한다). 이와 같이, 다수의 증착 사이클은 두 쌍의 스테이션 사이에서 기판을 “회전”시키는 것(예컨대, RC1-> RC2 -> RC3 -> RC4 사이클을 통해)을 포함할 수 있거나, 한 쌍의 스테이션 사이에서 기판을 “교환”하는 것 (RC1과 RC2 사이의 기판 #1을 반복적으로 순환시킴)을 포함한다. 교환이 도 7a에 개략적으로 도시되어 있다. 회전이 도 7b에 개략적으로 도시되어 있다. 2개의 스테이션이 동일한 조건 하에 동일한 반응물을 제공하더라도, 사소한 차이가 존재할 수 있으므로 증착된 막의 특성에 약간의 차이가 있을 수 있음을 유의한다. 따라서, 본원의 일부 실시예에서, 기판은 교환을 통해 스테이션에서 스테이션으로 이동된다 (예컨대, 기판 #1은 RC1에 있고 기판 #2는 RC2에 있고, 상기 기판은 동시에 교환되어 기판 #1은 RC2에 있고, 기판 #1은 RC1에 있다). It is noted that, in some embodiments, two or more station pairs may provide the same reactant (e.g., RC1 and RC2 provide first and second reactants, respectively, and RC3 and RC4 provide first and second reactants, respectively to provide). As such, a number of deposition cycles may include " spinning " the substrate between two pairs of stations (e.g., through the RC1->RC2->RC3-> RC4 cycle) Quot; swap " the substrate (repeatedly circulating substrate # 1 between RC1 and RC2). The exchange is schematically illustrated in Figure 7a . The rotation is schematically illustrated in Figure 7B . It should be noted that although the two stations provide the same reactants under the same conditions, there may be slight differences in the properties of the deposited films as there may be minor differences. Thus, in some embodiments of the present application, the substrate is moved from station to station via an exchange (e.g., substrate # 1 is at RC1, substrate # 2 is at RC2, And substrate # 1 is in RC1).

일부 실시예에서, 2개 이상의 스테이션 쌍은 병렬인 2개 이상의 기판 상에서 동일한 증착 공정을 수행한다. 예컨대, 기판 #1은 RC1 내의 제1 반응물과 접촉되고 기판 #2는 RC2의 제1 반응물과 접촉된다. 이어서 기판 #1은 RC3 내로 교환되고 이어서 기판 #2는 RC4 내로 교환되고, 제2 반응물이 RC3 및 RC4에 제공된다. 증착 사이클은 (a) 목적하는 두께의 막이 달성될 때까지 RC1과 RC2 사이에 기판 #1, 및 (b) 목적하는 두께의 막이 달성될 때까지 RC3과 RC4 사이에 기판 #2를 교환함으로써 반복될 수 있다. 선택적으로, 기판은 쌍을 이루어 각각의 스테이션 내에 존재하며, 각 쌍의 기판은 서로 교환된다 (예컨대, 기판 #1은 RC1에 있고 기판 #2는 RC2에 있고, 기판 #3은 RC3에 있고 기판 #4는 RC4에 있고, 기판 #1과 기판 #2는 서로 교환되는 동시에, 기판 #3과 기판 #4가 서로 교환된다). In some embodiments, two or more station pairs perform the same deposition process on two or more substrates in parallel. For example, substrate # 1 is contacted with a first reactant in RC1 and substrate # 2 is contacted with a first reactant in RC2. Substrate # 1 is then exchanged into RC3, then substrate # 2 is exchanged into RC4, and the second reactant is provided to RC3 and RC4. The deposition cycle is repeated by (a) exchanging substrate # 2 between RC1 and RC2 until a film of the desired thickness is achieved, and (b) exchanging substrate # 2 between RC3 and RC4 until a film of the desired thickness is achieved . Optionally, the substrates are in pairs in each station, and each pair of substrates is interchanged (e.g., substrate # 1 is at RC1, substrate # 2 is at RC2, substrate # 3 is at RC3, 4 are in RC4, substrate # 1 and substrate # 2 are exchanged with each other, and substrate # 3 and substrate # 4 are exchanged with each other).

일부 실시예에서, 제1 반응물은, 제2 반응물이 제2 스테이션 내로 흘러 들어감과 동시에 제1 스테이션 내로 흘러 들어가지 않는다. 일부 실시예에서, 제1 반응물은 제1 스테이션 내로 지속적으로 흘러 들어가고/가거나 제2 반응물은 제2 스테이션 내로 지속적으로 흘러 들어간다. 선택적으로, 그 스테이션 내에 배치되고 지속적으로 흘러들어온 반응물과 접촉된 후, 기판은 후속 스테이션 내에 배치되기 전에 퍼지를 위해 퍼지 위치에 배치된다. In some embodiments, the first reactant does not flow into the first station as the second reactant flows into the second station. In some embodiments, the first reactant continues to flow into the first station and / or the second reactant continues to flow into the second station. Optionally, after being placed in the station and contacting the continuously flowing reactants, the substrate is placed in the purge position for purging before being placed in a subsequent station.

일부 실시예에서, 제1 기판은, 제1 기판이 제2 스테이션에서 제2 반응물에 노출되는 압력과 다른 압력에서 제1 스테이션 내의 제1 반응물에 노출된다. 예컨대, 제1 스테이션과 제2 스테이션 사이에 적어도 0.5배의 압력 차이, 예컨대 2개의 스테이션 사이에 0.5-배, 1, 1.5, 2, 2.5, 3, 3.5, 4, 4.5, 5, 6, 7, 8, 9, 10, 15, 20, 25, 20, 40, 또는 50배의 압력 차이가 있을 수 있다. 일부 실시예에서, 제1 스테이션은 제2 스테이션보다 큰 압력에 있다. 일부 실시예에서, 제2 스테이션은 제1 스테이션보다 큰 압력에 있다. In some embodiments, the first substrate is exposed to the first reactant in the first station at a different pressure than the pressure at which the first substrate is exposed to the second reactant at the second station. For example, a pressure differential of at least 0.5 times between the first station and the second station, for example, 0.5-fold, 1.5, 2, 2.5, 3, 3.5, 4, 4.5, 8, 9, 10, 15, 20, 25, 20, 40, or 50 times the pressure difference. In some embodiments, the first station is at a higher pressure than the second station. In some embodiments, the second station is at a higher pressure than the first station.

기판 및 증착 화학Substrate and deposition chemistry

다양한 기판 및 증착 화학이 본원의 실시예에 따라 사용될 수 있다. Various substrate and deposition chemistries may be used in accordance with the embodiments herein.

일부 실시예에서, 단일 선택적인 ALD가 수행된다. 일부 실시예에서, 이중 선택적인 ALD가 수행된다. 이중 선택적인 ALD는 기판 (예컨대 유전체)의 제1 노출된 표면 상에 제1 막의 선택적인 증착, 및 기판 (예컨대 금속)의 제2의 상이한 노출된 표면 상에 제2의 상이한 막의 선택적인 증착을 포함할 수 있다. 선택적으로, 제1 노출된 표면 상의 제1 박막의 증착은 목적하는 두께의 제1 막이 달성될 때까지 반복될 수 있고, 제2 표면 상의 제2 박막의 증착은 목적하는 두께의 제2 막이 달성될 때까지 반복될 수 있다. 일부 실시예에서, 목적하는 두께의 제1 막이 완료되고 (예컨대, 제1 박막의 증착이 수 회 반복됨), 이어서 제2 막이 증착된다 (예컨대, 제2 박막의 증착이 수회 반복됨). 일부 실시예에서, 제1 막 및 제2 막의 교번 증착이 수행되고 (예컨대, 제1 박막의 증착이 1회 이상 반복되고, 제2 박막의 증착이 1회 이상 반복됨), 이러한 사이클은 1회 이상 반복된다. In some embodiments, a single selective ALD is performed. In some embodiments, dual selective ALD is performed. The dual selective ALD can be used to selectively deposit a first film on a first exposed surface of a substrate (e.g., a dielectric) and selectively deposit a second different film on a second different exposed surface of the substrate . Alternatively, the deposition of the first thin film on the first exposed surface can be repeated until the first film of the desired thickness is achieved, and the deposition of the second thin film on the second surface is achieved by the second film of the desired thickness Can be repeated until. In some embodiments, the first film of desired thickness is completed (e.g., the deposition of the first film is repeated several times), and then the second film is deposited (e.g., the deposition of the second film is repeated a number of times). In some embodiments, alternate deposition of the first and second films is performed (e.g., the deposition of the first film is repeated one or more times and the deposition of the second film is repeated one or more times) Is repeated.

일부 실시예에서, Ru가 기판(예컨대, 금속)의 제1 노출된 표면 상에 선택적으로 증착되고, SiO2 또는 GeO2가 기판(예컨대, 유전체)의 제2 노출된 표면 상에 선택적으로 증착된다. 예컨대, 제1 스테이션 쌍은 제1 스테이션에 Ru 및 제2 스테이션에 산소 공급원 (예컨대 O2 또는 O3 또는 O2 플라즈마)을 제공하고, 제2 스테이션 쌍은 제3 스테이션에 Si 또는 Ge 전구체 및 제4 스테이션에 전술된 산소 공급원을 제공한다. 선택적으로, 부동태화제가 제5 스테이션에 제공될 수 있으며, 상기 부동태화제는 Ru 증착을 위해 SiO2 또는 GeO2 표면을 부동태화하고, 상기 부동태화 화합물은 실릴화 화합물이다. 본원의 일부 실시예에 따라 Ru가 기판의 제1 노출된 표면 상에 선택적으로 증착되고 SiO2 또는 GeO2가 제2 노출된 표면 상에 선택적으로 증착되는 예시가 도 8a 내지 도 8c에 도시되어 있다. 도 8a는 2개의 챔버에서의 이중 선택적인 ALD 공정을 나타내며, 하나의 챔버는 Ru ALD 증착 공정을 위한 것이고 또 다른 챔버는 SiO2 또는 GeO2 ALD 공정 및 부동태화 처리를 위한 것이다. Ru 산소 공급원 사이클은 x회 반복되고 이어서 Si 또는 Ge 산화 사이클이 부동태화 처리와 함께 이어진다. 전체 사이클은 목적하는 두께의 막이 수득될 때까지 y회 반복된다. 8ba 내지 도 8bd는 본원의 일부 실시예에 따른 예시적인 공정 단계를 나타낸다. 도 8c 내지 도 8d는 본원의 일부 실시예에 따른 예시적인 공정 화학 및 흡착 및 반응 단계를 나타낸다. 임의의 이론에 제한되지 않고, 본원의 일부 실시예에 따르면, HCOOH는 Ru 표면으로부터 잔류 O 라디칼을 단지 제거하여 HCOOH가 Ru 표면 상에 남지 않을 수 있는 것으로 생각된다. In some embodiments, Ru is selectively deposited on the first exposed surfaces of the substrate (e.g., metal), a SiO 2 or GeO 2 is selectively deposited on the second exposed surface of the substrate (e.g., dielectric) . For example, the first station pair may provide Ru to the first station and an oxygen source (e.g., O 2 or O 3 or O 2 plasma) to the second station, the second station may provide Si or Ge precursor to the third station, 4 stations. Optionally, a passivating agent may be provided to the fifth station, which passivates the SiO2 or GeO2 surface for Ru deposition, and the passivating compound is a silylated compound. Examples in which Ru is selectively deposited on a first exposed surface of a substrate and SiO 2 or GeO 2 is selectively deposited on a second exposed surface according to some embodiments of the present disclosure are shown in Figures 8a to 8c . Figure 8a shows a dual selective ALD process in two chambers, one chamber for the Ru ALD deposition process and another chamber for the SiO2 or GeO2 ALD process and passivation process. The Ru oxygen source cycle is repeated x times followed by a Si or Ge oxidation cycle followed by a passivating treatment. The entire cycle is repeated y times until a film of the desired thickness is obtained. Figure 8ba To 8bd illustrate exemplary process steps in accordance with some embodiments of the present disclosure. Figure 8c to Figure 8d shows an exemplary process chemistry and adsorption and reaction steps according to some embodiments of the present application. Without wishing to be bound by any theory, it is believed that according to some embodiments of the present invention, HCOOH may simply remove residual O radicals from the Ru surface such that the HCOOH may not remain on the Ru surface.

일부 실시예에서, Sb가 기판(예컨대, 금속)의 제1 노출된 표면 상에 선택적으로 증착되고, W가 기판(예컨대, 유전체)의 제2 노출된 표면 상에 선택적으로 증착된다. 도 9는 본원의 일부 실시예에 따른 Sb/W 쌍에 대한 다양한 공정 흐름을 개략적으로 나타낸다. 기판은 W 및 Sb 층의 증착에 필요한 반응 사이클의 횟수에 따라 4개의 스테이션 사이에서 자유롭게 이송될 수 있다. In some embodiments, Sb is selectively deposited on a first exposed surface of a substrate (e.g., metal) and W is selectively deposited on a second exposed surface of a substrate (e.g., dielectric). 9 schematically illustrates various process flows for an Sb / W pair in accordance with some embodiments of the present disclosure. The substrate can be freely transported between the four stations depending on the number of reaction cycles required for deposition of the W and Sb layers.

반응기Reactor

본원의 일부 실시예에 다른 반응기는 서로 기체 격리된 상태인 제1 스테이션 및 제2 스테이션을 포함하며 (또는, 반응기는, 기판이 주어진 스테이션 내에 배치된 후 주어진 스테이션을 다른 스테이션과 기체 격리된 상태로 배치되도록 구성된다), 여기서 제1 스테이션은 제1 반응물 공급원과 기체 연통하는 상태이고 제2 스테이션은 제2 반응물 공급원과 기체 연통하는 상태이고, 제1 및 제2 반응물은 서로 상이하다. 반응기는 스테이션에서 스테이션으로의 기판의 이동, 스테이션 내로의 반응물의 흐름, 및/또는 스테이션 및/또는 퍼지 위치의 퍼지를 제어하도록 세팅된 제어기를 추가로 포함할 수 있다. 일부 실시예에서, 반응기는 ALD 반응기를 포함한다. 일부 실시예에서 ALD 반응기는 선택적인 ALD, 예컨대 단일-선택적인 ALD 또는 이중-선택적인 ALD를 위해 구성된다. Other reactors in some embodiments of the present invention include a first station and a second station that are gas isolated from each other (or, alternatively, the reactor may be configured such that a given station is placed in a given station, Wherein the first station is in gas communication with the first reactant source and the second station is in gas communication with the second reactant source and the first and second reactants are different from each other. The reactor may further include a controller set to control the movement of the substrate from the station to the station, the flow of reactants into the station, and / or the purging of the station and / or purge position. In some embodiments, the reactor comprises an ALD reactor. In some embodiments, the ALD reactor is configured for selective ALD, such as single-selective ALD or dual-selective ALD.

반응기는 기판 상의 ALD를 위해 구성될 수 있다. 반응기는 제1 기판을 포함하도록 구성된 제1 스테이션을 포함할 수 있으며, 여기서 제1 스테이션은 제1 기판이 제1 반응물과 접촉하도록 구성되어 있고, 여기서 제1 반응물은 제1 기판과 반응하여 제1 반응물의 하나의 단일층만이 제1 기판의 표면 상에 흡착된다. 반응기는 제1 스테이션과 기체 격리된 상태인 제2 스테이션을 포함할 수 있으며 (또는 기판이 제2 스테이션에 배치되는 것과 동시에 또는 그 후에 제1 스테이션과 기체 격리된 상태로 배치됨), 여기서 제2 스테이션은 제1 기판을 포함하고 제1 기판이 제1 반응물이 사실상 없는 상태에서 제2 반응물과 접촉하도록 구성되며, 여기서 제2 반응물은 제1 반응물과 상이하고 제1 반응물의 하나의 단일층과만 반응하여 목적하는 재료의 하나의 단일층만이 제1 노출된 표면 상에 형성된다. The reactor may be configured for ALD on the substrate. The reactor may include a first station configured to include a first substrate wherein the first station is configured to contact the first reactant, wherein the first reactant reacts with the first substrate to form a first Only one single layer of reactant is adsorbed on the surface of the first substrate. The reactor may comprise a second station in a gas isolated state with the first station (or arranged so as to be gas isolated from the first station at the same time as or after the substrate is placed in the second station) Comprises a first substrate and the first substrate is configured to contact a second reactant in the substantially absence of the first reactant, wherein the second reactant is different from the first reactant and reacts only with a single layer of the first reactant So that only a single layer of the desired material is formed on the first exposed surface.

반응기는 제1 기판을 제1 스테이션 내에 배치하고, 후속하여 제1 기판이 제1 반응물과 접촉된 후에 기판을 제2 스테이션에 배치하도록 구성된 기판 이송 시스템을 추가로 포함할 수 있다. 반응기는 중간 공간을 포함할 수 있다(“기판 이송 공간”으로도 언급되는, 본원의 일부 실시예에 따른 “중간 공간”을 나타내는 도 17 참조). 기판 이송 시스템은 기판을 중간 공간 내로 이동시키도록 구성된 스파이더와 같은 기판 이송 부재를 포함할 수 있다. 일부 실시예에서, 스테이션을 한정하는 이동식 장벽이 이동되고, 기판이 중간 공간에 노출되고, 이송 부재가 중간 공간을 통해 기판을 다른 스테이션으로 이송하고, 이어서 이동식 장벽을 통해 기체 격리된 상태로 배치된다. 일부 실시예에서, 반응기의 기판 이송 시스템은 하나 이상의 기판 이송 기구 (예컨대, 이동식 스테이지)을 포함하며, 여기서 각각의 기판 이송 기구는 오직 하나의 스테이션과만 결합되고, 이의 스테이션과 중간 공간 사이에서 기판을 셔틀링시킬 수 있다. 이와 같이, 각각의 스테이션에 대한 이송 기구는 기판을 특정 스테이션에서 중간 공간으로, 또는 중간 공간에서 스테이션으로 이동시킬 수 있다. 예컨대, 이동식 스테이지는 중간 공간 사이의 기판, 및 상기 특정한 이동식 스테이지와 결합된 스테이션을 상승시키거나 하강시킬 수 있다. 일부 실시예에서, 기판 이송 기구, 또는 기판을 수용하도록 구성된 스테이션 내의 스테이지 또는 서셉터는 다수의 리프트 핀을 포함한다. 리프트 핀이 연장된 경우, 연장된 리프트 핀 상에 놓여진 기판은 픽업 또는 드롭 오프를 위해 기판 이송 부재 (예컨대 스파이더)에 용이하게 접근할 수 있다. 리프트 핀이 후퇴하는 경우, 기판은 적절한 표면 (예컨대, 스테이지 또는 서셉터의 표면) 상에 위치할 수 있다. 중간 공간 내에서, 기판은 스테이션에서 또 다른 곳으로, 또는 하나의 기판 이송 기구 (예컨대, 이동식 스테이지)에서 또 다른 곳으로, 예컨대 회전 기판 이송 부재 예컨대 스파이더를 통해 이동될 수 있다 (예컨대 도 10참조). 선택적으로, 각각의 기판 이송 기구(예컨대 이동식 스테이지)은 중간 공간 내의 기판 이송 기구로부터 기판을 연장하고 리프팅하도록 구성된 복수의 리프트 핀을 포함한다. 리프팅된 기판은 이송 부재 예컨대 스파이더에 의해 용이하게 픽업되어 기판을 중간 공간 내의 상이한 기판 이송 기구로 이동시킬 수 있다. 선택적으로, 기판을 스테이션 (예컨대 서셉터 또는 스테이지 상의) 또는 스테이션과 결합된 기판 이송 기구 상에 배치한 후에, 기판 이송 부재는 중간 공간 내로 후퇴된다. 따라서, 기판 이송 시스템은 기판을 상이한 스테이션 사이로 이동시킬 수 있지만, 기판 이송 시스템의 표면은 하나 보다 많은 스테이션 또는 그 안의 반응물에 노출되지 않는다. 즉, 기판 이송 시스템의 각각의 부분은 오직 하나의 반응물 (예컨대 기판 이송 기구 예컨대 이동식 스테이지)에 실질적으로 노출될 수 있거나, 반응물 (예컨대 기판 이송 부재 예컨대 중간 공간 내의 스파이더)에 실질적으로 노출되지 않을 수 있다. 각각의 표면을 하나의 반응물에만 노출시키는 것이 그 표면 상에서 바람직하지 않은 ALD 및/또는 CVD 반응을 최소화할 수 있는 것으로 생각된다. 반응기는, 예컨대 본원에 기술된 바와 같은 제어기의 제어 하에 제1 기판을 제2 반응물에 접촉시킨 후에 제1 기판을 제1 스테이션 내에 배치하도록 구성될 수 있다. 선택적으로, 반응기는 목적하는 두께의 막이 노출된 표면 상에 증착될 때까지 공정을 반복하도록 구성된다. 선택적으로, 반응기의 표면은 제1 및 제2 반응물 모두와 접촉되지 않는다 (예컨대, 제1 및 제2 스테이션의 표면, 기체 공급원 라인, 퍼지 라인, 기판 이송 부재, 서셉터, 및/또는 기판 이송 기구는, 존재하는 경우 제1 및 제2 반응물 모두와 접촉되지 않는다). 그러나, 기판은 제1 및 제2 반응물 모두에 의해 접촉될 수 있음을 유의한다. The reactor may further include a substrate transfer system configured to position the first substrate in the first station and subsequently to position the substrate in the second station after the first substrate is contacted with the first reactant. The reactor may comprise an intermediate space ( see FIG. 17 , which also refers to a " intermediate space " according to some embodiments of the present invention, also referred to as a " substrate transfer space "). The substrate transfer system may include a substrate transfer member, such as a spider, configured to move the substrate into the intermediate space. In some embodiments, the movable barriers defining the station are moved, the substrate is exposed to the intermediate space, the transporting member transports the substrate to the other station through the intermediate space, and then the gas is isolated through the movable barrier . In some embodiments, the substrate transfer system of the reactor comprises at least one substrate transfer mechanism (e.g., a mobile stage), wherein each substrate transfer mechanism is coupled to only one station, Can be shuttled. As such, the transport mechanism for each station can move the substrate from the particular station to the intermediate space, or from the intermediate space to the station. For example, a mobile stage may raise or lower a substrate between intermediate spaces and a station associated with the particular mobile stage. In some embodiments, a stage or susceptor in a station configured to receive a substrate transfer mechanism, or substrate, includes a plurality of lift pins. When the lift pins are extended, the substrate placed on the extended lift pins can easily access the substrate transfer member (e.g., spider) for pick-up or drop-off. When the lift pin retracts, the substrate may be positioned on an appropriate surface (e.g., the surface of the stage or susceptor). In the intermediate space, the substrate may be moved through another place, or in a substrate transfer mechanism to another place in a (e. G., A mobile stage), for example, rotating the substrate transfer member, for example a spider at a station (e.g. see FIG. 10 ). Optionally, each substrate transfer mechanism (e.g., a mobile stage) includes a plurality of lift pins configured to extend and lift the substrate from a substrate transfer mechanism in the intermediate space. The lifted substrate can be easily picked up by a transporting member, such as a spider, to move the substrate to a different substrate transport mechanism in the intermediate space. Optionally, after placing the substrate on a station (e.g., susceptor or stage) or a substrate transfer mechanism associated with the station, the substrate transfer member is retracted into the intermediate space. Thus, the substrate transport system can move the substrate between different stations, but the surface of the substrate transport system is not exposed to more than one station or reactants therein. That is, each portion of the substrate transfer system may be substantially exposed to only one reactant (e.g., a substrate transfer mechanism, e.g., a mobile stage) or may be substantially unexposed to a reactant (e.g., a substrate transfer member, have. It is believed that exposing each surface to only one reactant can minimize undesirable ALD and / or CVD reactions on that surface. The reactor may be configured to place the first substrate in the first station after contacting the first substrate with the second reactant under the control of a controller as described herein, for example. Optionally, the reactor is configured to repeat the process until a film of the desired thickness is deposited on the exposed surface. Alternatively, the surface of the reactor is not in contact with both the first and second reactants (e.g., the surfaces of the first and second stations, the gas source line, the purge line, the substrate transfer member, the susceptor, and / Does not contact both the first and second reactants, if present). However, it is noted that the substrate may be contacted by both the first and second reactants.

일부 실시예에서, 반응기는 2개의 상이한 노출된 표면을 포함하는 제1 기판 상에 선택적인 ALD를 위해 구성된다. 반응기는 제1 노출된 표면 및 제2 노출된 표면을 포함하는 제1 기판을 포함하도록 구성된 제1 스테이션을 포함할 수 있으며, 여기서 제1 스테이션은 제1 기판과 제1 반응물이 접촉되도록 구성되고, 여기서 제1 반응물은 제2 노출된 표면에 대해 제1 노출된 표면과 우선적으로 반응하여 제1 반응물의 하나의 단일층만이 제1 노출된 표면 상에 흡착된다. 반응기는 제1 스테이션과 기체 격리된 상태인 제2 스테이션을 포함할 수 있으며 (또는 기판이 제2 스테이션 내에 배치되는 것과 동시에 또는 그 후에 제1 스테이션과 기체 격리된 상태로 배치될 수 있음), 여기서 제2 스테이션은 제1 기판을 포함하고 제1 기판은 제1 반응물이 사실상 없는 상태에서 제2 반응물과 접촉되도록 구성되고, 여기서 제2 반응물은 제1 반응물과 상이하고 제2 노출된 표면에 대해 제1 노출된 표면 상의 제1 반응물의 하나의 단일층과 우선적으로 반응하여 목적하는 재료의 하나의 단일층만이 제1 노출된 표면 상에 형성된다. 반응기는, 제1 기판을 제1 스테이션 내에 배치하고, 후속하여 제1 기판이 제1 반응물과 접촉된 후에 상기 기판을 제2 스테이션 내에 배치하도록 구성된 이송 부재를 추가를 포함할 수 있으며, 여기서 반응기는 제1 기판을 제2 반응물에 접촉시킨 후에 제1 기판을 제1 스테이션 내에 배치하도록 구성된다. 선택적으로, 이송 부재는 스파이더를 포함한다. 선택적으로, 이송 부재는 회전 부재, 예컨대 회전 기판 홀더를 포함한다. 반응기는, 제1 스테이션 내의 제1 기판을 제2 반응물이 사실상 없는 상태에서 제1 반응물과 접촉시키는 단계, 및 제2 스테이션 내의 제1 기판을 제1 반응물이 사실상 없는 상태에서 제2 반응물과 접촉시키는 단계를, 목적하는 두께의 막이 제2 표면이 아닌 제1 표면 상에 선택적으로 형성될 때까지 반복되도록 추가로 구성될 수 있다. 선택적으로, 이송 부재는 2개 이상의 상이한 스테이션 쌍 사이에서 기판이 이동되도록 구성된다. 선택적으로, 이송 부재는 특정 스테이션 쌍 사이에서 기판이 반복적으로 교환되도록 구성된다. ALD 반응기는, 이송 부재를 통해 기판을 제1 스테이션으로 이동시키고, 제1 기판이 제1 반응물과 접촉되도록 제1 스테이션을 지시하고, 이송 부재를 통해 기판을 제2 스테이션으로 이동시키고, 제1 기판이 제2 반응물과 접촉되도록 제2 스테이션으로 지시하도록 세팅된 제어기를 추가로 포함할 수 있다. 선택적으로, 반응기는 2개 이상의 병렬인 웨이퍼 상에 선택적인 증착을 수행하도록 구성된다. 예컨대, 2개 이상의 웨이퍼는 2개 이상의 상이한 스테이션 쌍에서 선택적으로 처리될 수 있다. 예컨대, 웨이퍼 쌍은 동일한 스테이션 쌍에서 동시에 선택될 수 있다 (따라서, 웨이퍼 #1은 스테이션 #1에서 시작하고, 웨이퍼 #2는 스테이션 #2에서 시작하고, 이어서 웨이퍼 #1은 웨이퍼 #2와 교환되고, 상기 교환은 목적하는 두께의 막이 형성될 때가지 반복된다). In some embodiments, the reactor is configured for selective ALD on a first substrate comprising two different exposed surfaces. The reactor may include a first station configured to include a first substrate comprising a first exposed surface and a second exposed surface, wherein the first station is configured to contact the first substrate with the first reactant, Wherein the first reactant preferentially reacts with the first exposed surface with respect to the second exposed surface such that only a single layer of the first reactant is adsorbed on the first exposed surface. The reactor may include a second station in a gas isolated state with the first station (or may be placed in a gas isolated state with the first station at the same time as or after the substrate is placed in the second station), wherein The second station comprises a first substrate and the first substrate is configured to be in contact with a second reactant in the substantially absence of the first reactant, wherein the second reactant is different from the first reactant and the second reactant 1 preferentially react with one single layer of the first reactant on the exposed surface so that only a single layer of the desired material is formed on the first exposed surface. The reactor may further include a transfer member configured to place the first substrate in the first station and subsequently to place the substrate in the second station after the first substrate is contacted with the first reactant, And to place the first substrate in the first station after contacting the first substrate with the second reactant. Optionally, the conveying member comprises a spider. Optionally, the transfer member comprises a rotating member, for example a rotating substrate holder. Contacting the first substrate in the first station with the first reactant in the substantially absence of the second reactant and contacting the first substrate in the second station with the second reactant in a substantially absence of the first reactant May be further configured to repeat until the film of the desired thickness is selectively formed on the first surface rather than the second surface. Optionally, the transfer member is configured to move the substrate between two or more different pairs of stations. Optionally, the transfer member is configured such that the substrate is repeatedly exchanged between a particular pair of stations. The ALD reactor is configured to move the substrate through the transfer member to the first station, to direct the first station to contact the first reactant, move the substrate to the second station via the transfer member, And may be further configured to direct the second station to contact the second reactant. Optionally, the reactor is configured to perform selective deposition on two or more parallel wafers. For example, two or more wafers may be selectively processed in two or more different station pairs. For example, a pair of wafers may be selected simultaneously in the same pair of stations (thus wafer # 1 starts at station # 1, wafer # 2 starts at station # 2, and wafer # 1 is then exchanged with wafer # 2 , The exchange is repeated until a film of the desired thickness is formed).

일부 실시예에서, 반응기는 적어도 2개의 스테이션 쌍, 예컨대 적어도 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 25, 30, 35, 40, 45, 또는 50개의 스테이션 쌍 (임의의 2개의 나열된 값 사이의 범위를 포함함)을 포함한다. 선택적으로, 스테이션의 일부 또는 전부는 서로 지속적으로 기체 격리된 생태이다. 선택적으로, 스테이션의 일부 또는 전부는, 기판이 스테이션 내에 배치되기 전에, 배치될 때, 또는 그 후에, 예컨대 본원에 기술된 물리적 장벽 내에 기판을 둘러싸는 것에 의해 서로 기체 격리된 상태로 배치될 수 있다. 반응기는 스테이션이 존재하는 만큼의 웨이퍼, 또는 선택적으로 스테이션이 존재하는 것보다 적은 수의 웨이퍼를 보유하도록 구성될 수 있다. 일부 실시예에서, 반응기에 의해 처리되는 웨이퍼 대 스테이션 수의 비율은 1:1 미만, 예컨대 0.9:1, 0.8:1, 0.7:1, 0.6:1, 0.5:1, 0.4:1, 0.3:1, 0.2:1, 0.1:1, 0.05:1, 또는 0.01:1 미만 (임의의 2개의 나열된 값 사이의 범위를 포함함)이다. 선택적으로, 회전 기판 이송 부재는, 기판이 적어도 하나의 스테이션에서 정지하도록 (예컨대, 기판이 증착 공정 동안 지속적으로 움직이지 않도록) 구성된다. 본원의 일부 실시예에 따른 스테이션의 예시적인 배열이 도 5, 도 6, 도 10, 도 11a 내지 도 11c, 도 14a 내지 도 14c, 도 18, 도 19a에 나타나 있다. In some embodiments, the reactor comprises at least two station pairs, such as at least 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 , 20, 25, 30, 35, 40, 45, or 50 station pairs (including a range between any two listed values). Optionally, some or all of the stations are ecologically constantly gas isolated from each other. Optionally, some or all of the stations may be placed in gas isolation from each other by, for example, surrounding the substrate within the physical barriers described herein, before, after, or after the substrate is placed in the station . The reactor can be configured to hold as many wafers as there are stations, or alternatively, fewer wafers than there are stations. In some embodiments, the ratio of the number of wafers to the number of stations processed by the reactor is less than 1: 1, such as 0.9: 1, 0.8: 1, 0.7: 1, 0.6: 1, 0.5: , 0.2: 1, 0.1: 1, 0.05: 1, or less than 0.01: 1 (including the range between any two listed values). Optionally, the rotating substrate transfer member is configured such that the substrate is stationary in at least one station (e.g., such that the substrate does not move continuously during the deposition process). An exemplary arrangement of stations according to some embodiments of the present disclosure is shown in Figures 5,6, 10,11A-11C, 14A-14C, 18, and 19A .

선택적으로, 반응기는 기판의 선형 이동을 위해 구성된다. 예컨대, 일련의 스테이션 사이의 선형 이동은 본원에 기술된 바와 같이 기판을 “교환”또는 “회전”하는 것과 호환될 수 있다. Optionally, the reactor is configured for linear movement of the substrate. For example, linear movement between a series of stations may be compatible with " swap " or " rotate " the substrate as described herein.

본원에 사용된 “기판 이송 부재”또는 “이송 부재”는 기판을 제1 스테이션에서 (또는 제1 스테이션과 결합된 이송 기구에서) 제2 스테이션으로 (또는 제2 스테이션과 결합된 이송 기구로) 이동시킬 수 있는 회전 부재 또는 스파이더와 같은 구조를 지칭한다. 일부 실시예에서, 이송 시스템은 스파이더를 포함하는 이송 부재를 포함한다. 본원에 사용된 “스파이더(spider)”는 다수의 아암(arm)을 갖는 웨이퍼 이송 부재를 지칭하며, 각각의 아암은 스파이더 엔드 이펙터(end effector)를 통해 웨이퍼와 결합되도록 구성된다. 스파이더는 다수의 반응 스테이션에 대해 중앙에 배치될 수 있다. 본원의 일부 실시예에 따른 예시적인 스파이더가 도 10에 도시되어 있다. 도 10은 4개의 반응 스테이션 (201, 202, 203, 204)에 대해 중앙에 배치된 스파이더 (200)를 나타내는 개략적인 도이다. 스파이더는 4개의 아암(205)을 가지며, 각각의 아암은 웨이퍼에 결합하기 위한 스파이더 엔드 이펙터(206)가 구비되어 있다. 웨이퍼가 이송될 필요가 있는 경우, 웨이퍼는 리프트 핀 또는 유사한 구조에 의해 상승되고, 스파이더(200)는 회전되어 스파이더 엔드 이펙터(206)가 웨이퍼 아래에 있고 스파이더 엔드 이펙터가 웨이퍼에 결합된다. 이어서, 스파이더는 90도 이상 회전하고 (또는 다른 수의 스테이션이 존재하는 경우 다른 값; 고르게 분산된 스테이션의 경우, 상기 값은 360도를 스테이션의 수로 나눈 값이 될 수 있음), 스파이더 엔드 이펙터(206)는 웨이퍼와 분리되어, 웨이퍼를 표면 상에 (예컨대, 스테이션 내의 서셉터 상에, 또는 본원에 기술된 바와 같은 기판 이송 기구 상에) 남겨두며, 이는 또한 리프트 핀 또는 기판을 상승시키기 위한 유사한 구조를 포함할 수 있다. 이어서, 스파이더(200)는 스테이션들 (201, 202, 203, 204) 사이의 중간 위치로 이동될 수 있으므로, 스테이션이 서로 기체 격리된 상태로 될 때, 스파이더 또는 이의 어떠한 구성 요소도 어떠한 반응 기체에 노출되지 않는다. 선택적으로, 추가의 엔드 이펙터(207)는 웨이퍼를 반응 스테이션의 클러스터 밖으로 이동시켜 웨이퍼 핸들링 챔버, 로드 록 챔버, 및/또는 또 다른 반응 스테이션 클러스터 내로 이동시킬 수 있다. 상기 기판 이송 시스템의 경우, 반응기의 표면이 2개의 상이한 반응물과 실질적으로 접촉되지 않음을 유의한다. 예컨대, 기판 그 자체는 2개 이상의 상이한 반응물과 실질적으로 접촉될 수 있고, 스파이더는 하나의 반응물과만 실질적으로 접촉된다 (또는, 일부 실시예에서, 스파이더는 실질적으로 어떠한 반응물과도 접촉되지 않는다). As used herein, a " substrate transfer member " or " transfer member " moves a substrate from a first station (or from a transfer mechanism associated with a first station) to a second station (or to a transfer mechanism associated with a second station) Such as a rotatable member or a spider. In some embodiments, the transport system includes a transport member including a spider. A " spider " as used herein refers to a wafer transfer member having a plurality of arms, each arm configured to engage a wafer via a spider end effector. The spider may be centrally located for multiple reaction stations. An exemplary spider in accordance with some embodiments of the present application is shown in FIG. 10 is for a four reaction station (201, 202, 203, and 204) showing the spider 200 disposed in the center Fig. The spider has four arms 205 , each arm having a spider end effector 206 for coupling to the wafer. When the wafer needs to be transferred, the wafer is raised by a lift pin or similar structure, and the spider 200 is rotated so that the spider end effector 206 is below the wafer and the spider end effector is coupled to the wafer. The spider then rotates by more than 90 degrees (or another value if there are a different number of stations; for a station evenly distributed, the value can be 360 degrees divided by the number of stations), the spider end effector 206 are separated from the wafer leaving the wafer on the surface (e.g., on a susceptor in the station, or on a substrate transfer mechanism as described herein), which is also similar to a lift pin or similar Structure. The spider 200 can then be moved to an intermediate position between the stations 201, 202, 203, 204 so that when the stations are gas isolated from each other, the spider or any component thereof Not exposed. Optionally, a further end effector 207 may move the wafer out of the cluster of reaction stations into the wafer handling chamber, the load lock chamber, and / or another reaction station cluster. It should be noted that, in the case of the substrate transfer system, the surface of the reactor is not in substantial contact with the two different reactants. For example, the substrate itself may be in substantial contact with two or more different reactants, and the spider is substantially in contact only with one reactant (or, in some embodiments, the spider does not contact substantially any reactants) .

일부 실시예에서, 기판 이송 시스템은 다수의 “기판 이송 기구”을 포함하며, 여기서 각각의 기판 이송 기구는 오직 하나의 스테이션과 결합되고, 특정 스테이션과 중간 공간 사이에서, 예컨대 상승 및 하강함으로써 기판을 셔틀링할 수 있다. 선택적으로, 각각의 기판 이송 기구(예컨대 이동식 스테이지)은 중간 공간 내의 기판 이송 기구로부터 기판을 연장하고 리프팅하도록 구성된 복수의 리프트 핀을 포함한다. 리프팅된 기판은 이송 부재 예컨대 스파이더에 의해 용이하게 픽업되어 기판을 중간 공간 내의 상이한 기판 이송 기구로 이동시킬 수 있다. 이와 같이, 각각의 기판 이송 기구는 하나의 스테이션에만 노출되고, 따라서 하나의 반응물 (또는 공정 단계)에만 실질적으로 노출된다. 일부 실시예에서, 각각의 기판 이송 기구는 이동식 스테이지를 포함한다. In some embodiments, the substrate transfer system includes a plurality of " substrate transfer mechanisms " wherein each substrate transfer mechanism is associated with only one station, and between the particular station and the intermediate space, Shuttleing can be done. Optionally, each substrate transfer mechanism (e.g., a mobile stage) includes a plurality of lift pins configured to extend and lift the substrate from a substrate transfer mechanism in the intermediate space. The lifted substrate can be easily picked up by a transporting member, such as a spider, to move the substrate to a different substrate transport mechanism in the intermediate space. As such, each substrate transfer mechanism is exposed to only one station and thus is substantially exposed to only one reactant (or process step). In some embodiments, each substrate transfer mechanism includes a movable stage.

도 16은, 본원의 일부 실시예에 따라 서로 기체 격리 상태인 복수의 반응기 챔버 (RC)(310, 311)를 갖는 공정 모듈 (PM) (300)의 단면도를 나타낸다. 하나 이상의 스테이지(320, 321)가 이동될 수 있으므로(예컨대 상하로), PM이 중간 공간을 포함할 수 있다(도 17315 참조). 도 16에 나타난 바와 같이, 각각의 스테이지(320, 321)가 배치되어 (“위쪽”위치로), PM의 표면(330, 331) 및 스테이지 (320, 321) 각각은 본원의 일부 실시예에 따른 단일 스테이션을 포함하는 RC(310, 311)를 한정한다. 선택적으로, 다양한 스테이션의 스테이지는 이들의 특정 스테이션과 단일 중간 공간 사이에서 이동될 수 있으므로, 기판은 중간 공간에서 임의의 스테이션으로 이동될 수 있고 임의의 스테이션의 중간 공간 내에 배치될 수 있다. 이와 같이, 본원의 일부 실시예에 따른 중간 공간은 PM과 WHC 사이 또는 PM 내의 각각의 스테이지 사이의 기판 이송을 허용한다 (도 18참조). 일부 실시예에서, 반응기는 하나 이상의 기판 이송 시스템이 구비되어 있으며, 하나는 LLC-PM의 이송을 위한 것이고, 다른 것은 PM 내의 RC-RC 이송이다. PM 내의 각각의 RC (각각의 RC는 상이한 스테이션을 포함함)는 필요에 따라 기체, 압력, 온도, RF 및 다른 파라미터를 독립적으로 제어할 수 있는 시스템이 장착되어 있다. FIG. 16 shows a cross-sectional view of a process module (PM) 300 having a plurality of reactor chambers (RC) 310 , 311 that are gas isolated from one another according to some embodiments herein. Since one or more stages 320 , 321 can be moved (e.g., up and down), the PM can include intermediate space ( see 315 in FIG. 17 ). As shown in FIG. 16 , each stage 320 (or 321 ) is positioned (to the "upper" position) so that each of the surfaces 330 , 331 and stages 320 , 321 of the PM And defines RCs 310 and 311 including a single station. Alternatively, the stages of the various stations can be moved between their specific stations and the single intermediate space, so that the substrate can be moved from the intermediate space to any station and placed in the intermediate space of any station. As such, the intermediate space according to some embodiments herein allows for substrate transfer between PM and WHC or between each stage in the PM (see FIG. 18 ). In some embodiments, the reactor is equipped with one or more substrate transfer systems, one for transferring the LLC-PM and the other for RC-RC transfer within the PM. Each RC in the PM (each RC includes a different station) is equipped with a system that can independently control gas, pressure, temperature, RF, and other parameters as needed.

도 17은 중간 공간(315)을 포함하는 공정 모듈 (PM)(305)의 단면을 나타내는 도이다. 본원의 일부 실시예에 따르면, 다양한 스테이션에 각각 상응하는 스테이지 (320, 321)는 그들의 특정 스테이션 (예컨대 RC 310, 311)과 단일 중간 공간(315) 사이에서 이동될 수 있으므로, 기판은 중간 공간(315)에서 임의의 스테이션(310, 311)으로 이동될 수 있고 임의의 스테이션(310, 311)으로부터의 중간 공간(315) 내에 배치될 수 있다. 도 17에 나타난 바와 같이, 각각의 스테이지(320, 321)는 스테이지(320, 321)와 PM의 표면(330, 331) 사이에 중간 공간(315)이 제공되도록 배치된다 (“아래”방향으로). 이와 같이, 본원의 일부 실시예에 따른 중간 공간(315)은 PM과 WHC 사이, 또는 PM 내의 각각의 스테이지(310, 311) 사이에서 기판 이송을 허용한다. 17 is a diagram showing a cross section of a process module (PM) 305 including an intermediate space 315 ; According to some embodiments of the present application, the stages 320 and 321 , which correspond to the various stations, respectively, may be moved between their particular station (e.g. RC 310 , 311 ) and the single intermediate space 315 , 315 to any station 310, 311 and may be located in an intermediate space 315 from any station 310, As shown in Fig. 17 , Each stage 320 and 321 is arranged to provide an intermediate space 315 (in the " down " direction) between the stages 320 and 321 and the surfaces 330 and 331 of the PM. As such, the intermediate space 315 in accordance with some embodiments of the present disclosure allows substrate transfer between the PM and the WHC, or between each stage 310 , 311 in the PM.

도 19a는 본원의 일부 실시예에 따른 반응기 형태를 나타내며, 이때 중앙 WHC는 기체 격리된 상태인 3개의 RC를 포함하는 PM과 결합되어 있고 (예컨대, 각각의 RC가 상이한 스테이션을 포함하도록), 각각의 RC는 그 안에 공정 스테이지를 갖는다. PM의 중앙에서, 스파이더를 포함하는 스테이지-스테이지 이송 기구가 또한 기판 이송 시스템의 일부로서 제공된다. 각각의 스테이지는 상승되거나 하강되어 스테이지가 챔버와 중간 공간 사이에서 움직일 수 있고, 스파이더는 중간 공간 내의 상이한 스테이지 사이에서 기판을 회전시킬 수 있다. 이와 같이, 기판 이송 시스템은 상/하 및 회전 움직임에 의해 기판을 이송시킬 수 있다. 도 19b는 동시에 3개의 웨이퍼 상에 3개의 상이한 공정 (예컨대 도 12에 나타난 바와 같음)이 있는 시퀀스를 나타낸다. 도 19b에서, 3개의 상이한 공정은 동시에 3개의 기판 상에서 회전에 의해 반복된다. 3개의 기판은, 본원의 일부 실시예에 따른 “대기”단계를 최소화하기 위해, 3개의 상이한 공정을 연속적으로 거칠 수 있다 (예컨대, 각각의 기판이 임의의 주어진 시간에서 하나의 공정을 거치도록). 도 19b의 공정은, 모든 RC가 작동하고, 적어도 이러한 이유로 인해 도 13에 도시된 종래의 경우와 비교하여 실질적으로 더 효율적인 시퀀스를 제공하기 위해 적은 수의 RC “대기”단계를 포함함을 유의한다. 19A shows a reactor configuration according to some embodiments of the present invention wherein the central WHC is coupled to a PM containing three RCs in a gas isolated state (e.g., each RC includes a different station) The RC of which has a process stage therein. At the center of the PM, a stage-stage transfer mechanism including a spider is also provided as part of the substrate transfer system. Each stage can be raised or lowered to allow the stage to move between the chamber and the intermediate space, and the spider can rotate the substrate between different stages in the intermediate space. As such, the substrate transport system can transport the substrate by up / down and rotational movement. Figure 19b shows a sequence with three different processes on three wafers at the same time (as shown in Figure 12 , for example). 19B , Three different processes are repeated by rotation on three substrates at the same time. The three substrates can be roughened continuously (e.g., each substrate undergoes one process at any given time) to minimize the " atmospheric " step according to some embodiments herein. . Note that the process of FIG. 19B includes a small number of RC " wait " steps to provide a substantially more efficient sequence as compared to the conventional case shown in FIG . 13 for all RCs to operate and at least for this reason .

임의의 이론에 의해 제한되지 않고, 기판 공정 시간은 일반적으로 이송 시간보다 더 길다. 본원의 일부 실시예에 따르면, 기판 공정 시간은 이송 시간보다 더 긴 것으로 생각된다. 도 20에서, 상이한 공정 시간에 대한 총 시퀀스 시간이 시뮬레이션된다. 총 시퀀스 시간 T는 종래의 도구와 본 발명 사이에서 비교된다. T는 공정/이송의 가변 시간 비율 n (n=1~7)에 대해 플롯팅된다. 시뮬레이션은 3개의 기판 상에서 3개의 상이한 공정을 x 5회 반복하는 전제 조건 하에 수행되었다. T는, 종래의 도구 (예컨대 도 13 참조)에 대해 T=39n+39의 공식으로 주어지고, 도 19b에서와 같이, 본원의 일부 실시예에 따른 반응기 및 공정에 대해 T=15n+18로 주어진다. 본원의 일부 실시예에 따른 공정은 시퀀스 시간 T를 약 60%까지 감소시키고 약 2.5배 더 효율적인 생산성을 제공한다는 것을 주목한다. 본원의 일부 실시예에 따라, 도 20은 공정 시간 길이에 관계 없이 생산성이 높이므로, 본원의 일부 실시예에 따른 공정 및 반응기가 공정 시간 길이에 관계 없이 높은 효율을 산출할 수 있다는 것을 주목한다. Without being limited by any theory, the substrate processing time is generally longer than the transfer time. According to some embodiments herein, the substrate processing time is considered to be longer than the transfer time. In Fig. 20 , the total sequence time for different process times is simulated. The total sequence time T is compared between conventional tools and the present invention. T is plotted for the variable time ratio n (n = 1 to 7) of the process / transfer. The simulation was performed under the precondition of repeating three different processes x 3 times on three substrates. T is given by the formula T = 39n + 39 for a conventional tool ( see , e.g., FIG. 13 ) and given as T = 15n + 18 for the reactor and process according to some embodiments of the present application, as in FIG. 19b . It is noted that the process according to some embodiments herein reduces the sequence time T by about 60% and provides about 2.5 times more efficient productivity. It should be noted that, in accordance with some embodiments of the present application, Figure 20 provides increased productivity regardless of process time length, so that processes and reactors according to some embodiments herein can yield high efficiencies regardless of process time length.

도 21은 본원의 일부 실시예에 따라 m개 종류의 상이한 공정이 m개의 기판 조각 (m=1~5) x5회에 대해 반복될 때의 시퀀스 시간 T를 나타낸다. 이러한 시뮬레이션에서, 공정/이송 시간 비율은 2 (n=2)로 고정되어 있다. T는 종래의 도구 형상의 경우 T=12m2+3m의 공식에 의해 주어지고 (예컨대 도 13 참조), 도 19b와 같이, 본원의 일부 실시예에 따른 반응기 및 공정의 경우 T=16m에 의해 주어진다. 그래프는 m이 더 많은 수를 취함에 따라 더 유리해 지는 것을 나타낸다 (즉, 종래의 접근법에 비해, 보다 다양한 종류의 공정이 수행됨에 따라, 종래의 구성은 RC 대기 상태가 더 커지는 반면, 본원의 실시예에 따른 구성은 더 유리한 것을 나타냄). Figure 21 shows the sequence time T when m different types of processes are repeated for m substrate pieces (m = 1-5) x 5 times according to some embodiments of the present application. In this simulation, the process / transfer time ratio is fixed at 2 (n = 2). T is given by the formula T = 12m < 2 > + 3m for conventional tool geometry ( see , e.g., FIG. 13 ) and given by T = 16m for the reactor and process according to some embodiments of the present application, as in FIG . The graph shows that m is getting better as more numbers are taken (i.e., as more diverse types of processes are performed as compared to the conventional approach, the conventional configuration has a larger RC wait state, The configuration according to the embodiment is more advantageous).

본원의 일부 실시예에 따른 반응기 형태의 추가 예시가 도 11a 내지 도 11c에 나타나 있다. 일부 실시예에서, 반응기는 임의의 도 11a 내지 도 11c의 형태, 또는 이러한 형태의 2개 이상의 조합을 포함한다. Additional examples of reactor configurations in accordance with some embodiments of the present disclosure are shown in Figures 11A-11C . In some embodiments, the reactor comprises any of the configurations of Figures 11A-11C , or a combination of two or more such configurations.

일부 실시예에서, 이송 시스템은 제1 스테이션으로부터 제1 기판을 제거하고 회전에 의해 제1 기판을 제2 스테이션 내에 배치하도록 구성된 회전 기판 홀더를 포함한다. 선택적으로, ALD 반응기는 회전 인덱싱 반응기를 포함한다. 회전 인덱싱 반응기는 회전 부재 예컨대 복수의 스테이션 사이에서 하나 이상의 기판을 회전하도록 구성된 테이블과 같은 회전 부재를 포함할 수 있다. 선택적으로, 회전 부재는 서보모터에 의해 구동될 수 있다. In some embodiments, the transport system includes a rotating substrate holder configured to remove the first substrate from the first station and place the first substrate in the second station by rotation. Optionally, the ALD reactor comprises a rotary indexing reactor. The rotary indexing reactor may include a rotating member, such as a table, configured to rotate one or more substrates between a plurality of stations. Optionally, the rotating member can be driven by a servomotor.

선택적으로, ALD 반응기의 스테이션은 반응물이 기판의 중앙에서 가장자리로 흐르도록 구성된 샤워 헤드 또는 샤워 헤드 유사 분배기를 포함한다. 이러한 방식으로 반응물을 분배하는 것은 가장자리 효과를 최소화하거나 제거할 수 있고, 이는 교차-흐름 디자인의 특징일 수 있는 것으로 생각된다. 회전 반응기는 스테이션을 기체 격리인 상태로 유지한다. 선택적으로, 회전 인덱싱 반응기는 물리적 벽 또는 다른 물리적 장벽에 의해 기체 격리된 상태로 유지된다. 선택적으로, 회전 인덱싱 반응기는 기체 격리된 상태를 유지하기 위해 기체 베어링 또는 기체 벽에 의존하지 않는다. 선택적으로, 회전 인덱싱 반응기는 적어도 2개의 스테이션, 예컨대 적어도 2, 3, 4, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 또는 20개 (임의의 2개의 나열된 값 사이의 범위를 포함함)를 포함한다. 선택적으로, 회전 인덱싱 반응기는 다양한 인덱스 및 체류 시간을 가질 수 있다. 일부 실시예에서, 인덱싱 반응기의 인덱스 시간은 특정 수의 회전 정도에 대한 특정 시간에 대해 구성되며, 따라서, 인덱스 시간의 지속 시간은 웨이퍼 수에 의존한다(예컨대, 일부 실시예에서, 100msec/30 도의 인덱스 시간을 가지므로, 6개의 기판을 포함하는 회전 부재의 경우, 200 msec의 인덱스 시간을 산출하는 기판 당 60도가 된다). 회전 인덱싱 반응기의 회전 속도가 빠를수록, 스테이션에서 스테이션으로 이송되는 동안 기판이 소비하는 시간이 짧아짐을 주목한다. 일부 실시예에서, 인덱싱 속도는 증착 시간에 의존하지 않는다 (예컨대, 증착 시간이 비교적 짧고 퍼지 시간이 속도-제한인 경우). 따라서, 일부 실시예에서, 회전 인덱싱 반응기는 회전의 플래튼 중앙에 대한 반경 위치와 무관하게 웨이퍼 각각의 반응물의 전체 용량을 제공한다. 일부 실시예에서, 회전 인덱싱 반응기는 큰 배치, 높은 처리량, 다중-성분 막에 대한 유연성, 입자를 제어하는 능력 및/또는 PEALD 공정에 대한 가능성 중 적어도 하나를 제공하는 것을 특징으로 한다. Optionally, the station of the ALD reactor comprises a showerhead or showerhead pseudo-distributor configured such that the reactants flow from the center to the edge of the substrate. Distributing the reactants in this manner can minimize or eliminate edge effects, which is believed to be a feature of the cross-flow design. The rotary reactor maintains the station in gas isolation. Optionally, the rotary indexing reactor is maintained in a gas isolated state by a physical wall or other physical barrier. Optionally, the rotary indexing reactor does not rely on the gas bearing or gas wall to maintain the gas isolated condition. Alternatively, the rotary indexing reactor may be equipped with at least two stations, such as at least 2, 3, 4, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, (Including a range between any two listed values). Optionally, the rotary indexing reactor may have various indexes and residence times. In some embodiments, the index time of the indexing reactor is configured for a particular time for a certain number of degrees of rotation, and thus the duration of the index time depends on the number of wafers (e.g., in some embodiments, 100 msec / Index time, it becomes 60 degrees per substrate which calculates the index time of 200 msec in the case of the rotating member including six substrates). Note that the faster the rotational speed of the rotary indexing reactor, the shorter the time consumed by the substrate during transfer from the station to the station. In some embodiments, the indexing rate does not depend on the deposition time (e.g., if the deposition time is relatively short and the purge time is rate-limiting). Thus, in some embodiments, the rotary indexing reactor provides a total capacity of reactants for each of the wafers, regardless of radial position relative to the platen center of rotation. In some embodiments, the rotary indexing reactor is characterized by providing at least one of a large batch, high throughput, flexibility for multi-component membranes, ability to control particles and / or possibilities for PEALD processes.

일부 실시예에서, ALD 반응기는 ALD 반응기의 임의의 스테이션에서 상당량의 제1 반응물 및 제2 반응물의 동시 존재를 방지하도록 구성된다. 예컨대, 각각의 스테이션은 장벽, 예컨대 본원에 기술된 바와 같은 물리적 장벽 및/또는 분리를 유지하기 위한 기체 장벽을 포함할 수 있다. 예컨대, 각각의 스테이션은 본원에 기술된 바와 같은 물리적 장벽을 포함할 수 있지만 분리를 유지하기 위한 기체 장벽을 포함하지 않는다. 선택적으로, ALD 반응기는 하나 이상의 스캐빈저를 포함한다. 스캐빈저가 추가로 기체 격리를 향상시킬 수 있는 것으로 생각된다. 예컨대, 진공을 포함하는 기체 스캐빈저는 스테이션을 탈출한 임의의 반응물을 제공할 수 있고, 탈출한 반응물이 다른 스테이션으로 진입하는 것을 방지하거나 최소화할 수 있다. 일부 실시예에서, 스캐빈저는 스테이션 사이에 위치한다. 일부 실시예에서, 스캐빈저는 스테이션에 인접하여 위치한다. 일부 실시예에서, 스테이션은 스캐빈저를 포함한다. In some embodiments, the ALD reactor is configured to prevent simultaneous presence of substantial amounts of the first reactant and the second reactant at any station of the ALD reactor. For example, each station may include a barrier, such as a physical barrier as described herein, and / or a gas barrier to maintain separation. For example, each station may include a physical barrier as described herein but does not include a gas barrier to maintain separation. Optionally, the ALD reactor comprises one or more scavengers. It is believed that scavengers can further enhance gas isolation. For example, a gas scavenger including a vacuum can provide any reactants that have escaped the station, and the escaped reactants can be prevented or minimized from entering other stations. In some embodiments, the scavenger is located between the stations. In some embodiments, the scavenger is located adjacent to the station. In some embodiments, the station includes a scavenger.

일부 실시예에서, ALD 반응기는, 제1 기판이 제1 반응물과 접촉한 후이지만 제1 기판이 제2 스테이션 내에 배치되기 전에 제1 기판을 수용하도록 구성된 퍼지 위치를 추가로 포함한다. 퍼지 위치는 그 안에서 제1 기판의 퍼지를 수행하도록 구성될 수 있다. 퍼지 위치는 제1 스테이션과 기체 연통하는 상태가 아닐 수 있고, 제2 스테이션과 기체 연통하는 상태가 아니다. 일부 실시예에서, 제1 스테이션은, 제1 기판이 제1 반응물과 접촉한 후, 및 제1 기판이 제2 스테이션 내에 배치되기 전에 제1 반응물을 퍼지하도록 구성된다. 일부 실시예에서, 제1 스테이션은, 제1 기판이 제1 스테이션 내부에 있는 동안 퍼지를 수행한다. 일부 실시예에서, 제1 기판은 제1 스테이션 내부에 있는 동안 퍼지의 초기 부분이 제1 스테이션에서 수행되고, 기판은 퍼지되는 동안 제1 스테이션으로부터 제거되어 퍼지 스테이션으로 이송되고, 퍼지는 퍼지 스테이션에서 완료된다 (예컨대, 제1 반응물이 특징적으로 긴 퍼지 시간을 갖는 경우). In some embodiments, the ALD reactor further comprises a purge location configured to receive the first substrate after the first substrate is in contact with the first reactant, but before the first substrate is placed in the second station. The purge location may be configured to perform purging of the first substrate therein. The purge position may not be in a state of gas communication with the first station and is not in a state of gas communication with the second station. In some embodiments, the first station is configured to purge the first reactant after the first substrate contacts the first reactant and before the first substrate is placed into the second station. In some embodiments, the first station performs purging while the first substrate is within the first station. In some embodiments, the initial portion of the purge is performed at the first station while the first substrate is inside the first station, the substrate is removed from the first station while being purged and transferred to the purge station, (E.g., if the first reactant has a characteristic long purge time).

임의의 이론에 의해 제한되지 않고, 본원에 기술된 바와 같이 스테이션 사이에 기체 격리를 유지하는 것은 바람직하지 않은 CVD 반응을 최소화하거나 제거할 수 있는 것으로 생각된다. 따라서, 일부 실시예에서, ALD 반응기는, ALD 반응기의 제1 및 제2 스테이션의 임의의 표면 상에서 CVD 반응이 일어나는 것을 실질적으로 방지하도록 구성된다. Without being limited by any theory, it is believed that maintaining gas isolation between stations as described herein is capable of minimizing or eliminating undesirable CVD reactions. Thus, in some embodiments, the ALD reactor is configured to substantially prevent the CVD reaction from occurring on any surface of the first and second stations of the ALD reactor.

일부 실시예에서, ALD 반응기의 스테이션은 서로에 대해 고정된다. 선택적으로, 스테이션이 고정된 채로 유지되는 동안 기판이 제거되어 다양한 스테이션 내에 배치될 수 있다. 선택적으로, 스테이션은 기판에 대해 상대적으로 이동될 수 있지만, 서로에 대해 고정된 위치를 유지한다. 일부 실시예에서, 기판은 스테이션에서 스테이션으로 이동되지만, 스테이션에서 반응물과 접촉하는 경우 움직이지 않는다. In some embodiments, the stations of the ALD reactor are fixed relative to one another. Alternatively, the substrate may be removed and placed in various stations while the station remains stationary. Optionally, the station can be moved relative to the substrate, but maintains a fixed position relative to each other. In some embodiments, the substrate is moved from the station to the station, but does not move when contacting the reactants at the station.

일부 실시예에서, 제어기는, 이송 시스템에 대한 명령을 제1 스테이션에 제공하고/하거나, 이송 시스템을 통해 기판을 제2 스테이션으로 이동시키는 처리기를 포함한다. 처리기는 제1 기판이 제1 반응물과 접촉하도록 제1 스테이션을 지시하는 명령을 추가로 제공할 수 있다. 처리기는 제1 기판이 제2 반응물과 접촉하도록 제2 스테이션을 지시하는 명령을 추가로 제공할 수 있다. 처리기는 특정 온도 (또는 온도 범위) 및/또는 압력 (또는 압력 범위)에서 반응물을 제공하도록 각각의 스테이션을 추가로 지시할 수 있다. 처리기는 서셉터가 기판을 특정 온도로 가열하도록, 또는 기판이 특정 온도로 냉각되도록 하는 명령을 추가로 제공할 수 있다. 처리기는, 예컨대 스테이션 내에 불활성 기체를 불어넣음으로써, 및/또는 스테이션에 진공을 적용함으로써 스테이션을 퍼지하도록 하는 명령을 추가로 제공할 수 있다. 처리기는, 예컨대 퍼지 위치에 불활성 기체를 불어넣음으로써, 및/또는 퍼지 위치에 진공을 적용함으로써 기판이 그 내부에 있는 동안 퍼지를 제공하도록 퍼지를 위치시키는 명령을 추가로 제공할 수 있다. In some embodiments, the controller includes a processor that provides instructions to the first station and / or moves the substrate to the second station via the transfer system. The processor may further provide instructions to direct the first station to contact the first reactant. The processor may further provide instructions to direct the second station to contact the first substrate with the second reactant. The processor can further direct each station to provide reactants at a specific temperature (or temperature range) and / or pressure (or pressure range). The processor may further provide a command to cause the susceptor to heat the substrate to a certain temperature, or to cause the substrate to cool to a certain temperature. The processor may further provide instructions to purge the station, for example by blowing an inert gas into the station and / or applying a vacuum to the station. The processor may further provide instructions to position the purge, for example, by blowing an inert gas to the purge location and / or applying a vacuum to the purge location to provide purge while the substrate is in it.

일부 실시예에서, ALD 반응기는 목적하는 두께의 막이 수득될 때까지 증착 사이클을 자동적으로 반복하도록 구성된다. 이와 같이, ALD 반응기는 예컨대 사람 작동자와 같은 작동자의 개입 없이 하나 이상의 증착 사이클을 반복하도록 구성될 수 있다. In some embodiments, the ALD reactor is configured to automatically repeat the deposition cycle until a film of desired thickness is obtained. As such, the ALD reactor can be configured to repeat one or more deposition cycles without the intervention of an operator, such as a human operator.

일부 실시예에서, ALD 반응기는 2개 이상의 기판을 동시에 상이한 스테이션 쌍에서 처리하도록 구성된다. 쌍은 동일하거나 상이한 ALD 공정을 수행하도록 구성될 수 있다. 일부 실시예에서, ALD 반응기는 제1 스테이션 및 제2 스테이션과 기체 격리된 상태인 제3 스테이션을 포함하며 (또는, 기판이 제3 스테이션에 배치되는 것과 동시에 또는 그 후에 제1 및 제2 스테이션과 기체 격리된 상태로 배치될 수 있음), 제3 스테이션은 제3 노출된 표면 및 제4 노출된 표면을 포함하는 제2 기판을 보유하도록 구성된다. 제3 스테이션은, 제2 기판이 제1 반응물과 접촉되도록 구성될 수 있으며, 이에 따라 제1 반응물의 하나의 단일층만이 제3 노출된 표면 상에 흡착된다. ALD 반응기는 또한 제1 스테이션, 제2 스테이션, 및 제3 스테이션과 기체 격리인 상태인 제4 스테이션을 포함할 수 있으며 (또는 기판이 제4 스테이션에 배치되는 것과 동시에 또는 그 후에 제1, 제2, 및 제3 스테이션과 기체 격리된 상태로 배치될 수 있음), 여기서 제4 스테이션은 제2 반응물이 제1 반응물이 사실상 없는 상태에서 제2 반응물과 접촉되도록 구성되며, 여기서 제2 반응물은 제4 노출된 표면이 아닌 제3 노출된 표면 상의 제1 반응물의 하나의 단일층과만 반응하고, 따라서, 제2 반응물의 하나의 단일층만이 제3 노출된 표면 상에 흡착된다. In some embodiments, the ALD reactor is configured to treat two or more substrates simultaneously in different pairs of stations. The pair can be configured to perform the same or different ALD processes. In some embodiments, the ALD reactor includes a third station in a gas isolated state with the first station and the second station (or, alternatively, at a time when the substrate is placed in the third station, And the third station is configured to hold a second substrate comprising a third exposed surface and a fourth exposed surface. The third station may be configured such that the second substrate is in contact with the first reactant so that only a single layer of the first reactant is adsorbed on the third exposed surface. The ALD reactor may also include a fourth station that is gas isolated from the first station, the second station, and the third station (or alternatively, the first, second, , And a third station, wherein the fourth station is configured such that the second reactant is in contact with the second reactant in a substantially absence of the first reactant, wherein the second reactant is in contact with the fourth Reacts only with one single layer of the first reactant on the third exposed surface that is not the exposed surface and thus only one single layer of the second reactant is adsorbed on the third exposed surface.

일부 실시예에서, ALD 반응기는 단일 선택적인 ALD를 위해 구성되며, 따라서, 제1 막이 기판의 제1 표면 상에 선택적으로 증착된다. 일부 실시예에서, ALD 반응기는 이중 선택적인 ALD를 위해 구성되며, 따라서, 제1 막이 기판의 제1 표면 상에 선택적으로 증착되고, 제2의 상이한 막이 기판의 제2의 상이한 표면 상에 선택적으로 증착된다. 일부 실시예에서, ALD 반응기는 제1 스테이션 및 제2 스테이션과 기체 격리 상태인 제3 스테이션을 추가로 포함하며 (또는 기판이 제3 스테이션 내에 배치되는 것과 동시에 또는 그 후에 제1 및 제2 스테이션과 기체 격리된 상태로 배치될 수 있음), 제3 스테이션은 제1 기판을 포함하도록 구성되고, 여기서 제3 스테이션은 제1 기판이 제1 및 제2 반응물과 상이한 제3 반응물과 접촉하도록 구성되고, 이에 따라, 제3 반응물의 하나의 단일층만이 기판의 제2 노출된 표면 상에 흡착된다. ALD 반응기는 제1 스테이션, 제2 스테이션, 및 제3 스테이션과 기체 격리 상태인 제4 스테이션을 추가로 포함할 수 있으며 (또는 기판이 제4 스테이션 내에 배치되는 것과 동시에 또는 그 후에 제1, 제2, 및 제3 스테이션과 기체 격리인 상태로 배치될 수 있음), 제1 기판을 포함하도록 구성되고, 여기서 제4 스테이션은 제1 기판이 제1, 제2 및 제3 반응물과 상이하고, 제1, 제2 및 제3 반응물이 사실상 없는 상태에서 제4 반응물과 접촉되도록 구성되고, 여기서 제4 반응물은 제1 노출된 표면이 아닌 제3 반응물의 하나의 단일층과만 반응하여, 제4 반응물의 하나의 단일층만이 제2 노출된 표면 상에 흡착된다. In some embodiments, the ALD reactor is configured for single selective ALD, and thus the first film is selectively deposited on the first surface of the substrate. In some embodiments, the ALD reactor is configured for dual selective ALD, so that the first film is selectively deposited on the first surface of the substrate and the second different film is selectively deposited on the second different surface of the substrate Lt; / RTI > In some embodiments, the ALD reactor further comprises a third station in a gas isolated state with the first station and the second station (or, alternatively, at a time when the substrate is placed in the third station, The third station is configured to include a first substrate wherein the third station is configured to contact a third reactant that is different from the first and second reactants, Thereby, only one single layer of the third reactant is adsorbed on the second exposed surface of the substrate. The ALD reactor may further include a first station, a second station, and a fourth station in a gas isolated state with the third station (or at a time when the substrate is placed in the fourth station, And a third substrate, wherein the first substrate is configured to include a first substrate, wherein the first substrate is different from the first, second, and third reactants, and the first , The second reactant is configured to be in contact with the fourth reactant in the substantially absence of the second and third reactants wherein the fourth reactant reacts with only one single layer of the third reactant other than the first exposed surface, Only one single layer is adsorbed on the second exposed surface.

추가 실시예Additional Embodiment

반도체 및 LCD 산업에서, 공기에 노출시키지 않고 기판 상에 상이한 공정을 제조하는 방법이 종종 수행된다. 또한, 공정 조건 (예컨대 기체 흐름, 압력 및/또는 온도)이 상이한 다수의 공정이 종종 기판 상에 교대로 반복된다. 예컨대, 본원의 일부 실시예에 따라, 적층 공정은 증착, 에칭 및 표면 전/후 처리와 같은 공정의 조합으로 수행된다. 도 12는 본원의 일부 실시예에 따라, 하나의 기판을 회전시킴으로써 3개의 상이한 공정을 반복하는 예시를 나타낸다. In the semiconductor and LCD industries, methods of making different processes on a substrate without exposure to air are often performed. Also, multiple processes with different process conditions (e.g., gas flow, pressure and / or temperature) are often repeated alternately on the substrate. For example, according to some embodiments herein, the deposition process is performed with a combination of processes such as deposition, etch, and surface pre- / post-process. Figure 12 illustrates an example of repeating three different processes by rotating one substrate, in accordance with some embodiments of the present disclosure.

도 13a 도 13b는 기판 상에 공정을 수행하기 위해 로드 록 챔버 (LLC) 및 반응기 챔버 (RC)와 조합된 중앙 웨이퍼 핸들링 챔버(WHC)인, 종래의 도구 형태의 예시를 나타내며, 이는 각각의 반응 챔버에서의 공정이 동일한 유형일 수 있다. 종래의 도구를 사용하여 다중 공정 증착 (예컨대 도 12에 도시된 공정)을 수행하면, 하나의 RC (또는 RC 단위)만이 한번에 사용되는 동안 다른 RC는 대기 상태에 있게 된다 (도 14 참조, 기판 상에 도 12에 나타난 바와 같은 3개의 상이한 공정을 반복하기 위한 도 13a도 13b와 같은 종래의 도구를 사용하기 위한 공정 흐름을 나타냄). Figures 13a and 13b illustrate an example of a conventional tool type that is a central wafer handling chamber (WHC) in combination with a load lock chamber (LLC) and a reactor chamber (RC) for performing a process on a substrate, The process in the reaction chamber may be of the same type. When performing multiple process depositions (e.g. , the process shown in FIG . 12 ) using conventional tools, the other RCs are in a standby state while only one RC (or RC unit) is used at a time ( see FIG. 14 , 13A and 13B for repeating the three different processes as shown in FIG. 12 ).

(US 6469283 B1: 기판 지지체 내의 열 구배를 감소시키는 방법 및 장치)으로부터의 도 15는 또 다른 종래 도구 형태를 나타낸다. 이러한 형태에서, 다중 공정 스테이지는 다중 모듈 (PM) 내에 위치된다. 이러한 형태를 사용하는 상이한 스테이지 상에 상이한 공정이 동시에 수행되더라도, 이러한 구성은 PM에서 4개의 공정 스테이지를 가지지만 각각의 공정 영역은 실질적으로 분리되지 않는다. 따라서, 15의 형태는 특히 공정이 진공 하에 수행될 때, 기체 흐름 및 각 공정 공간 사이의 압력과 같은 공정 조건의 개입을 예방하지 못하는 것으로 생각된다. 이와 같이, 상기 종래의 도구 및 접근법은 상이한 조건에 의해 PM에 의해 잘 분리된 공정을 수행하도록 구성되지 않은 것으로 생각된다. 또한, 상이한 공정 기체가 공정 스테이지 아래에 배치된 통상적인 진공 배기 포트에서 만난다. 이러한 구조는 부산물 형성으로 인해 입자 문제 및 안전 문제를 잠재적으로 야기할 수 있는 상이한 공정에서 바람직하지 않은 기체 혼합물을 허용한다. Fig from: (US 6469283 B1 a method and apparatus for reducing thermal gradients in the substrate support) 15 shows another form of prior art tools. In this form, multiple process stages are located within the multiple modules PM. Although different processes are performed simultaneously on different stages using this form, this configuration has four process stages in the PM, but each process area is not substantially separated. Therefore, the form of 15, especially when the process is carried out under vacuum, it is considered that does not prevent the intervention of the processing conditions such as the pressure between the gas stream and each of the process space. As such, it is believed that the above conventional tools and approaches are not configured to perform well separated processes by PM under different conditions. In addition, different process gases meet at a conventional vacuum exhaust port located below the process stage. This structure allows for an undesirable gas mixture in different processes that can potentially cause particle problems and safety problems due to byproduct formation.

일부 실시예에서, 서로 기체 격리 상태인 복수의 스테이션이 위치하는 하나 이상의 공정 모듈(PM)을 포함하는 기판 처리 장비가 제공된다. 스테이션은 반응 공간을 포함할 수 있다. 기판 처리 장비는 적어도 2개의 기판 이송 시스템을 포함할 수 있으며, 하나는 로드 록 챔버(LLC)와 PM 사이의 기판 이동을 위한 것이고, 다른 하나는 PM 내의 공정 스테이지 사이의 기판 이동을 위한 것이다. PM 내의 공정 스테이지는, 공정을 위해 기체 격리되도록 스테이션을 구성하고 스테이션 사이의 이송을 위해 하나의 중간 공간에 기판을 배치하도록 이동할 수 있다. 일부 실시예에서, PM 내의 기체 격리 상태 (예컨대, 실질적으로 분리된 RC)인 스테이션은 필요에 따라 공정 파라미터, 예컨대 기체, 압력, 온도, RF 및 다른 파라미터의 분리된 제어 능력을 갖는다. 일부 실시예에서, PM은 적어도 공정 단계 동안 스테이션 사이의 기체 격리를 위해 구성되며, 이는 스테이션간의 간섭을 효과적으로 방지하도록 작동한다 (및/또는 그 안에 복수의 동일한 기능의 스테이션을 갖는다). 선택적으로, PM은 필요에 따라 공정 조건 예컨대 기체, 온도, 압력, RF 및 다른 파라미터를 독립적으로 제어함으로써 서로 기체 격리 상태인 스테이션에서 (또는 동일한 기능을 갖는 복수의 스테이션에서) 적어도 2개의 상이한 공정을 동시에 수행할 수 있는 능력을 갖추고 있다. In some embodiments, substrate processing equipment is provided that includes one or more processing modules (PM) in which a plurality of stations are in gas isolation from each other. The station may include a reaction space. The substrate processing equipment may include at least two substrate transfer systems, one for transferring substrates between the load lock chamber (LLC) and the PM, and the other for transferring substrates between process stages in the PM. The process stages in the PM can be configured to configure the station to be gas isolated for processing and to place the substrate in one intermediate space for transport between the stations. In some embodiments, a station that is in a gas isolated state (e.g., a substantially discrete RC) in the PM has separate control capabilities of process parameters such as gas, pressure, temperature, RF, and other parameters as needed. In some embodiments, the PM is configured for gas isolation between stations during at least the process step, which operates to effectively prevent interference between the stations (and / or have a plurality of stations of the same function therein). Optionally, the PM can be operated in at least two different processes (or in a plurality of stations having the same function) in a gas isolated state from each other by independently controlling process conditions such as gas, temperature, pressure, RF and other parameters as needed It has the ability to perform simultaneously.

실시예: TiC 증착Example: TiC deposition

샤워 헤드 반응기에서 TiC 증착은 샤워 헤드 표면 상에 낮은 품질의 층의 증착으로 어려움을 겪고 있다. 이러한 층은 일부 공정에서 바람직하지 않은 입자 생성의 원인으로 여겨진다. 이러한 낮은 품질의 층의 증착은 기판을 제1 스테이션 내에 배치하고 티타늄 반응물 예컨대 TiCl4로부터의 하나의 단일층만을 기판의 노출된 표면 상에 흡착시킴으로써 회피될 수 있다. 이어서, 제1 스테이션은 기판 내부로 퍼지된다. 기판은 이어서 이동식 스테이지를 통해 제1 스테이션으로부터 중간 공간으로 하강된다. 중간 공간에서, 스파이더는 기판을 제2 스테이션과 결합된 제2 이동식 스테이지로 회전시킨다. 제2 이동식 스테이지는 이어서 기판을 제2 스테이션 내로 상승시키고, 제2 스테이션을 기체 격리된 상태로 잠그고, 이에 따라 기판이 제1 스테이션과 기체 격리 상태인 제2 스테이션 내에 배치된다. 제2 스테이션에서, 유기 금속 Al 전구체가 기판 표면 상에서 Ti와 반응한다. 이어서, 제2 스테이션은 퍼지되고 기판은 제2 스테이션으로부터 제거된다. 기판은 목적하는 두께의 TiC 막이 형성될 때까지 제1 및 제2 스테이션 사이에서 (기판 이송 시스템을 통해) 교환된다. TiC deposition in a showerhead reactor suffers from deposition of low quality layers on the showerhead surface. This layer is considered to be the cause of undesirable grain formation in some processes. Deposition of this low quality layer can be avoided by placing the substrate in the first station and adsorbing only a single layer of titanium reactant, such as TiCl 4 , on the exposed surface of the substrate. Subsequently, the first station is purged into the substrate. The substrate is then lowered from the first station to the intermediate space via the mobile stage. In the intermediate space, the spider rotates the substrate to a second movable stage associated with the second station. The second movable stage then raises the substrate into the second station and locks the second station in a gas isolated state so that the substrate is placed in the second station in a gas isolated state from the first station. In the second station, the organometallic Al precursor reacts with Ti on the substrate surface. The second station is then purged and the substrate is removed from the second station. The substrate is exchanged (through the substrate transport system) between the first and second stations until a TiC film of desired thickness is formed.

이러한 개시가 특정 실시예 및 실시예의 맥락에서 제공되었지만, 당업자는 본 개시가 특정하게 기술된 실시예를 넘어 다른 대안적인 실시예 및/또는 실시예의 용도로 확장되고 이의 변형 및 균등물이 명백함을 이해할 것이다. 또한, 본 개시의 몇몇 다양한 실시예가 도시되고 상세하게 기술되었지만, 본 개시의 범위 내에 있는 다른 변형이 본 개시에 기초하여 당업자에게 쉽게 명백해질 것이다. 또한, 실시예의 특정 특징 및 측면의 다양한 조합 및 하위 조합이 이루어질 수 있고 여전히 본 개시의 범위 이내에 있음이 고려된다. 개시된 실시예의 다양한 특징 및 측면은 본 개시의 실시예의 다양한 모드를 형성하기 위해 서로 조합될 수 있거나 대체될 수 있음을 이해해야 한다. 따라서, 본 개시의 범위는 전술한 특정 실시예에 의해 제한되어서는 안된다. While this disclosure has been provided in the context of specific embodiments and examples, those skilled in the art will readily appreciate that the present disclosure extends beyond the specifically described embodiments to the use of other alternative embodiments and / or examples and that variations and equivalents thereof are obvious I will understand. In addition, while several different embodiments of the present disclosure have been shown and described in detail, other variations that are within the scope of this disclosure will be readily apparent to those skilled in the art based on this disclosure. It is also contemplated that various combinations and subcombinations of certain features and aspects of the embodiments may be made and still fall within the scope of the present disclosure. It is to be understood that the various features and aspects of the disclosed embodiments may be combined or substituted with one another to form the various modes of embodiments of the present disclosure. Accordingly, the scope of the present disclosure should not be limited by the specific embodiments described above.

본원에 제공된 표제는, 존재하는 경우, 단지 편의를 위한 것이며 본원에 개시된 장치 및 방법의 범위 또는 의미에 반드시 영향을 주지는 않는다.The headings provided herein, if any, are for convenience only and do not necessarily affect the scope or meaning of the apparatus and methods disclosed herein.

Claims (48)

박막의 선택적 원자층 증착 (ALD) 방법으로, 상기 방법은
제1 노출된 표면 및 상기 제1 노출된 표면과 상이한 제2 노출된 표면을 포함하는 제1 기판을 제공하는 단계;
(a) 상기 제1 기판을 제1 스테이션에 배치하는 단계;
(b) 제2 반응물이 사실상 없고 상기 제1 스테이션이 제2 스테이션과 기체 격리된 상태에 있는 동안 상기 제1 스테이션 내의 제1 기판을 제1 반응물과 접촉시키는 단계로서, 여기서 상기 제1 반응물은 상기 제2 노출된 표면에 대해 상기 제1 노출된 표면과 우선적으로 반응하여, 상기 제1 반응물의 하나의 단일층만이 상기 제1 노출된 표면에 흡착되는, 단계;
(c) 상기 제1 스테이션 내의 제1 기판을 상기 제1 반응물과 접촉시킨 후에, 상기 제1 기판을 상기 제2 스테이션에 배치하는 단계;
(d) 상기 제1 반응물이 사실상 없고 상기 제2 스테이션이 상기 제1 스테이션과 기체 격리된 상태에 있는 동안 상기 제2 스테이션 내의 제1 기판을 상기 제2 반응물과 접촉시키는 단계로서, 여기서 상기 제2 반응물은 상기 제1 반응물과 상이하고, 상기 제1 노출된 표면 상의 상기 제1 반응물의 하나의 단일층과 반응하는, 단계; 및
목적하는 두께의 제1 막이 상기 제2 노출된 표면에 대해 상기 제1 노출된 표면 상에 선택적으로 증착될 때까지 단계 (a) 내지 (d)를 반복하는 단계를 포함하는, 방법.
With the selective atomic layer deposition (ALD) method of thin films,
Providing a first substrate comprising a first exposed surface and a second exposed surface different from the first exposed surface;
(a) disposing the first substrate at a first station;
(b) contacting a first substrate in the first station with a first reactant while the second reactant is substantially absent and the first station is in a gas isolated condition with the second station, Reacting preferentially with said first exposed surface with respect to a second exposed surface such that only a single layer of said first reactant is adsorbed to said first exposed surface;
(c) placing the first substrate in the second station after contacting the first substrate in the first station with the first reactant;
(d) contacting the first substrate in the second station with the second reactant while the first reactant is substantially absent and the second station is in a gas isolated state with the first station, Reactant being different from the first reactant and reacting with one single layer of the first reactant on the first exposed surface; And
Repeating steps (a) through (d) until a first film of desired thickness is selectively deposited on the first exposed surface with respect to the second exposed surface.
제1항에 있어서, 상기 제1 스테이션은 상기 제1 반응물 외에 다른 반응물을 제공하지 않고, 여기서 상기 제2 스테이션은 상기 제2 반응물 외에 다른 반응물을 제공하지 않는 방법.2. The method of claim 1, wherein the first station does not provide any reactants other than the first reactant, wherein the second station does not provide any reactants other than the second reactant. 제1항에 있어서, 상기 제1 스테이션의 각각의 표면은 상기 방법 전체에 걸쳐 상기 제2 반응물이 사실상 없고, 여기서 상기 제2 스테이션의 각각의 표면은 상기 방법 전체에 걸쳐 상기 제1 반응물이 사실상 없는 방법.2. The method of claim 1 wherein each surface of the first station is substantially free of the second reactant throughout the process, wherein each surface of the second station is substantially free of the first reactant throughout the process Way. 제1항에 있어서, 상기 제1 스테이션은 상기 제2 스테이션과 기체 격리 상태로 배치되는 동안 상기 제1 기판은 상기 제1 스테이션 내에 있는 방법.The method of claim 1, wherein the first station is within the first station while the first station is in a gas isolated state from the second station. 제1항에 있어서, 상기 제1 스테이션은, 상기 제1 기판을 상기 제1 스테이션 내에 배치하기 전에 상기 제2 스테이션과 기체 격리 상태인 방법.2. The method of claim 1, wherein the first station is in a gas isolated condition with the second station before placing the first substrate in the first station. 제1항에 있어서, 상기 제1 기판을 상기 제1 반응물과 접촉시킨 후에 상기 제1 기판이 그 안에 존재하는 동안 상기 제1 스테이션을 퍼지하는 단계, 및 상기 제1 기판을 상기 제2 반응물과 접촉시킨 후에 상기 제1 기판이 그 안에 존재하는 동안 상기 제2 스테이션을 퍼지하는 단계를 더 포함하는 방법.The method of claim 1, further comprising: purging the first station while the first substrate is in contact therewith after contacting the first substrate with the first reactant, and contacting the first substrate with the second reactant And purging the second station while the first substrate is in it. 제1항에 있어서, 상기 제1 스테이션의 어떠한 표면에서도 화학 기상 증착 (CVD) 반응이 실질적으로 일어나지 않고, 여기서 상기 제2 스테이션의 어떠한 표면에서도 CVD 반응이 실질적으로 일어나지 않는 방법.The method of claim 1, wherein a chemical vapor deposition (CVD) reaction does not substantially occur on any surface of the first station, wherein the CVD reaction does not substantially occur on any surface of the second station. 제1항에 있어서, 상기 제1 스테이션 내의 제1 기판을 상기 제1 반응물과 접촉시킨 후에, 상기 기판이 중간 위치에 배치되지 않고 상기 제2 스테이션 내에 배치되는 방법.The method of claim 1, wherein after contacting a first substrate in the first station with the first reactant, the substrate is disposed in the second station without being disposed in an intermediate position. 제1항에 있어서,
상기 제1 스테이션 내의 제1 기판을 상기 제1 반응물과 접촉시킨 후 및 상기 제2 스테이션 내의 제1 기판을 상기 제2 반응물과 접촉시키기 전에 상기 제1 기판을 퍼지 위치에 배치하는 단계,
상기 제1 기판이 상기 퍼지 위치에 존재하는 동안 불활성 기체를 상기 퍼지 위치 내로 흘러들어가게 하는 단계를 더 포함하고; 그리고
여기서, 퍼지 동안 상기 퍼지 위치는 상기 제1 스테이션과 기체 연통하는 상태가 아니고, 상기 제2 스테이션과 기체 연통하는 상태가 아닌 방법.
The method according to claim 1,
Placing the first substrate in the purging position after contacting the first substrate in the first station with the first reactant and before contacting the first substrate in the second station with the second reactant,
Further comprising the step of causing an inert gas to flow into the purge position while the first substrate is in the purge position; And
Wherein the purge position during purging is not in a state of gas communication with the first station but in a state of gas communication with the second station.
제1항에 있어서,
상기 제1 기판이 상기 제1 스테이션 내에 존재하지 않는 동안, 제2 기판을 상기 제1 스테이션 내에 배치하는 단계로서, 상기 제2 기판은 제3 노출된 표면 및 상기 제3 노출된 표면과 상이한 제4 노출된 표면을 포함하는, 단계;
상기 제1 스테이션 내의 제2 기판을 제1 반응물과 상기 제2 반응물이 사실상 없는 상태에서 접촉시키는 단계로서, 여기서 상기 제1 반응물은 상기 제4 노출된 표면이 아닌 상기 제3 노출된 표면과 반응하여 상기 제1 반응물의 하나의 단일층만이 상기 제3 노출된 표면 상에 흡착되는, 단계;
상기 제1 스테이션 내의 제2 기판을 상기 제1 반응물과 접촉시킨 후, 및 상기 제2 스테이션 내의 제1 기판을 상기 제2 반응물과 접촉시킨 후에, 상기 제2 기판을 상기 제1 반응물이 사실상 없는 제2 스테이션 내에 배치하는 단계 및 상기 제1 기판을 상기 제2 반응물이 사실상 없는 제1 스테이션에 배치하여 상기 제1 기판과 제2 기판이 교환되는, 단계를 더 포함하는 방법.
The method according to claim 1,
Disposing a second substrate in the first station while the first substrate is not present in the first station, the second substrate having a third exposed surface and a fourth Comprising an exposed surface;
Contacting a second substrate in the first station with the first reactant and the second reactant in a substantially free state, wherein the first reactant reacts with the third exposed surface and not with the fourth exposed surface Wherein only a single layer of the first reactant is adsorbed on the third exposed surface;
After contacting the second substrate in the first station with the first reactant and after contacting the first substrate in the second station with the second reactant, Two stations and placing the first substrate in a first station substantially free of the second reactant so that the first substrate and the second substrate are exchanged.
제1항에 있어서, 상기 (a) 내지 (d) 사이클은
(e) 상기 제1 기판을 제3 스테이션 내에 배치하는 단계;
(f) 상기 제1 및 제2 반응물이 사실상 없고 상기 제3 스테이션이 상기 제1 스테이션 및 상기 제2 스테이션과 기체 격리 상태에 있는 동안 상기 제3 스테이션 내의 상기 제1 기판을 제3 반응물과 접촉시키는 단계를 더 포함하고,
여기서 (e) 내지 (f)는 (a) 내지 (d) 전후에 수행될 수 있고, 여기서 목적하는 두께의 제1 막이 상기 제2 노출된 표면에 대해 상기 제1 노출된 표면에 선택적으로 증착될 때까지 (a) 내지 (d)는 (e) 내지 (f)와 조합하여 반복되는, 방법.
The method of claim 1, wherein the cycles (a) to (d)
(e) placing the first substrate in a third station;
(f) contacting the first substrate in the third station with the third reactant while the first and second reactants are substantially absent and the third station is in a gas isolated state with the first station and the second station Further comprising:
(E) to (f) may be performed before and after (a) to (d), wherein a first film of desired thickness is selectively deposited on the first exposed surface with respect to the second exposed surface (A) to (d) are repeated in combination with (e) to (f).
제1항에 있어서, (a) 내지 (d)를 반복하는 동안
제3 기판을 제3 스테이션 내에 배치하는 단계로서, 상기 제3 기판은 제5 노출된 표면 및 상기 제5 노출된 표면과 상이한 제6 노출된 표면을 포함하는, 단계;
상기 제3 스테이션 내의 제3 기판을 상기 제2 반응물이 사실상 없는 상태에서 제1 반응물과 접촉시키는 단계로서, 여기서 상기 제3 스테이션은 상기 제1 스테이션 및 제2 스테이션과 기체 격리인 상태이고, 여기서 상기 제1 반응물은 상기 제6 노출된 표면이 아닌 상기 제5 노출된 표면과 반응하여 상기 제1 반응물의 하나의 단일층만이 상기 제5 노출된 표면 상에 흡착되는, 단계;
상기 제3 스테이션 내의 제3 기판을 상기 제1 반응물과 접촉시킨 후에, 상기 제3 기판을 제4 스테이션 내에 배치하는 단계로서, 여기서 상기 제4 스테이션은 상기 제1 스테이션, 제2 스테이션, 및 제3 스테이션과 기체 격리 상태인, 단계;
상기 제4 스테이션 내의 제3 기판을 상기 제1 반응물이 사실상 없는 상태에서 제2 반응물과 접촉시키는 단계로서, 여기서 상기 제2 반응물은 상기 제5 노출된 표면 상의 제1 반응물의 하나의 단일층과만 반응하는, 단계; 및
목적하는 두께의 제2 막이 상기 제6 표면이 아닌 제5 표면 상에 선택적으로 증착될 때까지, 상기 제3 스테이션 내의 제3 기판을 상기 제2 반응물이 사실상 없는 상태에서 제1 반응물과 접촉시키는 단계, 및 상기 제4 스테이션 내의 제3 기판을 상기 제1 반응물이 사실상 없는 상태에서 제2 반응물과 접촉시키는 단계를 반복하는 단계를 더 포함하는 방법.
The method of claim 1, wherein during the repeating (a) to (d)
Disposing a third substrate in a third station, wherein the third substrate comprises a fifth exposed surface and a sixth exposed surface different from the fifth exposed surface;
Contacting a third substrate in the third station with a first reactant in the substantially absence of the second reactant, wherein the third station is gas isolated from the first station and the second station, The first reactant reacts with the fifth exposed surface but not with the sixth exposed surface such that only a single layer of the first reactant is adsorbed on the fifth exposed surface;
Placing the third substrate in a fourth station after contacting a third substrate in the third station with the first reactant, wherein the fourth station is in contact with the first station, the second station, Station and gas isolation;
Contacting a third substrate in the fourth station with a second reactant in the substantially absence of the first reactant, wherein the second reactant is only present with one single layer of the first reactant on the fifth exposed surface Reacting; And
Contacting a third substrate in the third station with the first reactant in a substantially free state of the second reactant until a second film of desired thickness is selectively deposited on the fifth surface other than the sixth surface And repeating the step of contacting the third substrate in the fourth station with the second reactant in a state substantially free of the first reactant.
제1항에 있어서, 적어도 하나의 고체 재료가 상기 제1 및 제2 스테이션 사이에 기체 격리를 제공하는 방법.The method of claim 1, wherein at least one solid material provides gas isolation between the first and second stations. 제1항에 있어서, 기체가 상기 제1 및 제2 스테이션 사이에 기체 격리를 제공하는 방법.The method of claim 1, wherein the gas provides gas isolation between the first and second stations. 제1항에 있어서, 상기 제1 스테이션은 상기 제2 스테이션에 대해 고정된 위치에 있는 방법.2. The method of claim 1, wherein the first station is in a fixed position relative to the second station. 제1항에 있어서, 상기 제1 기판을 상기 제2 스테이션 내에 배치하는 단계는 상기 제1 기판을 보유하고 있는 기판 홀더를 회전시켜, 상기 제1 기판을 상기 제2 스테이션 내에 배치하는 단계를 포함하는 방법.2. The method of claim 1, wherein disposing the first substrate in the second station comprises rotating a substrate holder holding the first substrate and disposing the first substrate in the second station Way. 제1항에 있어서, 스파이더가 상기 제1 기판을 상기 제1 스테이션 내에 배치하고, 상기 제1 기판을 상기 제2 스테이션 내에 배치하는 방법.2. The method of claim 1 wherein a spider places the first substrate in the first station and the first substrate in the second station. 제17항에 있어서, 상기 스파이더가 상기 제1 기판을 각각의 스테이션 내에 배치한 후에, 상기 스파이더는 상기 스테이션으로부터 후퇴되어 상기 스파이더가 어떠한 반응물과도 접촉되지 않는 방법.18. The method of claim 17, wherein after the spider places the first substrate in each station, the spider is retracted from the station so that the spider does not contact any reactants. 제1항에 있어서, 상기 제1 기판이 상기 제1 스테이션에서 기판 홀더 내에 배치되고, 여기서 상기 제1 기판을 제2 스테이션 내에 배치하는 단계는 상기 기판 홀더의 이동 없이 수행되는 방법.2. The method of claim 1, wherein the first substrate is disposed in a substrate holder at the first station, wherein placing the first substrate in a second station is performed without movement of the substrate holder. 제1항에 있어서, 각각의 스테이션은 오직 하나의 단일 반응물만 제공하는 방법.The method of claim 1, wherein each station provides only one single reactant. 제1항에 있어서, 상기 제1 반응물은 상기 제2 반응물이 상기 제2 스테이션 내로 흘러들어가는 것과 동시에 상기 제1 스테이션 내로 흘러들어가지 않는 방법.2. The method of claim 1, wherein the first reactant does not flow into the first station simultaneously with the second reactant flowing into the second station. 제1항에 있어서, 상기 제1 기판이 상기 제2 스테이션에서 상기 제2 반응물에 노출되는 것과 상이한 압력에서 상기 제1 기판이 상기 제1 스테이션 내의 제1 반응물에 노출되는 방법.The method of claim 1, wherein the first substrate is exposed to a first reactant in the first station at a different pressure than the first substrate is exposed to the second reactant at the second station. 제1항에 있어서, 상기 제1 막이 상기 제2 노출된 표면 상에 증착되지 않는 방법.The method of claim 1, wherein the first film is not deposited on the second exposed surface. 제1항에 있어서, 제2 막을 상기 제1 기판의 제1 표면에 대해 상기 제1 기판의 제2 표면 상에 선택적으로 증착하는 제2 선택적 ALD 공정을 추가로 포함하고, 여기서 상기 제2 막은 상기 제1 막과 상이한 방법.2. The method of claim 1 further comprising a second selective ALD process for selectively depositing a second film on a second surface of the first substrate relative to a first surface of the first substrate, Different from the first film. 원자층 증착법 (ALD) 반응기로,
제1 스테이션 및 제2 스테이션으로서,
여기서 상기 제1 스테이션은 제1 기판을 포함하고 상기 제1 기판이 상기 제2 스테이션과 기체 격리 상태인 제1 반응물과 접촉하도록 구성되어서, 상기 제1 반응물의 하나의 단일층만이 상기 제1 기판 상에 흡착되고,
여기서 상기 제2 스테이션은 상기 제1 기판을 포함하고 상기 제1 기판이 상기 제1 스테이션과 기체 격리 상태이고 상기 제1 반응물이 사실상 없는 상태에서 제2 반응물과 접촉되도록 구성되고, 여기서 상기 제2 반응물은 상기 제1 반응물과 상이하고 상기 제1 기판 상의 제1 반응물의 하나의 단일층과만 반응하여 목적하는 재료를 형성하는, 상기 제1 스테이션 및 제2 스테이션; 및
상기 제1 기판이 상기 제1 반응물과 접촉한 후에 상기 제1 기판을 상기 제2 스테이션 내에 배치하도록 구성되고, 상기 제1 기판이 상기 제2 반응물과 접촉한 후에 상기 제1 기판을 상기 제1 스테이션 내에 배치하도록 구성된, 이송 시스템으로서, 여기서 상기 이송 시스템은 상기 제1 스테이션에서 상기 제2 스테이션으로 그리고 그 반대로 상기 제1 기판을 이송시키기 위한 이송 부재를 포함하는, 상기 이송 시스템;
상기 이송 시스템을 수용하도록 구성된, 상기 제1 스테이션 및 제2 스테이션의 외측에 있는, 중간 공간; 및
상기 이송 부재를 통해 상기 기판을 상기 제1 스테이션으로 이동시키고, 상기 이송 부재를 상기 중간 공간으로 이동시키고, 상기 제1 기판이 상기 제1 반응물과 접촉되도록 상기 제1 스테이션을 지시하고, 상기 이송 부재를 통해 상기 기판을 상기 제2 스테이션으로 이동시키고, 상기 이송 부재를 상기 중간 공간으로 이동시키고, 그리고 상기 제1 기판이 상기 제2 반응물과 접촉되도록 상기 제2 스테이션을 지시하는, 사이클을 제어하도록 세팅되고, 그리고
목적하는 두께의 막이 상기 제2 표면이 아닌 상기 제1 표면 상에 선택적으로 형성될 때까지 상기 사이클을 반복하도록 추가 세팅된, 제어기를 포함하고,
여기서 상기 ALD 반응기의 표면은 상기 제1 반응물 및 제2 반응물 중 하나 보다 많은 것과 실질적으로 접촉되지 않는, ALD 반응기.
As an atomic layer deposition (ALD) reactor,
As a first station and a second station,
Wherein the first station comprises a first substrate and the first substrate is configured to contact a first reactant in a gas isolated state with the second station such that only a single layer of the first reactant is present on the first substrate Lt; / RTI >
Wherein the second station comprises the first substrate and the first substrate is configured to be in gas isolation from the first station and to be in contact with the second reactant in the substantially absence of the first reactant, The first station and the second station being different from the first reactant and reacting only with one single layer of the first reactant on the first substrate to form the desired material; And
Wherein the first substrate is configured to position the first substrate in the second station after the first substrate is in contact with the first reactant, and after the first substrate contacts the second reactant, Wherein the transfer system comprises a transfer member for transferring the first substrate from the first station to the second station and vice versa;
An intermediate space outside the first and second stations configured to receive the transport system; And
Moving the substrate to the first station through the conveying member, moving the conveying member to the intermediate space, instructing the first station to contact the first substrate with the first reactant, To move the substrate to the second station, to move the transfer member to the intermediate space, and to direct the second station to contact the first substrate with the second reactant, And
The controller being further set to repeat the cycle until a film of the desired thickness is selectively formed on the first surface and not on the second surface,
Wherein the surface of the ALD reactor is substantially out of contact with more than one of the first reactant and the second reactant.
제25항에 있어서, 상기 ALD 반응기는 선택적 증착을 위해 구성된 것이며,
여기서 상기 기판은 제1 표면 및 상기 제1 표면과 상이한 제2 표면을 포함하고,
여기서 상기 제1 반응물은 상기 제2 표면에 대해 상기 제1 표면 상에 선택적으로 흡착되고,
여기서 상기 제2 반응물은 상기 제1 기판 상의 제1 반응물의 하나의 단일층과만 반응하고, 상기 제2 표면과 반응하지 않고,
여기서 상기 목적하는 두께의 막이 상기 제2 표면에 대해 상기 제1 표면 상에 선택적으로 증착되는, ALD 반응기.
26. The method of claim 25, wherein the ALD reactor is configured for selective deposition,
Wherein the substrate comprises a first surface and a second surface different from the first surface,
Wherein the first reactant is selectively adsorbed onto the first surface with respect to the second surface,
Wherein the second reactant reacts only with a single layer of the first reactant on the first substrate and does not react with the second surface,
Wherein a film of said desired thickness is selectively deposited on said first surface relative to said second surface.
제25항에 있어서, 상기 제1 기판이 상기 제1 반응물과 접촉된 후이지만, 상기 제1 기판을 상기 제2 스테이션 내에 배치하기 전에, 상기 제1 기판을 수용하도록 구성된, 퍼지 위치를 추가로 포함하고,
여기서 상기 퍼지 위치는 그 안에서 상기 제1 기판으로 퍼지를 수행하도록 구성되어 있고,
여기서 상기 퍼지 위치는 상기 제1 스테이션과 기체 연통하는 상태가 아니고, 상기 제2 스테이션과 기체 연통하는 상태가 아닌 ALD 반응기.
26. The method of claim 25, further comprising: after the first substrate is in contact with the first reactant, but further including a purge location configured to receive the first substrate before placing the first substrate in the second station and,
Wherein the purge location is configured to perform purging with the first substrate therein,
Wherein the purge position is not in a gas communication with the first station, and is not in gas communication with the second station.
제27항에 있어서, 상기 퍼지 위치는 상기 중간 공간을 포함하는 ALD 반응기.28. The ALD reactor of claim 27, wherein the purge location comprises the intermediate space. 제25항에 있어서, 상기 제1 스테이션은 상기 제1 기판을 상기 제1 반응물과 접촉시킨 후, 및 상기 제1 기판을 상기 제2 스테이션 내에 배치하기 전에, 상기 제1 반응물을 퍼지하도록 구성된 ALD 반응기.26. The apparatus of claim 25, wherein the first station is an ALD reactor configured to purge the first reactant after contacting the first substrate with the first reactant and before placing the first substrate into the second station. . 제25항에 있어서, 상기 ALD 반응기는 상기 ALD 반응기의 임의의 스테이션에서의 상당량의 상기 제1 반응물 및 상기 제2 반응물의 동시 존재를 방지하도록 구성된 ALD 반응기.26. The ALD reactor of claim 25, wherein the ALD reactor is configured to prevent simultaneous presence of a substantial amount of the first reactant and the second reactant at any station of the ALD reactor. 제25항에 있어서, 상기 ALD 반응기는 상기 ALD 반응기의 상기 제1 및 제2 스테이션의 임의의 표면 상에서 화학 기상 증착 (CVD) 반응이 일어나는 것을 실질적으로 방지하도록 구성된 ALD 반응기.26. The ALD reactor of claim 25, wherein the ALD reactor is configured to substantially prevent a chemical vapor deposition (CVD) reaction from occurring on any surface of the first and second stations of the ALD reactor. 제25항에 있어서, 상기 제1 및 제2 스테이션 사이에 기체 격리를 제공하는 적어도 하나의 고체 재료를 추가로 포함하는 ALD 반응기.26. The ALD reactor of claim 25, further comprising at least one solid material that provides gas isolation between the first and second stations. 제25항에 있어서, 상기 제1 및 제2 스테이션 사이의 기체 격리는 기체 베어링에 의해 제공되지 않는 ALD 반응기.26. The ALD reactor of claim 25, wherein the gas isolation between the first and second stations is not provided by a gas bearing. 제25항에 있어서, 상기 제1 스테이션은 상기 제2 스테이션에 대해 고정된 위치에 있는 ALD 반응기.26. The ALD reactor of claim 25, wherein the first station is in a fixed position relative to the second station. 제25항에 있어서, 상기 이송 부재는 상기 제1 스테이션으로부터 상기 제1 기판을 제거하고 회전에 의해 상기 제1 기판을 상기 제2 스테이션 내로 배치하도록 구성된 회전 기판 홀더를 포함하는 ALD 반응기.26. The ALD reactor of claim 25, wherein the transfer member comprises a rotating substrate holder configured to remove the first substrate from the first station and place the first substrate into the second station by rotation. 제25항에 있어서, 상기 이송 부재가 스파이더를 포함하는 ALD 반응기.26. The ALD reactor of claim 25, wherein the transfer member comprises a spider. 제25항에 있어서, 각각의 스테이션은, 상기 스테이션에 인접한 중간 공간 내의 위치에서 상기 스테이션으로, 및 상기 스테이션에서 상기 스테이션에 인접한 중간 공간 내의 위치로, 상기 기판을 이동시키도록 구성된 이송 기구를 포함하도록 구성되고,
여기서 상기 기판 이송 부재는 기판을 상기 이송 기구 상에 배치하고 기판을 상기 중간 공간 내의 이송 기구로부터 제거하고, 상기 중간 공간을 통해 상기 기판을 이동시키는 ALD 반응기.
26. The system of claim 25, wherein each station includes a transport mechanism configured to move the substrate from a location in the intermediate space adjacent to the station to the station and to a location in the intermediate space adjacent the station at the station Respectively,
Wherein the substrate transferring member places the substrate on the transfer mechanism, removes the substrate from the transfer mechanism in the intermediate space, and moves the substrate through the intermediate space.
제25항에 있어서,
상기 제1 스테이션을 상기 제1 반응물과 기체 연통 상태로 배치하는 제1 기체 라인; 및
상기 제2 스테이션을 상기 제2 반응물과 기체 연통 상태로 배치하는 제2 기체 라인을 더 포함하고,
여기서 상기 제1 기체 라인은 상기 제2 기체 라인과 분리된 ALD 반응기.
26. The method of claim 25,
A first gas line for placing the first station in gas communication with the first reactant; And
Further comprising a second gas line for placing said second station in gas communication with said second reactant,
Wherein the first gas line is separate from the second gas line.
제25항에 있어서,
상기 제1 스테이션 및 제2 스테이션과 기체 격리 상태인 제3 스테이션으로서, 상기 제3 스테이션은 제2 기판을 보유하도록 구성되어 있고, 여기서 상기 제3 스테이션은 상기 제2 기판을 상기 제1 반응물과 접촉시키도록 구성되어 있고, 여기서 상기 제1 반응물은 제2 기판과 반응하여 상기 제1 반응물의 하나의 단일층만이 상기 제2 기판 상에 흡착되는, 상기 제3 스테이션; 및
상기 제1 스테이션, 제2 스테이션, 및 제3 스테이션과 기체 격리 상태인 제4 스테이션으로서, 여기서 상기 제4 스테이션은 상기 제2 기판이 상기 제1 반응물이 사실상 없는 상태에서 상기 제2 반응물과 접촉되도록 구성되어 있고, 여기서 상기 제2 반응물은 상기 제2 기판 상의 제1 반응물의 하나의 단일층과만 반응하여 상기 제2 기판 상에 목적하는 재료를 형성하는, 상기 제4 스테이션을 더 포함하는 ALD 반응기.
26. The method of claim 25,
And a third station in a gas isolated state with the first and second stations, the third station being configured to hold a second substrate, wherein the third station is configured to contact the second substrate with the first reactant Wherein the first reactant reacts with a second substrate such that only a single layer of the first reactant is adsorbed onto the second substrate; And
And a fourth station in a gas isolated state with the first station, the second station and the third station, wherein the fourth station is configured to cause the second substrate to contact the second reactant in a substantially free state of the first reactant Wherein the second reactant reacts only with one single layer of the first reactant on the second substrate to form the desired material on the second substrate, .
제25항에 있어서,
상기 제1 스테이션 및 제2 스테이션과 기체 격리 상태인 제3 스테이션으로서, 상기 제3 스테이션은 상기 제1 기판을 포함하도록 구성되어 있고, 여기서 상기 제3 스테이션은 상기 제1 기판이 상기 제1 및 제2 반응물과 상이한 제3 반응물과 접촉하여, 상기 제3 반응물의 하나의 단일층만이 상기 제2 노출된 표면 상에 흡착되도록 구성되어 있는, 상기 제3 스테이션; 및
상기 제1 스테이션, 제2 스테이션, 및 제3 스테이션과 기체 격리 상태이고 상기 제1 기판을 포함하도록 구성되어 있는 제4 스테이션으로서, 여기서 상기 제4 스테이션은 상기 제1 기판이 상기 제1, 제2, 및 제3 반응물과 상이하고 상기 제1, 제2, 및 제3 반응물이 사실상 없는 상태에서 제4 반응물과 접촉하도록 구성되어 있고, 여기서 상기 제4 반응물은 상기 제1 노출된 표면이 아닌 상기 제3 반응물의 하나의 단일층과만 반응하여 상기 제4 반응물의 하나의 단일층만이 상기 제2 노출된 표면 상에 흡착되는, 상기 제4 스테이션을 더 포함하는 ALD 반응기.
26. The method of claim 25,
And a third station in a gas isolated state with the first station and the second station, wherein the third station is configured to include the first substrate, wherein the third station is configured to move the first substrate The third station being configured to be in contact with a third reactant different from the second reactant so that only a single layer of the third reactant is adsorbed on the second exposed surface; And
A fourth station configured to include the first substrate in a gas isolated state with the first station, the second station, and the third station, wherein the fourth station is configured to move the first substrate to the first, And a fourth reactant that is different from the third reactant and is in contact with the fourth reactant in a substantially free state of the first, second, and third reactants, wherein the fourth reactant is in contact with the first non- 3 reacting only with one single layer of reactant such that only a single layer of said fourth reactant is adsorbed onto said second exposed surface.
제25항에 있어서, 상기 제1 스테이션은 상기 제1 기판이 상기 제1 스테이션 내에 있는 동안 상기 제2 스테이션과 기체 격리 상태로 배치되도록 구성되는 ALD 반응기.26. The ALD reactor of claim 25, wherein the first station is configured to be placed in a gas isolated state with the second station while the first substrate is in the first station. 제25항에 있어서, 상기 제1 스테이션은 상기 제1 기판이 상기 제1 스테이션 내에 배치되기 전에 상기 제2 스테이션과 기체 격리 상태가 되도록 구성되는 ALD 반응기.26. The ALD reactor of claim 25, wherein the first station is configured to be in a gas isolated state with the second station before the first substrate is placed into the first station. 제25항에 있어서, 상기 제2 스테이션은 상기 제1 기판이 상기 제2 스테이션 내에 있는 동안 상기 제1 스테이션과 기체 격리 상태로 배치되도록 구성되는 ALD 반응기.26. The ALD reactor of claim 25, wherein the second station is configured to be placed in a gas isolated state with the first station while the first substrate is in the second station. 제25항에 있어서, 상기 제2 스테이션은 상기 제1 기판이 상기 제2 스테이션 내에 배치되기 전에 상기 제1 스테이션과 기체 격리된 상태가 되도록 구성되는 ALD 반응기.26. The ALD reactor of claim 25, wherein the second station is configured to be in a gas isolated condition with the first station before the first substrate is placed in the second station. 기판 상에 증착을 위한 반응기로서, 상기 반응기는
상기 기판을 포함하고 상기 기판에 제1 반응물을 제공하도록 구성된 제1 스테이션;
상기 기판을 포함하고 상기 기판에 제2 반응물을 제공하도록 구성된 제2 스테이션으로서, 여기서 상기 제2 스테이션은 상기 제1 스테이션과 기체 격리된 상태이고, 여기서 상기 제2 반응물은 상기 제1 반응물과 상이한, 상기 제2 스테이션;
중간 공간; 및
상기 중간 공간을 통해 상기 기판을 이동시키도록 구성된 스파이더를 포함하는 기판 이송 시스템으로서,
여기서 상기 반응기의 표면은 상기 제1 반응물 및 상기 제2 반응물과 실질적으로 접촉되지 않는 반응기.
A reactor for deposition on a substrate, the reactor comprising:
A first station comprising the substrate and configured to provide a first reactant to the substrate;
A second station comprising the substrate and configured to provide a second reactant to the substrate, wherein the second station is gas isolated from the first station, wherein the second reactant is different from the first reactant, The second station;
Intermediate space; And
A substrate transfer system including a spider configured to move the substrate through the intermediate space,
Wherein the surface of the reactor is substantially not in contact with the first reactant and the second reactant.
제45항에 있어서, 상기 기판 이송 시스템은
상기 기판을 상기 제1 스테이션과 상기 중간 공간 사이로 이동시키도록 구성된 제1 이동식 스테이지:
상기 기판을 상기 제2 스테이션과 상기 중간 공간 사이로 이동시키도록 구성된 제2 이동식 스테이지를 더 포함하고,
여기서 상기 스파이더는 상기 제1 이동식 스테이지에서 상기 제2 이동식 스테이지로 웨이퍼를 이동시키도록 구성되어 있는 반응기.
46. The apparatus of claim 45, wherein the substrate transport system
A first movable stage configured to move the substrate between the first station and the intermediate space;
Further comprising a second movable stage configured to move the substrate between the second station and the intermediate space,
Wherein the spider is configured to move the wafer from the first mobile stage to the second mobile stage.
제46항에 있어서, 각각의 이동식 스테이지는 상기 중간 공간 내에서 상기 이동식 스테이지에서 상기 기판을 들어 올리도록 구성된 리프트 핀을 포함하는 반응기.47. The reactor of claim 46, wherein each movable stage includes a lift pin configured to lift the substrate in the movable stage within the intermediate space. 제45항에 있어서, 상기 제1 스테이션 및 상기 제2 스테이션의 적어도 일부분을 한정하는 복수의 이동식 물리적 장벽을 추가로 포함하고,
여기서 상기 물리적 장벽은 스테이션 내의 기판을 상기 중간 공간에 노출시키도록 이동될 수 있고,
여기서 상기 스파이더는 상기 물리적 장벽이 상기 기판을 노출시키도록 이동된 후에 상기 기판을 이동시키도록 구성된 반응기.
46. The apparatus of claim 45, further comprising: a plurality of removable physical barriers defining at least a portion of the first station and the second station,
Wherein the physical barrier can be moved to expose a substrate in the station to the intermediate space,
Wherein the spider is configured to move the substrate after the physical barrier has been moved to expose the substrate.
KR1020187000888A 2015-07-28 2016-06-30 Method and Apparatus for Thin Film Deposition KR102569764B1 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US14/811,528 2015-07-28
US14/811,370 US10204790B2 (en) 2015-07-28 2015-07-28 Methods for thin film deposition
US14/811,528 US11421321B2 (en) 2015-07-28 2015-07-28 Apparatuses for thin film deposition
US14/811,370 2015-07-28
PCT/US2016/040350 WO2017019250A1 (en) 2015-07-28 2016-06-30 Methods and apparatuses for thin film deposition

Publications (2)

Publication Number Publication Date
KR20180036694A true KR20180036694A (en) 2018-04-09
KR102569764B1 KR102569764B1 (en) 2023-08-23

Family

ID=57884986

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187000888A KR102569764B1 (en) 2015-07-28 2016-06-30 Method and Apparatus for Thin Film Deposition

Country Status (3)

Country Link
KR (1) KR102569764B1 (en)
TW (1) TWI700391B (en)
WO (1) WO2017019250A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI802439B (en) 2017-10-27 2023-05-11 美商應用材料股份有限公司 Single wafer processing environments with spatial separation

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020100418A1 (en) * 2000-05-12 2002-08-01 Gurtej Sandhu Versatile atomic layer deposition apparatus
KR20090034723A (en) * 2007-10-04 2009-04-08 에이에스엠 저펜 가부시기가이샤 Position sensor system for substrate transfer robot

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6231716B1 (en) * 1998-11-09 2001-05-15 Applied Materials, Inc. Processing chamber with rapid wafer exchange
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7632376B1 (en) * 2002-09-30 2009-12-15 Lam Research Corporation Method and apparatus for atomic layer deposition (ALD) in a proximity system
KR20080027009A (en) * 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 Atomic layer deposition apparatus and method for depositing laminated films using the same
KR101397162B1 (en) * 2012-08-23 2014-05-19 주성엔지니어링(주) Apparatus and method of processing substrate

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020100418A1 (en) * 2000-05-12 2002-08-01 Gurtej Sandhu Versatile atomic layer deposition apparatus
KR20090034723A (en) * 2007-10-04 2009-04-08 에이에스엠 저펜 가부시기가이샤 Position sensor system for substrate transfer robot

Also Published As

Publication number Publication date
TW201708605A (en) 2017-03-01
TWI700391B (en) 2020-08-01
KR102569764B1 (en) 2023-08-23
WO2017019250A1 (en) 2017-02-02

Similar Documents

Publication Publication Date Title
KR102642105B1 (en) Method and apparatus for temperature-indexed thin film deposition
US20220341040A1 (en) Apparatuses for thin film deposition
US11401602B2 (en) Catalyst enhanced seamless ruthenium gap fill
KR102506466B1 (en) Catalyzed deposition of metal films
KR101814243B1 (en) Reaction tube, substrate processing apparatus, and method of manufacturing semiconductor device
JP4480516B2 (en) Formation method of barrier film
KR102451599B1 (en) Selective Atomic Layer Deposition of Ruthenium
US11702742B2 (en) Methods of forming nucleation layers with halogenated silanes
WO2006137287A1 (en) Method for fabricating semiconductor device and equipment for processing substrate
US10096464B2 (en) Atomic layer deposition of high density silicon dioxide
US10204790B2 (en) Methods for thin film deposition
KR102569764B1 (en) Method and Apparatus for Thin Film Deposition
KR20200019769A (en) Methods and apparatus for depositing yttrium-containing films
KR20200024352A (en) Methods for Metal Oxide Post-treatment

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right