KR20180013741A - Method of negative tone development using a copolymer multilayer electrolyte and articles made therefrom - Google Patents

Method of negative tone development using a copolymer multilayer electrolyte and articles made therefrom Download PDF

Info

Publication number
KR20180013741A
KR20180013741A KR1020170093841A KR20170093841A KR20180013741A KR 20180013741 A KR20180013741 A KR 20180013741A KR 1020170093841 A KR1020170093841 A KR 1020170093841A KR 20170093841 A KR20170093841 A KR 20170093841A KR 20180013741 A KR20180013741 A KR 20180013741A
Authority
KR
South Korea
Prior art keywords
block
layer
substrate
hydrogen
composition
Prior art date
Application number
KR1020170093841A
Other languages
Korean (ko)
Other versions
KR102048729B1 (en
Inventor
디. 휴스타드 필립
박 종
장 치옌
자인 비풀
욱 성 진
Original Assignee
롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨
다우 글로벌 테크놀로지스 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨, 다우 글로벌 테크놀로지스 엘엘씨 filed Critical 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨
Publication of KR20180013741A publication Critical patent/KR20180013741A/en
Application granted granted Critical
Publication of KR102048729B1 publication Critical patent/KR102048729B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B7/00Layered products characterised by the relation between layers; Layered products characterised by the relative orientation of features between layers, or by the relative values of a measurable parameter between layers, i.e. products comprising layers having different physical, chemical or physicochemical properties; Layered products characterised by the interconnection of layers
    • B32B7/04Interconnection of layers
    • B32B7/10Interconnection of layers at least one layer having inter-reactive properties
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D153/00Coating compositions based on block copolymers containing at least one sequence of a polymer obtained by reactions only involving carbon-to-carbon unsaturated bonds; Coating compositions based on derivatives of such polymers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B27/00Layered products comprising a layer of synthetic resin
    • B32B27/06Layered products comprising a layer of synthetic resin as the main or only constituent of a layer, which is next to another layer of the same or of a different material
    • B32B27/08Layered products comprising a layer of synthetic resin as the main or only constituent of a layer, which is next to another layer of the same or of a different material of synthetic resin
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B27/00Layered products comprising a layer of synthetic resin
    • B32B27/16Layered products comprising a layer of synthetic resin specially treated, e.g. irradiated
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B27/00Layered products comprising a layer of synthetic resin
    • B32B27/28Layered products comprising a layer of synthetic resin comprising synthetic resins not wholly covered by any one of the sub-groups B32B27/30 - B32B27/42
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F293/00Macromolecular compounds obtained by polymerisation on to a macromolecule having groups capable of inducing the formation of new polymer chains bound exclusively at one or both ends of the starting macromolecule
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F293/00Macromolecular compounds obtained by polymerisation on to a macromolecule having groups capable of inducing the formation of new polymer chains bound exclusively at one or both ends of the starting macromolecule
    • C08F293/005Macromolecular compounds obtained by polymerisation on to a macromolecule having groups capable of inducing the formation of new polymer chains bound exclusively at one or both ends of the starting macromolecule using free radical "living" or "controlled" polymerisation, e.g. using a complexing agent
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/165Monolayers, e.g. Langmuir-Blodgett
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Inorganic Chemistry (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Laminated Bodies (AREA)
  • Graft Or Block Polymers (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)

Abstract

Disclosed is a multi-layered object, comprising: a substrate; and two or more layers disposed over the substrate, wherein each layer comprises a block copolymer comprising a first block and a second block. The first block comprises a repeat unit containing a hydrogen acceptor or a hydrogen donor, and the second block comprises a repeat unit containing a hydrogen donor when the repeat unit of the first block contains a hydrogen acceptor, or a hydrogen acceptor when the repeat unit of the first block contains a hydrogen donor. The first block of an innermost of the above two or more layers is bonded to the substrate, and the first block of each layer disposed over the innermost layer is bonded to the second block of a respective underlying layer. The hydrogen donor or hydrogen acceptor of the second block of an outermost the above two or more layers is blocked.

Description

코폴리머 다층 전해질을 사용한 네거티브 톤 현상 방법 및 이로부터 제조된 물품{METHOD OF NEGATIVE TONE DEVELOPMENT USING A COPOLYMER MULTILAYER ELECTROLYTE AND ARTICLES MADE THEREFROM}TECHNICAL FIELD [0001] The present invention relates to a negative tone developing method using a copolymer multilayer electrolyte, and a method of manufacturing the negative tone developing method using the copolymer multilayer electrolyte,

본 개시내용은 코폴리머 다층 전해질을 사용한 네거티브 톤 현상 방법 및 이로부터 제조된 물품에 관한 것이다. 특히, 본 개시내용은 블록 코폴리머 다층 전해질을 사용한 네거티브 톤 현상 수축(negative tone development shrink) 방법 및 이로부터 제조된 물품에 관한 것이다.The present disclosure relates to a negative tone development method using a copolymer multilayer electrolyte and an article made therefrom. In particular, the present disclosure relates to negative tone development shrink methods using block copolymer multilayer electrolytes and articles made therefrom.

반도체 제조 산업에서, 포토레지스트 물질은 반도체 기판 상에 배치된 하나 이상의 기저층, 예컨대, 금속, 반도체 및 유전체층뿐만 아니라 기판 자체에 이미지를 전사하기 위해 사용된다. 반도체 소자의 집적 밀도를 증가시키고, 나노미터 범위의 치수를 갖는 구조물을 형성할 수 있게 하기 위해, 고해상 능력을 갖는 포토레지스트 및 포토리소그래피 공정 툴이 개발되었고, 계속 개발되고 있다.In the semiconductor manufacturing industry, photoresist materials are used to transfer images to one or more base layers disposed on a semiconductor substrate, such as metal, semiconductor, and dielectric layers, as well as the substrate itself. Photoresist and photolithography process tools with high resolution capabilities have been developed and are being developed to increase the integration density of semiconductor devices and to enable the formation of structures with dimensions in the nanometer range.

포지티브-톤 화학 증폭된 포토레지스트는 포지티브 톤 현상(PTD) 공정을 사용하는 고해상 공정에 통상 사용된다. PTD 공정에서, 포토레지스트층의 노광된 영역은 현상 용액, 전형적으로 수성 알칼리 현상액에 가용성이고, 기판 또는 기재(substrate) 표면으로부터 제거되고, 반면 현상액에서 불용성인 미노광 영역은 현상 이후 잔류하여 포지티브 이미지를 형성한다. 리소그래피 성능을 개선시키기 위해, 액침 리소그래피 툴은 이미지화 장치, 예를 들면, KrF 또는 ArF 광원을 갖는 스캐너의 렌즈의 개구수(NA)를 효과적으로 증가시키기 위해 개발되었다. 이는 이미지화 장치의 최종 표면과 반도체 웨이퍼의 상부 표면 사이의 상대적으로 높은 굴절률 유체(즉, 액침 유체)의 사용에 의해 달성된다.Positive-Tone Chemically amplified photoresists are commonly used in high-resolution processes using positive tone development (PTD) processes. In the PTD process, the exposed area of the photoresist layer is soluble in a developing solution, typically an aqueous alkaline developer, and is removed from the substrate or substrate surface, while the unexposed areas that are insoluble in the developer remain after development, . In order to improve lithographic performance, immersion lithography tools have been developed to effectively increase the numerical aperture (NA) of imaging apparatus, for example lenses of scanners with KrF or ArF light sources. This is accomplished by the use of a relatively high refractive index fluid (i.e., immersion fluid) between the final surface of the imaging device and the upper surface of the semiconductor wafer.

물질과 공정 모두의 견지로부터 포지티브 톤 현상으로 달성되는 것 이상의 실질 해상도를 늘리기 위한 상당한 노력이 이루어지고 있다. 하나의 이러한 예는 네거티브 톤 현상(NTD) 공정이다. NTD 공정은 임계적 다크 필드층(critical dark field layer)을 인쇄하기 위한 브라이트 필드 마스크(bright field mask)로 얻어지는 우수한 이미지화 품질을 사용하는 것에 의해 이미지화되는 표준 포지티브 톤과 비교하여 개선된 해상도 및 공정 윈도우(process window)를 가능하게 한다. NTD 레지스트는 전형적으로 산-분해성(본원에서 산-분리성으로도 지칭됨) 기를 갖는 수지 및 광산 발생제를 이용한다. 화학방사선에의 노광은 광산 발생제가 산을 형성하게 하고, 이 산은 노광후 베이킹 과정에서 산-분해성 기의 분리를 야기하여 노광된 영역에서의 극성 전환을 일으킨다. 그 결과, 용해도 특성의 차이가 레지스트의 노광 영역과 미노광 영역 사이에서 일어나고, 이로써 레지스트의 미노광 영역은 유기 용매 현상액에 의해 제거될 수 있고, 불용성의 노광된 영역에 의해 생성된 패턴을 뒤에 남겨둔다.Significant efforts have been made to increase the true resolution beyond what is achieved by the positive tone phenomenon from the standpoint of both materials and processes. One such example is the Negative Tone Development (NTD) process. The NTD process has an improved resolution and process window compared to a standard positive tone imaged by using superior imaging quality obtained with a bright field mask for printing a critical dark field layer. (process window). NTD resists typically utilize resins and photoacid generators having acid-labile groups (also referred to herein as acid-cleavable) groups. Exposure to actinic radiation causes the photoacid generator to form an acid which in turn causes the acid-decomposable group to separate during the post-exposure baking process, resulting in a polarity transition in the exposed area. As a result, differences in solubility characteristics occur between the exposed and unexposed areas of the resist, whereby the unexposed areas of the resist can be removed by the organic solvent developer, leaving a pattern produced by the insoluble exposed areas behind .

표준 레지스트 패턴화 기술로 전형적으로 얻어지는 것 이상으로 해상도 능력을 더욱 연장시키기 위해, 패턴 수축에 대한 다양한 공정이 제시되고 있다. 이러한 공정은 인접한 라인들 사이 또는 트렌치 또는 홀 패턴 내의 간극(spacing)을 감소시키기 위해(즉, "수축시키기 위해") 레지스트 패턴 측벽의 유효한 두께를 증가시키는 것을 수반한다. 이러한 방식으로, 피처 예컨대 패턴으로부터 형성된 트렌치 및 컨택홀(contact hole)이 더 작게 제조될 수 있다. 공지된 수축 기술은 예를 들면 화학 기상 증착(CVD) 어시스트, 산 확산 레지스트 성장(acid diffusion resist growth) 및 폴리머 블렌드 자기-조립을 포함한다.In order to further extend the resolution capability beyond what is typically achieved with standard resist patterning techniques, various processes for pattern shrinkage have been proposed. This process involves increasing the effective thickness of the resist pattern sidewalls to reduce (i.e. "shrink") the spacing between adjacent lines or in trench or hole patterns. In this way, trenches and contact holes formed from features, e.g., patterns, can be made smaller. Known contraction techniques include, for example, chemical vapor deposition (CVD) assist, acid diffusion resist growth and polymer blend self-assembly.

CVD 어시스트 수축 공정(문헌 [K. Oyama et al, "The enhanced photoresist shrink process technique toward 22nm node", Proc . SPIE 7972, Advances in Resist Materials and Processing Technology XXVIII, 79722Q (2011)] 참조)은 예를 들면 컨택홀, 라인/스페이스 또는 트렌치 패턴을 포함하는 포토레지스트 패턴 상에 형성된 CVD-증착된 층을 사용한다. CVD 물질은 다시 에칭되어, 레지스트 패턴의 측벽 상에 물질을 남긴다. 이는 레지스트 패턴의 효과적인 측면 치수를 증가시키고, 이에 의해 에칭될 기저층을 노광시키는 개방 면적(open area)을 감소시킨다. CVD 어시스트 수축 기술은 CVD 및 에칭 툴의 사용을 요구하고, 이는 비용이 소요되고, 공정에 복잡성을 부가하고, 공정 처리량의 관점에서 단점에 해당한다.CVD assisted shrinking process (see K. Oyama et al., "The enhanced photoresist shrink process technique toward 22 nm node ", Proc . SPIE 7972, Advances in Resist Materials and Processing Technology XXVIII, 79722Q (2011) A CVD-deposited layer formed on a photoresist pattern comprising a contact hole, line / space or trench pattern. The CVD material is again etched to leave material on the sidewalls of the resist pattern. This increases the effective lateral dimension of the resist pattern, thereby reducing the open area that exposes the underlying layer to be etched. CVD assisted shrink technology requires the use of CVD and etch tools, which is costly, adds complexity to the process, and is a disadvantage in terms of process throughput.

RELACS 공정으로도 지칭되는 산 확산 레지스트 성장 공정(문헌 [L. Peters, "Resists Join Sub-λ Revolution", Semiconductor International, 1999. 9] 참조)에서, 산-촉매화된 가교결합성 물질은 PTD-생성된 레지스트 패턴화 표면 상에 코팅된다. 물질의 가교결합은 베이킹 단계 과정에서 가교결합성 물질로 확산되는 레지스트 패턴에 존재하는 산 성분에 의해 촉매화된다. 가교결합은 산 확산 영역 내의 레지스트 패턴의 부근의 물질에서 일어나 패턴의 측벽 상에 코팅을 형성하고, 이에 의해 패턴의 개방 면적의 측면 치수를 감소시킨다. 이러한 공정은 전형적으로 등밀도 바이어스(iso-dense bias, IDB)를 겪으며, 여기서 레지스트 패턴 상의 가교결합된 층의 성장은 인접한 레지스트 패턴(사이의 간극) 밀도에 따라 다이 표면에 걸쳐 불균일하게 일어난다. 그 결과, 동일한 피처에 대한 "수축"의 범위는 패턴 밀도에 기초하여 다이에 걸쳐 변화될 수 있다. 이는 결함의 패턴화 및 동일한 소자인 것으로 의도되는 것에 대해 다이에 걸친 전기적 특성의 변화를 야기할 수 있다.In an acid diffusion resist growth process (also referred to as RELACS process) (see L. Peters, Resists Join Sub-λ Revolution, Semiconductor International , 1999. 9), acid- The resulting resist is coated on the patterned surface. The cross-linking of the material is catalyzed by an acid component present in the resist pattern that diffuses into the cross-linkable material during the baking step. Cross-linking occurs in the material in the vicinity of the resist pattern in the acid diffusion region and forms a coating on the sidewalls of the pattern, thereby reducing the lateral dimension of the open area of the pattern. This process typically undergoes an iso-dense bias (IDB), wherein the growth of the crosslinked layer on the resist pattern occurs non-uniformly across the die surface, depending on the density of the adjacent resist pattern (gap between). As a result, the extent of "shrinkage" for the same feature can be varied across the die based on the pattern density. This can result in patterning of defects and variations in electrical properties across the die for what is intended to be the same device.

폴리머 블렌드 자기-조립(문헌 [Y. Namie et al, "Polymer blends for directed self-assembly", Proc . SPIE 8680, Alternative Lithographic Technologies V, 86801M (2013) 참조])은 포토레지스트 패턴 상의 친수성 폴리머와 소수성 폴리머의 불혼화성 블렌드를 함유하는 조성물을 코팅하는 것을 수반한다.Polymer blend self-assembly (see Y. Namie et al, "Polymer blends for directed self-assembly", Proc . SPIE 8680, Alternative Lithographic Technologies V, 86801M (2013) Lt; RTI ID = 0.0 > blend. ≪ / RTI >

상기 조성물은 이후 어닐링되고, 이는 폴리머가 상분리되게 하고, 여기서 친수성 폴리머는 레지스트 패턴 측벽에 대해 우선적으로 분리되고, 소수성 폴리머는 레지스트 패턴 측벽 사이의 나머지 용적을 채운다. 소수성 폴리머는 이후 용매 현상에 의해 제거되어, 레지스트 패턴 측벽 상에 친수성 폴리머를 남긴다. 폴리머 블렌드 자기-조립은 근접성 및 크기 효과를 겪는 것으로 밝혀졌다. 수축비가 2개의 폴리머의 용적비에 의해 결정되기 때문에, 모든 피처는 동일한 절대량에 의한 것보다는 동일한 상대적 백분율로 수축된다. 이는 산 분산 레지스트 성장 기술과 관련하여 기술된 동일한 문제점을 야기할 수 있다. The composition is then annealed, which causes the polymer to undergo phase separation, wherein the hydrophilic polymer is preferentially separated relative to the resist pattern sidewalls, and the hydrophobic polymer fills the remaining volume between the resist pattern sidewalls. The hydrophobic polymer is then removed by solvent development, leaving a hydrophilic polymer on the resist pattern sidewall. Polymer blend self-assembly has been found to experience proximity and size effects. Since the shrinkage ratio is determined by the volume ratio of the two polymers, all of the features are shrunk to the same relative percentage rather than by the same absolute amount. This can lead to the same problems described in connection with acid dispersed resist growth techniques.

최신 기술과 관련된 하나 이상의 문제점을 다루며, 전자 소자 제조시 미세 패턴의 형성을 가능하게 하는 개선된 포토레지스트 패턴 수축 방법에 대한 본 기술분야의 연속적인 필요성이 존재한다.There is a continuing need in the art for improved photoresist pattern shrinkage methods that address one or more problems associated with the state of the art and which enable the formation of fine patterns in electronic device fabrication.

기재; 및 상기 기재 상에 배치된 2개 이상의 층을 포함하는 다층 물품이 개시되어 있고, 여기서 각각의 상기 층은 제1 블록 및 제2 블록을 포함하는 블록 코폴리머를 포함하고, 여기서 제1 블록은 수소 수용체 또는 수소 공여체를 함유하는 반복 단위를 포함하고, 제2 블록은 제1 블록의 반복 단위가 수소 수용체를 함유하는 경우 수소 공여체, 또는 제1 블록의 반복 단위가 수소 공여체를 함유하는 경우 수소 수용체를 함유하는 반복 단위를 포함하고; 상기 2개 이상의 층 중 최내부층의 제1 블록이 기재에 결합되고, 최내부층 상에 배치된 각 층의 제1 블록이 각 기저층의 제2 블록에 결합되고; 그리고 상기 2개 이상 층 중 최외부층의 제2 블록의 수소 공여체 또는 수소 수용체가 블록화된다. materials; And at least two layers disposed on the substrate, wherein each layer comprises a block copolymer comprising a first block and a second block, wherein the first block comprises at least one of hydrogen Receptor or a hydrogen donor and the second block comprises a hydrogen donor if the repeat unit of the first block contains a hydrogen acceptor or a hydrogen acceptor if the repeat unit of the first block contains a hydrogen donor Containing repeating units; A first block of the innermost layer of the at least two layers is bonded to a substrate and a first block of each layer disposed on the innermost layer is bonded to a second block of each base layer; And the hydrogen donor or hydrogen acceptor of the second block of the outermost layer of the two or more layers is blocked.

도 1(A)는 추가적인 층이 위에 배치되어 있지 않은 아무것도 없는 포토레지스트 기재를 도시하고 있고;
도 1(B)는 포토레지스트 기재 상에 제1 조성물의 배치를 도시하고 있고;
도 1(C)는 임의의 베이킹 단계에 가해진 그 위에 배치된 제1 조성물을 갖는 기재를 도시하고 있고;
도 1(D)는 제1 블록 상에 형성된 블록화된 수소 수용체 또는 블록화된 수소 공여체의 제2 블록을 도시하고 있고;
도 1(E)는 미블록화된 수소 수용체 또는 미블록화된 수소 공여체를 포함하는 제2 블록을 형성하기 위해, 산 또는 산 발생제, 방사선 및/또는 상승된 온도에의 노광에 의해 탈보호되는 제2 블록을 도시하고 있고;
도 1(F)는 제2 블록 상에의 제1 조성물의 배치를 도시하고 있고;
도 1(G)는 기재 상의 블록 코폴리머의 다층의 빌드-업(build-up)을 도시하고 있고;
도 2(a)는 실시예 7로부터의 수축 방법 이전의 라인/스페이스 패턴의 상부-하부 SEM 이미지를 나타내고 있고;
도 2(b)는 실시예 8로부터의 수축 방법 이후의 라인/스페이스 패턴의 상부-하부 SEM 이미지를 나타내고 있고;
도 2(c)는 실시예 9로부터의 수축 방법 이후의 라인/스페이스 패턴의 상부-하부 SEM 이미지를 나타내고 있다.
Figure 1 (A) shows a photoresist substrate with no additional layers disposed thereon;
Figure 1 (B) shows the placement of the first composition on a photoresist substrate;
Figure 1 (C) shows a substrate having a first composition disposed thereon applied to an optional baking step;
1 (D) shows a second block of blocked hydrogen acceptor or blocked hydrogen donor formed on the first block;
Figure 1 (E) shows a process for the preparation of a second block comprising an unblocked hydrogen acceptor or an unblocked hydrogen donor, in the presence of an acid or acid generator, radiation and / or an agent which is deprotected by exposure to elevated temperature Two blocks;
Figure 1 (F) shows the arrangement of the first composition on the second block;
Figure 1 (G) shows the build-up of multiple layers of the block copolymer on the substrate;
Figure 2 (a) shows an upper-bottom SEM image of the line / space pattern prior to the shrink method from Example 7;
Figure 2 (b) shows an upper-lower SEM image of the line / space pattern after the shrink method from Example 8;
Fig. 2 (c) shows the top-bottom SEM image of the line / space pattern after the shrinking method from Example 9. Fig.

제1 조성물 및 임의의 제2 조성물을 포함하는 수축 조성물(shrink composition)이 본원에 개시되어 있다. 제1 조성물은 적어도 2개의 블록을 포함하는 블록 코폴리머를 포함한다. 2개의 블록은 제1 블록 및 제2 블록을 포함하고, 여기서 제1 블록은 수소 수용체 또는 수소 공여체를 포함하는 반복 단위를 포함하고, 제2 블록은 제1 블록의 반복 단위가 수소 수용체인 경우 블록화된 공여체, 또는 제1 블록의 반복 단위가 수소 공여체인 경우 블록화된 수용체를 포함하는 반복 단위를 포함한다. 수축 조성물을 또한 용매를 포함할 수 있다. Shrink compositions comprising a first composition and an optional second composition are disclosed herein. The first composition comprises a block copolymer comprising at least two blocks. The two blocks include a first block and a second block wherein the first block comprises a repeating unit comprising a hydrogen acceptor or a hydrogen donor and the second block comprises a block Or a repetitive unit comprising a blocked receptor if the repeat unit of the first block is a hydrogen donor. The shrinkage composition may also comprise a solvent.

본원에 사용되는 용어 "블록"은 블록 폴리머를 지칭한다. 따라서, 제1 블록은 제1 블록 폴리머를 지칭하고, 제2 블록은 제2 블록 폴리머를 지칭한다.As used herein, the term "block " refers to a block polymer. Thus, the first block refers to the first block polymer and the second block refers to the second block polymer.

제2 조성물은 제1 조성물과 동일하거나 상이하고, 제1 블록 및 제2 블록을 포함하는 블록 코폴리머를 포함하고, 여기서 제1 블록은 수소 수용체 또는 수소 공여체를 함유하는 반복 단위를 포함하고, 제2 블록은 제1 블록의 반복 단위가 수소 수용체인 경우 블록화된 산, 또는 제1 블록의 반복 단위가 수소 공여체인 경우 블록화된 염기를 함유하는 반복 단위; 및 용매를 포함한다. The second composition is the same as or different from the first composition, and comprises a block copolymer comprising a first block and a second block, wherein the first block comprises a repeating unit containing a hydrogen acceptor or a hydrogen donor, 2 block is a blocked acid when the repeating unit of the first block is a hydrogen acceptor or a repeating unit containing a blocked base when the repeating unit of the first block is a hydrogen donor; And a solvent.

또한, 본원에는 기재를 제공하는 단계 및 기재 상에 수축 조성물을 배치하는 단계를 포함하는 방법이 개시되어 있다. 기재 상에의 배치시 블록 코폴리머는 2개 이상의 층으로 상 분리가 진행되고, 여기서 각 층은 기재 표면에 대해 평행한 이의 최대 크기의 표면을 가진다. 상분리 진행시, 본 방법은 탈보호제로 수소 수용체 또는 수소 공여체를 탈보호시키는 단계를 더 포함한다.Also disclosed herein is a method comprising providing a substrate and disposing a shrinkage composition on the substrate. Upon placement on the substrate, the block copolymer undergoes phase separation into two or more layers, where each layer has its largest-sized surface parallel to the substrate surface. During phase separation, the method further comprises deprotecting the hydrogen acceptor or hydrogen donor with the deprotecting agent.

또한, 본원에는 제1 층 및 제2 층이 배치된 기재를 포함하는 물품이 개시되어 있다. 각 층은 블록 코폴리머를 포함한다. 제1 층은 제1 블록 및 제2 블록을 갖는 제1 블록 코폴리머를 포함한다. 제1 층은 최내부층이고, 공유 또는 이온 결합에 의해 기재에 반응성으로 결합된다. 제1 블록은 수소 수용체 또는 수소 공여체를 함유하는 반복 단위를 포함하고, 제2 블록은 제1 블록의 반복 단위가 수소 수용체인 경우 블록화된 공여체 또는 제1 블록의 반복 단위가 수소 공여체인 경우 블록화된 수용체를 함유하는 반복 단위를 포함한다.Also disclosed herein is an article comprising a substrate on which a first layer and a second layer are disposed. Each layer comprises a block copolymer. The first layer comprises a first block copolymer having a first block and a second block. The first layer is the innermost layer and is reactively bonded to the substrate by covalent or ionic bonding. The first block comprises a repeating unit containing a hydrogen acceptor or a hydrogen donor and the second block comprises a blocked donor if the repeat unit of the first block is a hydrogen acceptor or a blocked donor if the repeat unit of the first block is a hydrogen donor, And a repeating unit containing a receptor.

제2 층은 제1 블록 및 제2 블록을 포함하는 제2 블록 코폴리머를 포함한다. 제2 블록에서, 제1 블록은 수소 수용체 또는 수소 공여체를 함유하는 반복 단위를 포함하고, 한편 제2 블록은 제1 블록의 반복 단위가 수소 수용체인 경우 블록화된 공여체 또는 제1 블록의 반복 단위가 수소 공여체인 경우 블록화된 수용체를 함유하는 반복 단위를 포함한다. 제2층은 최외각층이고, 제1 층에 결합된다.The second layer comprises a second block copolymer comprising a first block and a second block. In the second block, the first block comprises a repeating unit containing a hydrogen acceptor or a hydrogen donor, while the second block is a blocked donor or repeating unit of the first block when the repeating unit of the first block is a hydrogen acceptor And a repeating unit containing a blocked receptor if it is a hydrogen donor. The second layer is an outermost layer and is bonded to the first layer.

일 구현예에서, 제1 블록 코폴리머는 기재 상에 배치된 제1 조성물의 일부이고, 한편 제2 블록 코폴리머는 이것이 기재 상에 배치된 이후 제1 조성물 상에 배치되는 제2 조성물의 일부이다. 일 구현에에서, 제1 조성물은 제1 조성물의 블록화된 수용체 또는 블록화된 공여체를 탈보호시키기 이전에 기재로부터 잔류된 제1 조성물을 제거하는 것을 포함하는 처리에 가해질 수 있고, 이로써 기재 상에 제1 블록 코폴리머의 코팅이 남겨진다. 제2 조성물의 배치는 제1 조성물의 블록화된 수용체 또는 블록화된 공여체를 탈보호시킨 이후에 실시된다. 제2 조성물은 또한 제2 조성물의 블록화된 수용체 또는 블록화된 공여체를 탈보호시키기 이전에 기재로부터 잔류된 제2 조성물을 제거하는 것을 포함하는 처리에 가해질 수 있고, 이로써 제1 블록 코폴리머 상에 제2 블록 코폴리머의 코팅이 남겨진다.In one embodiment, the first block copolymer is part of a first composition disposed on the substrate while the second block copolymer is part of a second composition disposed on the first composition after it is disposed on the substrate . In one embodiment, the first composition may be subjected to a treatment comprising removing the first composition remaining from the substrate prior to deprotecting the blocked receptor or the blocked donor of the first composition, Coating of one block copolymer is left. The arrangement of the second composition is carried out after deprotection of the blocked receptor or blocked donor of the first composition. The second composition may also be subjected to a treatment comprising removing the remaining second composition from the substrate prior to deprotecting the blocked receptor or blocked donor of the second composition, 2 < / RTI > block copolymer.

일 구현예에서, 기재는 반도체 기판이다. 다른 구현예에서, 기재는 그 위에 2개 이상의 층이 배치되는 포토레지스트 패턴을 포함하고, 여기서 최내부층의 블록 코폴리머의 제1 블록은 포토레지스트 패턴에 결합된다. 포토레지스트 패턴은 네거티브 톤 현상 공정으로 형성되고, 포토레지스트 패턴은 이의 표면 상의 카복실산 및/또는 하이드록실기를 포함한다.In one embodiment, the substrate is a semiconductor substrate. In another embodiment, the substrate comprises a photoresist pattern on which at least two layers are disposed, wherein the first block of the block copolymer of the innermost layer is bonded to the photoresist pattern. The photoresist pattern is formed by a negative tone development process, and the photoresist pattern includes a carboxylic acid and / or a hydroxyl group on the surface thereof.

집적 회로의 패턴화는 현재 단일 193 nm 파장 노광으로 가능한 것보다 더 작은 피처를 이용한다. 다수의 선택사항이 현재 홀/트렌치 수축 피처에 대해 존재하고, 이는 화학 기상 증착(CVD) 오버코팅, 산 확산 레지스트 성장 및 폴리머 블렌드 자기-조립을 포함한다. CVD는 부가된 복잡성 및 비용으로 인해 바람직하지 않고, 산 확산 및 폴리머 블렌드 방법은 근접성(proximity) 및 크기 효과(size effect)의 문제를 겪고 있다. 예를 들면, 불혼화성 폴리머 블렌드의 경우, 수축비가 2개의 성분의 용적에 영향을 받기 때문에, 모든 피처는 동일한 양이 아닌 동일한 상대적인 백분율로 수축된다. 따라서, 피처 크기 또는 밀도와 무관하게 일정한 수축을 패턴 수축 공정에 부여하는 스핀-온 용액(spin-on solution)에 대한 필요성이 존재한다.Patterning of integrated circuits now uses smaller features than are possible with a single 193 nm wavelength exposure. A number of options exist for current hole / trench shrink features, including chemical vapor deposition (CVD) overcoating, acid diffusion resist growth and polymer blend self-assembly. CVD is undesirable due to the added complexity and cost, and acid diffusion and polymer blend methods suffer from the problems of proximity and size effects. For example, in the case of an incompatible polymer blend, since the shrinkage ratio is affected by the volume of the two components, not all features contract at the same relative percentage but at the same amount. Thus, there is a need for a spin-on solution that imparts a constant shrinkage to the pattern shrinking process regardless of feature size or density.

제1 조성물은 블록 코폴리머를 포함한다. 블록 코폴리머는 디블록 코폴리머, 트리블록 코폴리머, 스타 블록 코폴리머, 그래디언트 코폴리머(gradient copolymer) 등 또는 이들의 조합을 포함한다. 블록 코폴리머는 수소 수용체 또는 수소 공여체를 함유하는 반복 단위를 포함하는 제1 블록을 포함하고, 제2 블록은 제1 블록의 반복 단위가 수소 수용체인 경우 블록화된 공여체, 또는 제1 블록의 반복 단위가 수소 공여체인 경우 블록화된 수용체를 함유하는 반복 단위를 포함한다. 제1 블록 및 제2 블록은 서로 공유 결합되는 각각의 폴리머이다. 블록 코폴리머는 블록 코폴리머 다층 전해질(BCP-ME)로서 때때로 지칭된다.The first composition comprises a block copolymer. The block copolymers include diblock copolymers, triblock copolymers, star block copolymers, gradient copolymers, etc., or combinations thereof. The block copolymer comprises a first block comprising a repeating unit containing a hydrogen acceptor or a hydrogen donor and the second block comprises a blocked donor if the repeating unit of the first block is a hydrogen acceptor, Quot; is a hydrogen donor, it includes a repeating unit containing a blocked receptor. The first block and the second block are each polymer that is covalently bonded to each other. The block copolymer is sometimes referred to as a block copolymer multilayer electrolyte (BCP-ME).

일 구현예에서, 블록 코폴리머는 또한 중성 블록(이노센트 블록(innocent block)으로도 지칭됨)을 함유할 수 있다. 중성 블록은 임의의 전하 종을 함유하지 않는다. 중성 블록은 블록화된 공여체 블록, 수용체 블록, 또는 공여체 블록이 블록화되는 블록 코폴리머에서의 블록화된 공여체 블록 및 수용체 블록 모두에 대해 공유 결합되거나 이온 결합될 수 있다. 대안적으로, 이는 공여체 블록, 블록화된 수용체 블록 또는 공여체 블록 및 블록화된 수용체 블록을 포함하는 코폴리머에서의 공여체 블록 및 블록화된 수용체 블록 모두에 공유 결합되거나 이온 결합될 수 있다. In one embodiment, the block copolymer may also contain a neutral block (also referred to as an innocent block). Neutral blocks do not contain any charge species. Neutral blocks can be covalently or ionically bound to both the blocked donor block, the acceptor block, or the blocked donor block and the acceptor block in the block copolymer where the donor block is blocked. Alternatively, it can be covalently or ionically linked to both the donor block and the blocked receptor block in a copolymer comprising a donor block, a blocked receptor block or donor block and a blocked receptor block.

일 구현예에서, 제1 블록 또는 제2 블록 중 적어도 하나는 각각 중성 블록의 단량체성 단위와 공중합되는 제1 블록 또는 제2 블록을 형성하는 단량체성 단위의 랜덤 코폴리머를 포함할 수 있다. In one embodiment, at least one of the first block or the second block may each comprise a random copolymer of monomeric units forming a first block or a second block that is co-polymerized with the monomeric units of the neutral block.

수소 수용체 함유 블록은 질소-함유 기를 포함한다. 적합한 질소-함유 기는 레지스트 패턴의 표면에서 산기와 이온 결합을 형성할 수 있다. 유용한 질소-함유 기는 예를 들면 아민기 및 아미드기, 예를 들면, 1차 아민 예컨대 아민, 2차 아민 예컨대 N-메틸아민, N-에틸아민, N-t-부틸아민 등을 포함하는 알킬아민, 3차 아민 예컨대 N,N-디메틸아민, N,N-메틸에틸아민, N,N-디에틸아민 등을 포함하는 N,N-디알킬아민을 포함한다. 유용한 아미드기는 알킬아미드 예컨대 N-메틸아미드, N-에틸아미드, N-페닐아미드, N,N-디메틸아미드 등을 포함한다. 질소-함유 기는 또한 고리, 예컨대 피리딘, 인돌, 이미다졸, 트리아진, 피롤리딘, 아자사이클로프로판, 아자사이클로부탄, 피페리딘, 피롤, 퓨린, 디아제티딘, 디티아진, 아조칸, 아조난, 퀴놀린, 카바졸, 아크리딘, 인다졸, 벤즈이미다졸 등의 일부일 수 있다. 바람직한 질소 함유기는 아민기, 아미드기, 피리딘기, 또는 이들의 조합이다. 일 구현예에서, 블록 코폴리머에서의 아민은 기재의 표면(예를 들면, 이후 논의될 것인 레지스트의 표면)에서 유리 산과 이온 결합을 형성하여 블록 코폴리머에 부착된다.The hydrogen acceptor containing block comprises a nitrogen-containing group. Suitable nitrogen-containing groups can form ionic bonds with acid groups on the surface of the resist pattern. Useful nitrogen-containing groups include, for example, alkylamines including amine groups and amide groups such as primary amines such as amines, secondary amines such as N-methylamine, N-ethylamine, Nt- N, N-dialkylamines including caramines such as N, N-dimethylamine, N, N-methylethylamine, N, N-diethylamine and the like. Useful amide groups include alkyl amides such as N-methyl amide, N-ethyl amide, N-phenyl amide, N, N-dimethyl amide and the like. The nitrogen-containing group may also be a ring such as pyridine, indole, imidazole, triazine, pyrrolidine, azacyclopropane, azacyclobutane, piperidine, pyrrole, purine, diazetidine, dithiazine, azokane, , Quinoline, carbazole, acridine, indazole, benzimidazole, and the like. Preferred nitrogen-containing groups are amine groups, amide groups, pyridine groups, or combinations thereof. In one embodiment, the amine in the block copolymer is attached to the block copolymer by forming an ionic bond with the free acid on the surface of the substrate (e.g., the surface of the resist to be discussed later).

일 구현예에서, 제1 블록의 반복 단위는 수소 수용체를 함유한다. 수소 수용체를 함유하는 제1 블록은 질소 함유 기를 포함한다. 질소 함유 기를 포함하는 수소 수용체 함유 블록의 예는 하기 화학식 (1) 내지 (2)로 나타난다.In one embodiment, the repeat unit of the first block contains a hydrogen acceptor. The first block containing the hydrogen acceptor comprises a nitrogen-containing group. Examples of hydrogen acceptor-containing blocks containing a nitrogen-containing group are represented by the following formulas (1) to (2).

Figure pat00001
Figure pat00001

식 중, n은 반복 단위의 수이고, R1은 C1 내지 C30 알킬기, 바람직하게는 C2 내지 C10 알킬기이고, R2 및 R3는 동일하거나 상이할 수 있고, 수소, 하이드록실, C1 내지 C30 알킬기, 바람직하게는 C1 내지 C10 기일 수 있고, R4는 수소 또는 C1 내지 C30 알킬기이다.N is the number of repeating units, R 1 is a C 1 to C 30 alkyl group, preferably a C 2 to C 10 alkyl group, R 2 and R 3 may be the same or different and are hydrogen, hydroxyl, A C 1 to C 30 alkyl group, preferably a C 1 to C 10 group, and R 4 is hydrogen or a C 1 to C 30 alkyl group.

Figure pat00002
Figure pat00002

식 중, n, R1, R2, R3 및 R4는 화학식 (1)에서 상기 정의되어 있다.Wherein n, R 1 , R 2, R 3 and R 4 are as defined above in the formula (1).

화학식 (2)의 구조의 바람직한 형태는 하기 화학식 (3)에 나타나 있다:A preferred form of the structure of formula (2) is shown in formula (3) below:

Figure pat00003
Figure pat00003

식 중, R1NR2R3 기는 파라 위치에 위치되고, n, R1, R2, R3 및 R4는 화학식 (1)에서 상기 정의되어 있다.Wherein R 1 NR 2 R 3 groups are located in the para position and n, R 1 , R 2, R 3 and R 4 are defined above in formula (1).

질소 함유 기를 포함하는 수소 수용체 함유 블록의 다른 예는 하기 화학식 (4)에 나타나 있다.Another example of a hydrogen-containing block containing a nitrogen-containing group is shown in the following chemical formula (4).

Figure pat00004
Figure pat00004

화학식 (4)에서 n 및 R4는 화학식 (1)에 정의되어 있고, 질소 원자는 오르토, 메타, 파라 위치 또는 임의의 이들의 조합 (예를 들면, 오르토 및 파라 위치 모두의 것)일 수 있다.In the formula (4), n and R 4 are defined in formula (1), and the nitrogen atom may be in the ortho, meta, para position or any combination thereof (for example in both ortho and para positions) .

질소 함유 기를 포함하는 수소 수용체 함유 블록의 또 다른 예는 하기 화학식 (5)에 나타나 있다.Another example of a hydrogen acceptor containing block containing a nitrogen-containing group is shown in the following chemical formula (5).

Figure pat00005
Figure pat00005

식 중, n 및 R4는 상기에 정의되어 있다.Wherein n and R < 4 > are defined above.

질소 함유 기를 포함하는 수소 수용체 함유 블록의 또 다른 예는 하기 화학식 (6)에 나타난 폴리(알킬렌 이민)이다.Another example of a hydrogen acceptor containing block containing a nitrogen-containing group is a poly (alkyleneimine) represented by the following formula (6).

Figure pat00006
Figure pat00006

식 중, R1은 1-4개의 질소 원자로 치환된 5원 고리이고, R2는 C1 내지 C15 알킬렌이고, n은 반복 단위의 총수이다. 화학식 (6)의 구조의 예는 폴리에틸렌이민이다. 화학식 (6)의 수소 수용체의 예시적인 구조를 하기에 나타나 있다.Wherein R 1 is a 5-membered ring substituted with 1 to 4 nitrogen atoms, R 2 is C 1 to C 15 alkylene, and n is the total number of repeating units. An example of the structure of formula (6) is polyethyleneimine. An exemplary structure of the hydrogen acceptor of formula (6) is shown below.

Figure pat00007
Figure pat00007

상기 나타난 바와 같이, 수소 수용체를 포함하는 블록은 공여체 기가 블록화되지 않은 경우 블록화 기로 보호될 수 있고 그리고 그 반대도 같다. 수소 수용체는 산 분해성 기, 열 분해성 기 또는 전자기 방사선으로 분해될 수 있는 기로 보호되거나 또는 블록화될 수 있다. 일 구현예에서, 산 분해성 기는 열 분해되거나 또는 전자기 방사선에 대한 노광의 결과로서 분해될 수 있다.As indicated above, a block comprising a hydrogen acceptor can be protected with a blocking agent if the donor group is not blocked, and vice versa. The hydrogen acceptor may be protected or blocked with an acid-decomposable group, a thermally decomposable group or a group capable of decomposing into electromagnetic radiation. In one embodiment, the acid-decomposable group can be thermally decomposed or degraded as a result of exposure to electromagnetic radiation.

블록화를 위해 사용될 수 있는 산 분해성 기의 예는 C4-30 3차 알킬 에스테르이다. C4-30 3차 알킬기의 예는 2-(2-메틸)프로필 ("t-부틸"), 2-(2-메틸)부틸, 1-메틸사이클로펜틸, 1-에틸사이클로펜틸, 1-메틸사이클로헥실, 1-에틸사이클로헥실, 2-메틸아다만틸, 2-에틸아다만틸, 또는 상기 중 적어도 하나를 포함하는 조합을 포함한다. 특정 구현예에서, 산 분해성 기는 t-부틸기 또는 에틸사이클로펜틸기이다.An example of an acid-labile group that may be used for blocking is a C 4-30 tertiary alkyl ester. Examples of C 4-30 tertiary alkyl groups include 2- (2-methyl) propyl ("t-butyl"), 2- Cyclohexyl, 1-ethylcyclohexyl, 2-methyladamantyl, 2-ethyladamantyl, or combinations comprising at least one of the foregoing. In certain embodiments, the acid-labile group is a t-butyl group or an ethylcyclopentyl group.

카복실산을 보호하기 위한 추가적인 분해성 기는 치환된 메틸 에스테르 예컨대 메톡시메틸, 테트라하이드로피라닐, 테트라하이드로푸라닐, 2-(트리메틸실릴)에톡시메틸, 벤질옥시메틸 등; 2-치환된 에틸 에스테르, 예컨대 2,2,2-트리클로로에틸, 2-할로에틸, 2-(트리멘틸실릴)에틸 등; 2,6-디알킬페닐 에스테르 예컨대 2,6-디메틸페닐, 2,6-디이소프로필페닐, 벤질 등; 치환된 벤질 에스테르 예컨대 트리페닐메틸, p-메톡시벤질, 1-피레닐메틸 등; 실릴 에스테르 예컨대 트리메틸실릴, 디-t-부틸메틸실릴, 트리이소프로필실릴 등을 포함한다. Additional degradable groups for protecting the carboxylic acid include substituted methyl esters such as methoxymethyl, tetrahydropyranyl, tetrahydrofuranyl, 2- (trimethylsilyl) ethoxymethyl, benzyloxymethyl and the like; 2-substituted ethyl esters such as 2,2,2-trichloroethyl, 2-haloethyl, 2- (trimethylsilyl) ethyl and the like; 2,6-dialkylphenyl esters such as 2,6-dimethylphenyl, 2,6-diisopropylphenyl, benzyl and the like; Substituted benzyl esters such as triphenylmethyl, p-methoxybenzyl, 1-pyrenylmethyl and the like; Silyl esters such as trimethylsilyl, di-t-butylmethylsilyl, triisopropylsilyl and the like.

전자기 방사선에 의해 분해되어 자유 카복실산 또는 알코올을 형성할 수 있는 기의 예는 하기를 포함한다:Examples of groups that can be cleaved by electromagnetic radiation to form free carboxylic acids or alcohols include:

Figure pat00008
Figure pat00008

전자기 방사선에 의해 분해되어 자유 아민을 형성할 수 있는 기의 예는 하기를 포함한다:Examples of groups that can be cleaved by electromagnetic radiation to form free amines include:

Figure pat00009
Figure pat00009

추가적인 보호기 및 이를 분해하기 위한 방법은 유기 화학의 기술분야에 공지되어 있고, 문헌 [Greene and Wuts in "Protective groups in organic synthesis", Third Edition, John Wiley & Sons, Inc., 1999]에 요약되어 있다.Additional protecting groups and methods for decomposing them are well known in the art of organic chemistry and are summarized in Greene and Wuts in "Protective groups in organic synthesis ", Third Edition, John Wiley & Sons, Inc., 1999 .

제2 블록(블록화된 수소 공여체로도 지칭됨)은 제1 블록이 블록화되지 않은 수소 수용체를 함유하는 경우 보호된 알코올기 및/또는 보호된 산 기를 함유한다. 산 및/또는 알코올 기는 산, 산 발생제(예컨대 열산 발생제 또는 광산 발생제), 열 에너지 또는 전자기 방사선에의 노광에 의해 탈보호될 수 있는 모이어티에 의해 보호된다. 적합한 산 분해성 기는 상기에 열거되어 있다.The second block (also referred to as the blocked hydrogen donor) contains a protected alcohol group and / or protected acid group when the first block contains a non-blocked hydrogen acceptor. The acid and / or alcohol groups are protected by an acid, an acid generator (e.g., a thermal acid generator or a photoacid generator), a moiety that can be deprotected by exposure to thermal energy or electromagnetic radiation. Suitable acid-decomposable groups are listed above.

보호된 기의 분해의 온도는 100 내지 250℃이다. 전자기 방사선은 UV 방사선, 적외선 방사선, x선, 전자빔 방사선 등을 포함한다. 예시적인 보호된 산 기는 하기 화학식 (7) 내지 (12)에 나타나 있다:The decomposition temperature of the protected group is 100 to 250 ° C. Electromagnetic radiation includes UV radiation, infrared radiation, x-rays, electron beam radiation, and the like. Exemplary protected acid groups are shown in formulas (7) to (12) below:

Figure pat00010
Figure pat00010

Figure pat00011
Figure pat00011

Figure pat00012
Figure pat00012

식 중, n은 반복 단위의 수이고, R1은 C1 내지 C30 알킬기, 바람직하게는 C2 내지 C10 알킬기이고, R4는 화학식 (7) 내지 (12D)이고, 수소, C1 내지 C10 알킬이고, R5는 수소 또는 C1 내지 C10 알킬이다. 화학식 (12C)에서, 산소 헤테로원자는 오르토, 메타 또는 파라 위치에 위치될 수 있다.And wherein, n the number of the repeating units, R 1 is C 1 to about a C 30 alkyl group, preferably C 2 to C 10 alkyl group, R 4 is the chemical formula (7) to (12D), hydrogen, C 1 to and C 10 alkyl, R 5 is hydrogen or C 1 to C 10 alkyl. In formula (12C), the oxygen heteroatom may be located in the ortho, meta or para position.

보호될 수 있는 다른 산 기는 인산기 및 설폰산기를 포함할 수 있다. 블록 코폴리머에서 사용될 수 있는 설폰산기 및 인산기를 함유하는 블록은 하기에 나타나 있다.Other acids that may be protected may include phosphate groups and sulfonic acid groups. Blocks containing sulfonic acid groups and phosphoric acid groups that can be used in the block copolymer are shown below.

Figure pat00013
Figure pat00013

적합한 산소 함유 기는 레지스트 패턴의 표면에서 탈보호된 알코올기와 수소 결합을 형성할 수 있다. 유용한 산소-함유 기는, 예를 들면, 에테르 및 알코올기를 포함한다. 적합한 알코올은, 예를 들면, 1차 하이드록실기 예컨대 하이드록시메틸, 하이드록시에틸, 등; 2차 하이드록실기 예컨대 1-하이드록시에틸, 1-하이드록시프로필 등; 및 3차 알코올 예컨대 2-하이드록시프로판-2-일, 2-하이드록시-2-메틸프로필 등; 및 페놀 유도체 예컨대 2-하이드록시벤질, 3-하이드록시벤질, 4-하이드록시벤질, 2-하이드록시나프틸 등을 포함한다. 유용한 에테르기는 예를 들면, 메톡시, 에톡시, 2-메톡시에톡시 등을 포함한다. 다른 유용한 산소 함유 기는 디케톤 작용기 예컨대 펜탄-2,4-디온, 및 케톤 예컨대 에탄온, 부탄온 등을 포함한다.Suitable oxygen-containing groups can form hydrogen bonds with the alcohol group deprotected at the surface of the resist pattern. Useful oxygen-containing groups include, for example, ether and alcohol groups. Suitable alcohols include, for example, primary hydroxyl groups such as hydroxymethyl, hydroxyethyl, etc .; Secondary hydroxyl groups such as 1-hydroxyethyl, 1-hydroxypropyl and the like; And tertiary alcohols such as 2-hydroxypropan-2-yl, 2-hydroxy-2-methylpropyl and the like; And phenol derivatives such as 2-hydroxybenzyl, 3-hydroxybenzyl, 4-hydroxybenzyl, 2-hydroxynaphthyl and the like. Useful ether groups include, for example, methoxy, ethoxy, 2-methoxyethoxy, and the like. Other useful oxygen containing groups include diketone functional groups such as pentane-2,4-dione, and ketones such as ethanone, butanone, and the like.

보호된 알코올 블록의 예는 화학식 (13) 및 (14)에 나타나 있다.Examples of protected alcohol blocks are shown in formulas (13) and (14).

Figure pat00014
Figure pat00014

식 중, n은 반복 단위의 수이고, 화학식 (12)의 R4는 수소 또는 C1 내지 C10 알킬이고, R5는 수소 또는 C1 내지 C10 알킬이다.Wherein n is the number of repeating units, R 4 in formula (12) is hydrogen or C 1 to C 10 alkyl, and R 5 is hydrogen or C 1 to C 10 alkyl.

중성 블록의 예는 폴리스티렌, 폴리아크릴레이트, 폴리올레핀, 폴리실록산, 폴리카보네이트, 폴리아크릴, 폴리에스테르, 폴라아미드, 폴리아미드이미드, 폴리아릴레이트, 폴리아릴설폰, 폴리에테르설폰, 폴리페닐렌 설파이드, 폴리비닐 클로라이드, 폴리설폰, 폴리이미드, 폴리에테르이미드, 폴리테트라플루오로에틸렌, 폴리에테르케톤, 폴리에테르 에테르케톤, 폴리에테르 케톤 케톤, 폴리벤족사졸, 폴리프탈라이드, 폴리무수물, 폴리비닐 에테르, 폴리비닐 티오에테르, 폴리비닐 케톤, 폴리비닐 할라이드, 폴리비닐 니트릴, 폴리비닐 에스테르, 폴리설포네이트, 폴리설파이드, 폴리티오에스테르, 폴리설폰아미드, 폴리우레아, 폴리포스파젠, 폴리실라잔 등, 또는 이들의 조합이다. 예시적인 중성 블록 폴리머는 하기 화학식 (15) 내지 (17)에 나타나 있다:Examples of neutral blocks are polystyrene, polyacrylate, polyolefin, polysiloxane, polycarbonate, polyacryl, polyester, polyamide, polyamideimide, polyarylate, polyarylsulfone, polyethersulfone, polyphenylene sulfide, polyvinyl A polyether ether ketone, a polyether ketone ketone, a polybenzoxazole, a polyphthalide, a polyanhydride, a polyvinyl ether, a polyvinyl thioether, a polyether sulfone, a polyether sulfone, Polyether sulfone, polyether sulfone, ether, polyvinyl ketone, polyvinyl halide, polyvinyl nitrile, polyvinyl ester, polysulfonate, polysulfide, polythioester, polysulfonamide, polyurea, polyphosphazene, polysilazane, . Exemplary neutral block polymers are shown in Formulas (15) to (17) below:

Figure pat00015
Figure pat00015

식 중 n은 반복 단위의 수이고, R4는 수소 또는 C1 내지 C10 알킬이고, R6는 C1 내지 C10 알킬렌기일 수 있고;Wherein n is the number of repeating units, R 4 is hydrogen or C 1 to C 10 alkyl, and R 6 can be a C 1 to C 10 alkylene group;

Figure pat00016
Figure pat00016

식 중, n은 반복 단위의 수이고, R4는 화학식 (16) 및 (17)에서 수소 또는 C1 내지 C10 알킬이다.Wherein n is the number of repeating units and R 4 is hydrogen or C 1 to C 10 alkyl in formulas (16) and (17).

보호된 아민 블록의 예 (블록화된 또는 보호된 수용체)는 하기 화학식 (18) 내지 (21)에 나타나 있다. 보호된 알코올의 예 (블록화된 또는 보호된 수용체)는 하기 화학식 (22) 내지 (24)에 나타나 있다.Examples of protected amine blocks (blocked or protected receptors) are shown in formulas (18) to (21) below. Examples of protected alcohols (blocked or protected receptors) are shown in formulas (22) to (24) below.

Figure pat00017
Figure pat00017

Figure pat00018
Figure pat00018

적용가능한 화학식 (18) 내지 (24)에서, R4는 수소 또는 C1 내지 C10 알킬이고, R7 및 R8은 동일하거나 상이하고, 독립적으로 C1 내지 C30 알킬기, 바람직하게는 C1 내지 C10 기이다.In the applicable formula (18) to (24), R 4 is hydrogen or C 1 to C 10 alkyl, R 7 and R 8 are the same or different and independently represent a C 1 to C 30 alkyl group, preferably C 1 To C < 10 > groups.

블록 코폴리머-다층 전해질로서 사용될 수 있는 디블록 코폴리머의 예는 하기에 나타나 있다.Block copolymers - Examples of diblock copolymers that can be used as the multilayer electrolyte are shown below.

디블록Diblock

Figure pat00019
Figure pat00019

수축 조성물에서 유용한 적합한 블록 코폴리머는 예를 들면 하기를 포함한다: 폴리[(네오펜틸 메타크릴레이트)-블록-(N,N-디메틸아미노에틸 메타크릴레이트)], 폴리[(네오펜틸 메타크릴레이트)-블록-(2-(tert-부틸아미노)에틸 메타크릴레이트)], 폴리[(tert-부틸메타크릴레이트)-블록-(N,N-디메틸아미노에틸 메타크릴레이트)], 폴리[(tert-부틸메타크릴레이트)-블록-(2-(tert-부틸아미노)에틸 메타크릴레이트)], 폴리[스티렌-블록-(N,N-디메틸아미노에틸 메타크릴레이트)], 폴리[스티렌-블록-(2-비닐피리딘)], 폴리[(4-트리메틸실릴스티렌)-블록-(2-비닐피리딘)], 폴리[(트리메틸실릴메틸 메타크릴레이트)-블록-(N,N-디메틸아미노에틸 메타크릴레이트)], 폴리[(4-트리메틸실릴스티렌)-블록-(N,N-디메틸아미노에틸 메타크릴레이트)], 폴리[(트리메틸실릴메틸 메타크릴레이트)-블록-(2-비닐피리딘)], 폴리(네오펜틸 메타크릴레이트)-블록-(N,N-디메틸아미노에틸 메타크릴레이트), 폴리(네오펜틸 메타크릴레이트)-블록-폴리(2-(tert-부틸아미노)에틸 메타크릴레이트), 폴리(tert-부틸메타크릴레이트)-블록-폴리(N,N-디메틸아미노에틸 메타크릴레이트), 폴리(tert-부틸메타크릴레이트)-블록-폴리(2-(tert-부틸아미노)에틸 메타크릴레이트), 폴리스티렌-블록-폴리(N,N-디메틸아미노에틸 메타크릴레이트), 폴리스티렌-블록-폴리(2-비닐피리딘), 폴리(4-트리메틸실릴스티렌)-블록-폴리(2-비닐피리딘), 폴리(트리메틸실릴메틸 메타크릴레이트)-블록-폴리(N,N-디메틸아미노에틸 메타크릴레이트), 폴리(4-트리메틸실릴스티렌)-블록-폴리(N,N-디메틸아미노에틸 메타크릴레이트), 및 폴리(트리메틸실릴메틸 메타크릴레이트)-블록-폴리(2-비닐피리딘).Suitable block copolymers useful in the shrinkage composition include, for example, poly [(neopentyl methacrylate) -block- (N, N-dimethylaminoethyl methacrylate)], poly [neopentyl methacrylate (N, N-dimethylaminoethyl methacrylate)], poly [(tert-butylamino) ethyl methacrylate] (N, N-dimethylaminoethyl methacrylate)], poly [styrene-block- (N, N-dimethylaminoethyl methacrylate)], (2-vinylpyridine)], poly [(4-trimethylsilylstyrene) -block- (2-vinylpyridine)], poly [(trimethylsilylmethyl methacrylate) Aminoethyl methacrylate)], poly [(4-trimethylsilylstyrene) -block- (N, N-dimethylaminoethyl methacrylate)], poly [(trimethylsilylmethyl methacrylate) Poly (neopentyl methacrylate) -block- (N, N-dimethylaminoethyl methacrylate), poly (neopentyl methacrylate) -block- (N, N-dimethylaminoethyl methacrylate), poly (tert-butyl methacrylate) -block-poly (tertiary butyl methacrylate) Poly (4-trimethylsilyl) methacrylate), polystyrene-block-poly (N, N-dimethylaminoethyl methacrylate), polystyrene- Block-poly (N, N-dimethylaminoethyl methacrylate), poly (4-trimethylsilylstyrene) -block-poly (trimethylsilylmethyl methacrylate) Poly (N, N-dimethylaminoethyl methacrylate), and poly (trimethylsilylmethyl methacrylate) -block-poly (2-vinylpyridine).

수소 수용체 및 블록화된 공여체 블록 모두에 공유 결합된 중성 블록을 갖는 트리블록 코폴리머는 하기에 나타나 있다.Triblock copolymers with neutral blocks covalently bonded to both the hydrogen acceptor and the blocked donor block are shown below.

"이노센트" 미들 블록과의 트리블록"Innocent" middle block and tree block

Figure pat00020
Figure pat00020

일 구현예에서, 블록 코폴리머는 디블록 또는 트리블록 코폴리머일 수 있고, 여기서 각 블록은 펜던트 방향족 기를 가진다. 적어도 하나의 블록은 수소 수용체 또는 수소 공여체를 함유하는 반복 단위를 포함하고, 한편 제2 블록은 제1 블록의 반복 단위가 수소 수용체인 경우 블록화된 공여체, 또는 제1 블록의 반복 단위가 수소 공여체인 경우 블록화된 수용체를 함유하는 반복 단위를 포함한다. 트리블록 코폴리머에서, 적어도 하나의 블록은 중성 블록일 수 있다. 모든 블록이 펜던트 방향족 기를 갖는 예시적인 블록 코폴리머가 하기 화학식 (25)에 나타나 있다:In one embodiment, the block copolymer can be a diblock or triblock copolymer, wherein each block has a pendant aromatic group. At least one block comprises a repeating unit containing a hydrogen acceptor or a hydrogen donor while the second block is a blocked donor if the repeating unit of the first block is a hydrogen acceptor or a blocked donor if the repeating unit of the first block is a hydrogen donor Lt; RTI ID = 0.0 > a < / RTI > blocked receptor. In triblock copolymers, at least one block may be a neutral block. Exemplary block copolymers in which all blocks have pendant aromatic groups are shown in formula (25) below:

Figure pat00021
Figure pat00021

식 중, n1, n2 및 n3은 각 블록에 대한 반복 단위의 수이고, R8은 C1 내지 C30 알킬기, 하이드록실기 등이다.Wherein n 1 , n 2 and n 3 are the number of repeating units for each block and R 8 is a C 1 to C 30 alkyl group, a hydroxyl group and the like.

적합한 블록 코폴리머의 선택에 의해, 레지스트 패턴 상의 수축 폴리머의 성장의 양은 정확하게 조절될 수 있다. 이러한 두께는 예를 들면 적합한 분자량의 선택에 의해 조절될 수 있고, 고분자량은 더 큰 두께를 생성하며 그리고 그 반대도 같다. 수축 폴리머의 화학 조성은 또한 성장의 양에 영향을 줄 수 있다. 예를 들면, 더 긴 바뀌지 않는(unperturbed) 말단-대-말단 거리 또는 특성 비를 갖는 폴리머는 주어진 분자량에 대해 더 큰 수축을 제공한다.By choosing a suitable block copolymer, the amount of growth of the shrink polymer on the resist pattern can be precisely controlled. Such a thickness can be adjusted, for example, by selection of a suitable molecular weight, and a high molecular weight produces a larger thickness and vice versa. The chemical composition of the shrinkage polymer can also affect the amount of growth. For example, polymers with longer unperturbed end-to-end distances or character ratios provide greater shrinkage for a given molecular weight.

제1 블록은 블록 코폴리머의 몰의 총 수 기준으로 40 내지 60 몰%의 양, 바람직하게는 45 내지 55 몰%의 양으로 블록 코폴리머에 존재하고, 한편 제2 블록은 블록 코폴리머의 몰의 총 수 기준으로 40 내지 60 몰%의 양, 바람직하게는 44 내지 55 몰%의 양으로 블록 코폴리머에 존재한다.The first block is present in the block copolymer in an amount of from 40 to 60 mol%, preferably from 45 to 55 mol%, based on the total number of moles of the block copolymer, while the second block is present in the block copolymer in an amount Is present in the block copolymer in an amount of from 40 to 60 mol%, preferably from 44 to 55 mol%, based on the total number of the block copolymers.

블록 코폴리머는 조성물에 사용되는 유기 용매 및 기재로부터 과량의 코폴리머 (즉, 레지스트 패턴에 부착되지 않은 폴리머)를 세정하고 완전하게 제거하기 위해 사용되는 유기 용매에서 양호한 가용성을 가져야 한다. 수축 조성물에서의 코폴리머의 함량은 예를 들면 수축 조성물의 원하는 코팅 두께에 좌우될 것이다. 코폴리머는 전형적으로 수축 조성물의 총 고형물 기준으로 80 내지 99 wt%, 더 바람직하게는 90 내지 98 wt%의 양으로 수축 조성물에 존재한다. 폴리머의 중량 평균 분자량은 전형적으로 400,000 미만, 바람직하게는 5000 내지 200,000, 더 바람직하게는 1000 내지 125,000 몰당 그램 (g/mol)이다.The block copolymer should have good solubility in the organic solvent used to clean and completely remove excess copolymer (i. E., Polymer not attached to the resist pattern) from the organic solvent and substrate used in the composition. The content of copolymer in the shrinkage composition will depend, for example, on the desired coating thickness of the shrinkage composition. The copolymer is typically present in the shrinkage composition in an amount of from 80 to 99 wt%, more preferably from 90 to 98 wt%, based on the total solids of the shrinkage composition. The weight average molecular weight of the polymer is typically less than 400,000, preferably from 5000 to 200,000, more preferably from 1000 to 125,000, grams (g / mol).

제1 조성물 및 제2 조성물은 단일 유기 용매 또는 유기 용매의 혼합물의 형태일 수 있는 유기 용매를 더 포함할 수 있다. 제형화하고 패턴 처리 조성물을 캐스팅하기 위한 적합한 용매 물질은 조성물의 비용매 성분과 관련하여 우수한 가용성 특성을 나타내나, 기저 포토레지스트 패턴을 상당하게 용해시키지 않는다. 패턴 처리 조성물에 대한 적합한 유기 용매는 예를 들면 하기를 포함한다: 알킬 에스테르 예컨대 n-부틸 아세테이트, n-부틸 프로피오네이트, n-펜틸 프로피오네이트, n-헥실 프로피오네이트 및 n-헵틸 프로피오네이트, 및 알킬 부티레이트 예컨대 n-부틸 부티레이트, 이소부틸 부티레이트 및 이소부틸 이소부티레이트; 케톤 예컨대 2-헵탄온, 2,6-디메틸-4-헵탄온 및 2,5-디메틸-4-헥산온; 지방족 탄화수소 예컨대 n-헵탄, n-노난, n-옥탄, n-데칸, 2-메틸헵탄, 3-메틸헵탄, 3,3-디메틸헥산 및 2,3,4-트리메틸펜탄, 및 불소화된 지방족 탄화수소 예컨대 퍼플루오로헵탄; 및 알코올 예컨대 직쇄형, 분지형 또는 환형 C4-C9 1가 알코올 예컨대 1-부탄올, 2-부탄올, 3-메틸-1-부탄올, 이소부틸 알코올, tert-부틸 알코올, 1-펜탄올, 2-펜탄올, 1-헥산올, 1-헵타놀, 1-옥탄올, 2-헥산올, 2-헵탄올, 2-옥탄올, 3-헥산올, 3-헵탄올, 3-옥탄올 및 4-옥탄올; 2,2,3,3,4,4-헥사플루오로-1-부탄올, 2,2,3,3,4,4,5,5-옥타플루오로-1-펜탄올 및 2,2,3,3,4,4,5,5,6,6-데카플루오로-1-헥산올, 및 C5-C9 불소화된 디올 예컨대 2,2,3,3,4,4-헥사플루오로-1,5-펜탄디올, 2,2,3,3,4,4,5,5-옥타플루오로-1,6-헥산디올 및 2,2,3,3,4,4,5,5,6,6,7,7-도데카플루오로-1,8-옥탄디올; 톨루엔, 아니솔 및 이들 용매중 하나 이상을 함유하는 혼합물. 이들 유기 용매 중에서, 알킬 프로피오네이트, 알킬 부티레이트 및 케톤, 바람직하게는 분지형 케톤이 바람직하고, C8-C9 알킬 프로피오네이트, C8-C9 알킬 프로피오네이트, C8-C9 케톤, 및 이들 용매 중 하나 이상을 함유하는 혼합물이 더 바람직하다. 적합한 혼합된 용매는 예를 들면 알킬 케톤 및 알킬 프로피오네이트 예컨대 상기 기재된 알킬 케톤 및 알킬 프로피오네이트의 혼합물을 포함한다. 조성물의 용매 성분은 전형적으로 수축 조성물 기준으로 75 내지 99 wt%의 양으로 존재한다.The first composition and the second composition may further comprise an organic solvent which may be in the form of a single organic solvent or a mixture of organic solvents. Suitable solvent materials for formulating and casting pattern processing compositions exhibit good solubility characteristics with respect to the non-solvent component of the composition, but do not significantly dissolve the underlying photoresist pattern. Suitable organic solvents for the pattern-treatment composition include, for example, alkyl esters such as n-butyl acetate, n-butyl propionate, n-pentyl propionate, n-hexyl propionate, Fionates, and alkyl butyrates such as n-butyl butyrate, isobutyl butyrate and isobutyl isobutyrate; Ketones such as 2-heptanone, 2,6-dimethyl-4-heptanone and 2,5-dimethyl-4-hexanone; Aliphatic hydrocarbons such as n-heptane, n-nonane, n-octane, n-decane, 2-methylheptane, 3-methylheptane, 3,3-dimethylhexane and 2,3,4- Perfluoroheptane; And alcohols such as linear, branched or cyclic C 4 -C 9 1 alcohols such as 1-butanol, 2-butanol, 3-methyl-1-butanol, isobutyl alcohol, tert- 2-heptanol, 2-octanol, 3-hexanol, 3-heptanol, 3-octanol and 4-heptanol - octanol; 2,2,3,3,4,4-hexafluoro-1-butanol, 2,2,3,3,4,4,5,5-octafluoro-1-pentanol and 2,2,3,3,4,4,5,5- , 3,4,4,5,5,6,6-decafluoro-1-hexanol, and C 5 -C 9 fluorinated diols such as 2,2,3,3,4,4-hexafluoro- 1,5-pentanediol, 2,2,3,3,4,4,5,5-octafluoro-1,6-hexanediol, and 2,2,3,3,4,4,5,5- 6,6,7,7-dodecafluoro-1,8-octanediol; Toluene, anisole, and mixtures thereof. Of these organic solvents, alkyl propionates, alkyl butyrates and ketones, preferably branched ketones, are preferred, and C 8 -C 9 alkyl propionate, C 8 -C 9 alkyl propionate, C 8 -C 9 Ketones, and mixtures containing at least one of these solvents. Suitable mixed solvents include, for example, alkyl ketones and alkyl propionates such as mixtures of alkyl ketones and alkyl propionates as described above. The solvent component of the composition is typically present in an amount of from 75 to 99 wt% based on the shrinkage composition.

포토레지스트의 제조의 하나의 방법에서, 블록 코폴리머는 제1 조성물을 형성하기 위해 적절한 양의 용매와 블렌딩될 수 있다. 제1 조성물은 네거티브 톤 현상(NTD) 포토레지스트 상에 배치된다. 도 1은 NTD 수축 기재의 일련의 제조 단계를 도시한다. In one method of manufacturing the photoresist, the block copolymer may be blended with a suitable amount of solvent to form the first composition. The first composition is disposed on a negative tone development (NTD) photoresist. Figure 1 shows a series of manufacturing steps of an NTD shrink substrate.

기재(100)는 반도체, 예컨대 실리콘 또는 화합물 반도체(예를 들면 III-V 또는 II-VI), 유리, 석영, 세라믹, 구리 등과 같은 물질일 수 있다. 전형적으로, 기재는 반도체 웨이퍼, 예컨대 단결정 실리콘 또는 화합물 반도체 웨이퍼이고, 하나 이상의 층 및 이의 표면 상에 형성된 패턴화된 피처를 가질 수 있다. 패턴화될 하나 이상의 층(102)(이후 상세하게 기재됨)이 기재(100) 상에 제공될 수 있다. 임의로, 기저 베이스 기재 물질 그 자체는 예를 들면 기재 물질에 트렌치를 형성하는 것이 바람직한 경우 패턴화될 수 있다. 베이스 기재 물질 그 자체를 패턴화하는 경우, 패턴은 기재의 층에 형성되는 것으로 고려될 것이다.The substrate 100 may be a semiconductor such as silicon or a compound semiconductor (e.g., III-V or II-VI), glass, quartz, ceramic, Typically, the substrate is a semiconductor wafer, such as a monocrystalline silicon or compound semiconductor wafer, and may have one or more layers and patterned features formed thereon. One or more layers 102 (described in detail hereinafter) to be patterned may be provided on the substrate 100. Optionally, the base substrate material itself may be patterned, for example, if it is desired to form a trench in the substrate material. When patterning the base substrate material itself, the pattern will be considered to be formed in the layer of the substrate.

층은 예를 들면 하나 이상의 전도성 층 예컨대 알루미늄, 구리, 몰리브덴, 탄탈럼, 티탄, 텅스텐, 합금, 이러한 금속의 질화물 또는 규화물, 도핑된 비정질 실리콘 또는 도핑된 폴리실리콘의 층, 하나 이상의 유전체층 예컨대 실리콘 옥사이드, 실리콘 니트라이드, 실리콘 옥시니트라이드, 또는 금속 옥사이드, 반도체층, 예컨대 단일-결정 실리콘, 및 이의 조합을 포함할 수 있다. 에칭될 층은 다양한 기술, 예를 들면, 화학 기상 증착(CVD) 예컨대 플라즈마-강화 CVD, 저압 CVD 또는 에피텍셜 성장, 물리적 기상 증착(PVD) 예컨대 스퍼터링 또는 증발, 또는 전기도금에 의해 형성될 수 있다. 에칭될 하나 이상의 층(102)의 특정 두께는 물질 및 형성되는 특정 소자에 좌우될 것이다.The layer can comprise, for example, one or more conductive layers such as aluminum, copper, molybdenum, tantalum, titanium, tungsten, alloys, nitrides or silicides of such metals, layers of doped amorphous silicon or doped polysilicon, , Silicon nitride, silicon oxynitride, or a metal oxide, a semiconductor layer such as single-crystal silicon, and combinations thereof. The layer to be etched may be formed by a variety of techniques, such as chemical vapor deposition (CVD) such as plasma-enhanced CVD, low pressure CVD or epitaxial growth, physical vapor deposition (PVD) such as sputtering or evaporation, or electroplating . The particular thickness of the one or more layers 102 to be etched will depend on the material and the particular device being formed.

에칭될 특정 층, 필름 두께 및 사용되는 포토리소그래피 물질 및 공정에 따라, 층(102) 상에 하드 마스크층 및/또는 하부 포토레지스트 코팅(BARC)(그 위에 포토레지스트층(미도시됨)이 코팅됨)을 배치하는 것이 바람직할 것이다. 하드 마스크층의 사용은 예를 들면 매우 얇은 레지스트층과 함께 바람직할 것이고, 여기서 에칭될 층은 상당한 에칭 깊이를 요구하고, 및/또는 특정 에칭제는 양호하지 않는 레지스트 선택도를 가진다. 하드 마스크층이 사용되는 경우, 형성되는 레지스트 패턴은 결국 기저층(102)을 에칭하기 위한 마스크로서 사용될 수 있는 하드 마스크층으로 전사될 것이다. 적합한 하드 마스크 물질 및 형성 방법은 본 기술분야에 공지되어 있다. 전형적인 물질은 예를 들면, 텅스텐, 티탄, 질화티탄, 산화티탄, 산화지르코늄, 산화알루미늄, 알루미늄 옥시니트라이드, 하프늄 옥사이드, 비결정성 탄소, 실리콘 옥시니트라이드 및 실리콘 니트라이드를 포함한다. 하드 마스크층은 단일 층 또는 상이한 물질의 복수개의 층을 포함할 수 있다. 하드 마스크층은 예를 들면 화학적 또는 물리적 기상 증착 기술에 의해 형성될 수 있다.A hard mask layer and / or a bottom photoresist coating (BARC) (on which a photoresist layer (not shown) is coated) is deposited on the layer 102, depending on the particular layer to be etched, the film thickness, and the photolithographic material and process used. It may be desirable to dispose such a device. The use of a hard mask layer would be desirable, for example, with a very thin resist layer, where the layer to be etched requires a significant etch depth, and / or the particular etchant has a poor resist selectivity. If a hard mask layer is used, the resist pattern to be formed will eventually be transferred to a hard mask layer that can be used as a mask to etch the base layer 102. Suitable hardmask materials and methods of formation are known in the art. Typical materials include, for example, tungsten, titanium, titanium nitride, titanium oxide, zirconium oxide, aluminum oxide, aluminum oxynitride, hafnium oxide, amorphous carbon, silicon oxynitride and silicon nitride. The hardmask layer may comprise a single layer or multiple layers of different materials. The hardmask layer may be formed by, for example, chemical or physical vapor deposition techniques.

기재 및/또는 기저층이 그렇지 않으면 형성된 패턴의 품질에 악영향을 줄 수 있도록 포토레지스트 노광 과정에서 입사 방사선의 상당한 양을 반사할 경우에 하부 반사방지 코팅은 바람직할 수 있다. 이러한 코팅은 초점 심도, 노광 관용도, 선폭 균일도 및 CD 조절을 개선할 수 있다. 반사방지 코팅은 전형적으로 레지스트가 심자외선(300 nm 이하), 예를 들면, KrF 엑시머 레이저 광(248nm) 또는 ArF 엑시머 레이저 광(193 nm)에 노광되는 경우에 사용된다. 반사방지 코팅은 단일층 또는 복수개의 상이한 층을 포함할 수 있다. 적합한 반사방지 물질 및 형성 방법은 본 기술분야에 공지되어 있다. 반사방지 물질, 예를 들면 Dow Electronic Materials(미국 매사추세츠주 말버러 소재)에 의해 AR™ 상표에 의해 시판되는 것 예컨대 AR™40A 및 AR™124 반사방지 물질이 상업적으로 입수 가능하다.A bottom anti-reflective coating may be desirable when reflecting a significant amount of incident radiation in a photoresist exposure process so that the substrate and / or the base layer may otherwise adversely affect the quality of the formed pattern. Such coatings can improve depth of focus, exposure latitude, line width uniformity and CD control. Antireflective coatings are typically used when the resist is exposed to deep ultraviolet light (300 nm or less), for example, KrF excimer laser light (248 nm) or ArF excimer laser light (193 nm). The antireflective coating may comprise a single layer or a plurality of different layers. Suitable antireflective materials and methods of formation are known in the art. Antireflective materials such as those sold by the ARTM brand by Dow Electronic Materials (Marlborough, Mass., USA) are commercially available, such as ARTM 40A and ARTM 124 antireflective materials.

본원에 기재된 것과 같은 조성물로부터 형성된 포토레지스트층(미도시)은 반사방지층(존재하는 경우) 상의 기재 상에 배치된다. 포토레지스트 조성물은 스핀-코팅, 딥핑, 롤러-코팅 또는 다른 종래의 코팅 기술에 의해 기재에 적용될 수 있다. 이들 중에서, 스핀 코팅이 전형적이다. 스핀-코팅에 대해, 코팅 용액의 고형분은 이용되는 특정 코팅 장비에 기초한 원하는 필름 두께, 용액의 점도, 코팅 장비의 속도 및 스피닝에 허용되는 시간의 양을 제공하기 위해 조정될 수 있다. 포토레지스트층에 대한 전형적인 두께는 약 500 내지 3000 Å이다.A photoresist layer (not shown) formed from a composition such as that described herein is disposed on a substrate on an antireflective layer (if present). The photoresist composition can be applied to the substrate by spin-coating, dipping, roller-coating or other conventional coating techniques. Of these, spin coating is typical. For spin-coating, the solids content of the coating solution may be adjusted to provide the desired film thickness based on the particular coating equipment utilized, the viscosity of the solution, the rate of coating equipment and the amount of time allowed for spinning. A typical thickness for the photoresist layer is about 500 to 3000 ANGSTROM.

포토레지스트층은 이후 소프트 베이킹하여 층 내 용매 함량을 최소화할 수 있고, 이에 의해 고착 건조 코팅을 형성하고, 기재에 대한 층의 접착을 개선시킨다. 소프트 베이킹은 핫플레이트 상에서 또는 오븐 내에서 실시되고, 핫플레이트가 전형적이다. 소프트 베이킹 온도 및 시간은 예를 들면 포토레지스트의 특정 물질 및 두께에 좌우될 것이다. 전형적인 소프트 베이킹은 90 내지 150℃ 및 약 30 내지 90초의 시간에서 실시된다. The photoresist layer can then be softbaked to minimize the solvent content in the layer, thereby forming a bonded dry coating and improving adhesion of the layer to the substrate. The soft bake is carried out on a hot plate or in an oven, and a hot plate is typical. The soft bake temperature and time will depend, for example, on the specific material and thickness of the photoresist. Typical soft baking is conducted at a temperature of 90 to 150 캜 and a time of about 30 to 90 seconds.

포토레지스트층은 이후 패턴화된 포토마스크(미도시됨)를 통해 활성화 방사선에 노광되어, 노광된 영역과 미노광 영역 사이의 용해도의 차이를 생성한다. 조성물을 활성화시키는 방사선에 포토레지스트 조성물을 노광시키는 것이라는 본원에서의 언급은 방사선이 포토레지스트 조성물에서 잠상을 형성할 수 있다는 것을 나타낸다. 포토마스크는 광학적으로 투명한 영역과, 광학적으로 불투명한 영역을 가지며, 이들 영역은 각각 후속 현상 단계에서 잔류되어 제거될 레지스트층의 영역에 상응한다. 노광 파장은 전형적으로 400 nm 이하,300 nm 이하 또는 200 nm 이하이고, 248 nm, 193 nm 및 EUV 파장(예를 들면, 13.5 nm)이 전형적이다. 방법들은 액침 또는 건조(비-액침) 리소그래피 기술에서의 용도가 발견된다. 노광 에너지는 전형적으로 노광 장비 및 포토레지스트 조성물의 성분에 따라 약 10 내지 80 mJ/cm2이다.The photoresist layer is then exposed to actinic radiation through a patterned photomask (not shown) to produce a difference in solubility between the exposed and unexposed regions. Reference herein to exposure of the photoresist composition to radiation activating the composition indicates that radiation can form a latent image in the photoresist composition. The photomask has an optically transparent region and an optically opaque region, each of which corresponds to the region of the resist layer to be removed and removed in the subsequent development step. Exposure wavelengths are typically 400 nm or less, 300 nm or less, or 200 nm or less, and 248 nm, 193 nm and EUV wavelength (for example, 13.5 nm) are typical. The methods find use in immersion or dry (non-immersion) lithography techniques. The exposure energy is typically about 10 to 80 mJ / cm 2 , depending on the composition of the exposure equipment and photoresist composition.

포토레지스트층의 노광 이후, 노광후 베이킹(PEB)이 수행된다. 산 발생제에 의해 발생된 산은 산기, 전형적으로 카복실산기 및/또는 알코올기를 형성하기 위해 산 분해성 이탈기의 분리를 야기한다. PEB는 예를 들면 핫플레이트 또는 오븐 속에서 실시될 수 있다. PEB에 대한 조건은 예를 들면 특정 포토레지스트 조성 및 층 두께에 좌우될 것이다. PEB는 전형적으로 약 80 내지 150℃, 및 약 30 내지 90초의 시간에서 실시된다.After exposure of the photoresist layer, post-exposure baking (PEB) is performed. The acid generated by the acid generator causes separation of the acid labile leaving group to form an acid group, typically a carboxylic acid group and / or an alcohol group. PEB can be carried out, for example, in a hot plate or in an oven. The conditions for PEB will depend, for example, on the specific photoresist composition and layer thickness. PEB is typically carried out at a time of about 80 to 150 DEG C, and about 30 to 90 seconds.

도 1(A)는 추가적인 층이 위에 배치되어 있지 않은 아무것도 없는 포토레지스트 기재(100)를 도시하고, 한편 도 1(B)는 포토레지스트 기재(100) 상의 제1 조성물(102)의 배치를 도시한다.Figure 1 (A) shows a photoresist substrate 100 with no additional layers disposed thereon, while Figure 1 (B) shows the placement of the first composition 102 on the photoresist substrate 100 do.

기재(100)는 반도체, 예컨대 실리콘 또는 화합물 반도체(예를 들면 III-V 또는 II-VI), 유리, 석영, 세라믹, 구리 등과 같은 물질일 수 있다. 전형적으로, 기재는 반도체 웨이퍼, 예컨대 단결정 실리콘 또는 화합물 반도체 웨이퍼이고, 하나 이상의 층 및 이의 표면 상에 형성된 패턴화된 피처를 가질 수 있다. 패턴화될 하나 이상의 층(미도시됨)이 기재(100) 상에 제공될 수 있다. 임의로, 기저 베이스 기재 물질 그 자체는 예를 들면 기재 물질에 트렌치를 형성하는 것이 바람직한 경우 패턴화될 수 있다. 베이스 기재 물질 그 자체를 패턴화하는 경우, 패턴은 기재의 층에 형성되는 것으로 고려될 것이다.The substrate 100 may be a semiconductor such as silicon or a compound semiconductor (e.g., III-V or II-VI), glass, quartz, ceramic, Typically, the substrate is a semiconductor wafer, such as a monocrystalline silicon or compound semiconductor wafer, and may have one or more layers and patterned features formed thereon. One or more layers (not shown) to be patterned may be provided on the substrate 100. Optionally, the base substrate material itself may be patterned, for example, if it is desired to form a trench in the substrate material. When patterning the base substrate material itself, the pattern will be considered to be formed in the layer of the substrate.

층은 예를 들면 하나 이상의 전도성층 예컨대 알루미늄, 구리, 몰리브덴, 탄탈럼, 티탄, 텅스텐, 합금, 이러한 금속의 질화물 또는 규화물, 도핑된 비결정성 실리콘 또는 도핑된 폴리실리콘의 층, 하나 이상의 유전체층 예컨대 실리콘 옥사이드, 실리콘 니트라이드, 실리콘 옥시니트라이드, 또는 금속 옥사이드, 반도체층, 예컨대 단결정 실리콘, 및 이의 조합을 포함할 수 있다. 에칭될 층은 다양한 기술, 예를 들면, 화학 기상 증착(CVD) 예컨대 플라즈마-강화 CVD, 저압 CVD 또는 에피텍셜 성장, 물리적 기상 증착(PVD) 예컨대 스퍼터링 또는 증발, 또는 전기도금에 의해 형성할 수 있다. 에칭될 하나 이상의 층의 특정 두께는 물질 및 형성되는 특정 소자에 좌우될 것이다.The layer may comprise, for example, one or more conductive layers such as aluminum, copper, molybdenum, tantalum, titanium, tungsten, alloys, nitrides or silicides of such metals, doped amorphous silicon or layers of doped polysilicon, Oxide, silicon nitride, silicon oxynitride, or a metal oxide, a semiconductor layer such as monocrystalline silicon, and combinations thereof. The layer to be etched may be formed by a variety of techniques, such as chemical vapor deposition (CVD), such as plasma-enhanced CVD, low pressure CVD or epitaxial growth, physical vapor deposition (PVD) such as sputtering or evaporation, or electroplating . The particular thickness of the one or more layers to be etched will depend on the material and the particular device being formed.

제1 조성물(102)은 포토레지스트(미도시됨)를 사용하여 에칭될 수 있다. 그 위에 제1 조성물이 배치된 기재에는 이후 도 1(C)에 나타낸 바와 같은 임의의 베이킹 단계가 시행된다. 베이킹은 실온 초과의 온도, 바람직하게는 70℃의 온도 내지 블록 코폴리머의 블록에 사용되는 폴리머의 유리 전이 온도보다 낮은 온도에서 실시된다. 일 구현예에서, 베이킹은 110℃ 이상, 바람직하게는 약 130℃ 이상, 바람직하게는 170℃ 이상의 온도로 실시될 수 있다. 기재는 이후 미반응된 폴리머를 제거하기 위해 세정된다(도 1(C) 참조). 배치 단계 과정 및 가능하게는 베이킹 단계 과정에서 일어나는 제2 블록으로부터 제1 블록의 상 분리는 NTD 수축 기재 상에 수소 수용체 또는 수소 공여체를 포함하는 제1 블록(103)의 현상을 촉진시킨다. 블록화된 수소 수용체 또는 블록화된 수소 공여체의 제2 블록(104)은 이후 도 1(D)에 나타낸 바와 같이 제1 블록(103) 상에 형성된다. 제1 블록(103) 및 제2 블록(104)은 제1 층을 형성한다. 상기 언급한 바와 같이, 제1 블록(103)이 수소 수용체를 포함하는 경우, 이후, 제2 블록(104)은 블록화된 수소 공여체를 포함한다. 대안적으로, 제1 블록(103)이 수소 공여체를 포함하는 경우, 제2 블록(104)은 블록화된 수소 공여체를 포함한다. The first composition 102 may be etched using a photoresist (not shown). An optional baking step as shown in Fig. 1 (C) is then carried out on the substrate on which the first composition is disposed. Baking is carried out at a temperature above room temperature, preferably at a temperature of 70 C, or at a temperature lower than the glass transition temperature of the polymer used in the block of the block copolymer. In one embodiment, the baking may be carried out at a temperature above 110 ° C, preferably above about 130 ° C, preferably above 170 ° C. The substrate is then cleaned to remove unreacted polymer (see Fig. 1 (C)). Phase separation of the first block from the second block occurring during the batch step process and possibly the baking step promotes the development of the first block 103 containing a hydrogen acceptor or hydrogen donor on the NTD shrink substrate. A second block 104 of blocked hydrogen acceptors or blocked hydrogen donors is then formed on the first block 103 as shown in Figure 1 (D). The first block 103 and the second block 104 form a first layer. As noted above, if the first block 103 comprises a hydrogen acceptor, then the second block 104 comprises a blocked hydrogen donor. Alternatively, if the first block 103 comprises a hydrogen donor, the second block 104 comprises a blocked hydrogen donor.

도 1(E)에서 알 수 있는 바와 같이 상 분리 이후, 제2 블록(104)은 산 또는 산 발생제, 방사선 및/또는 증가된 온도에의 노출에 의해 탈보호되어 미블록화된 수소 수용체 또는 미블록화된 수소 공여체를 포함하는 제2 블록(106)을 형성한다. 일 구현예에서, 제2 블록(104)은 블록화된 수소 수용체 또는 블록화된 수소 공여체의 탈보호를 촉진시키는 산 발생제층(105)으로 처리되어, 미블록화된 수소 수용체 또는 미블록화된 수소 공여체를 포함하는 제2 블록(106)을 형성한다. After phase separation as shown in Figure 1 (E), the second block 104 is deprotected by exposure to an acid or acid generator, radiation and / or increased temperature to form an unblocked hydrogen acceptor, Forming a second block 106 comprising a blocked hydrogen donor. In one embodiment, the second block 104 is treated with an acid generator layer 105 that promotes the deprotection of the blocked hydrogen acceptor or blocked hydrogen donor to form an unblocked hydrogen acceptor or an unblocked hydrogen donor The second block 106 is formed.

도 1(B) 내지 1(E)에 도시된 공정은 도 1(F) 내지 1(G)에서 반복된다. 환언하면, 제1 블록 및 제2 블록을 포함하는 상이한 블록 코폴리머 또는 동일한 코폴리머를 포함하는 제2 조성물은 제1 블록(103) 및 제2 블록(106)을 함유하는 기재 상에 배치된다. 제2 조성물은 도 1(C)에 나타낸 바와 같이 베이킹되고 세정되어 제3 블록 및 제4 블록(107 및 108)을 각각 포토레지스트 기재 상에 형성한다. 일 구현예에서, 제2 조성물의 제1 블록(107)은 제1 조성물의 증착에 의해 형성되는 제1 블록(103)과 (조성이) 유사하고, 제2 블록(108)은 포토레지스트 기재 상의 제1 조성물의 증착에 의해 형성되는 제2 블록(104)과 (조성이) 유사하다.The process shown in Figs. 1 (B) to 1 (E) is repeated in Figs. 1 (F) to 1 (G). In other words, different block copolymers comprising the first block and the second block, or a second composition comprising the same copolymer, are disposed on the substrate containing the first block 103 and the second block 106. The second composition is baked and cleaned as shown in Figure 1 (C) to form third and fourth blocks 107 and 108, respectively, on the photoresist substrate. The first block 107 of the second composition is similar in composition to the first block 103 formed by the deposition of the first composition and the second block 108 is formed on the photoresist substrate (Composition) similar to the second block 104 formed by the deposition of the first composition.

다른 구현예에서, 제2 조성물의 증착에 의해 형성되는 제1 블록(107) 및/또는 제2 블록(108)은 포토레지스트 기재 상의 제1 조성물의 증착에 의해 형성되는 제1 블록(103) 및/또는 제2 블록(104)과 동일하거나 상이할 수 있다. 환언하면, 제1 블록(103 및 107)은 서로 화학적으로 상이하고, 한편 제2 블록(104 및 108)은 서로 상이할 수 있거나, 또는 대안적으로, 제1 블록(103 및 107)은 서로 화학적으로 상이할 수 있고, 한편 제2 블록(104 및 108)은 서로에 대해 화학적으로 유사하다. 도 1에 나타낸 바와 같이, 블록(103, 104, 107 및 108)은 포토레지스트 기재의 표면에 평행한 표면을 가진다. 블록(103 및 104)이 함께 제1 층을 형성하고, 한편 블록(107 및 108)은 제2 층을 형성하는 것을 주지하여야 한다.In another embodiment, the first block 107 and / or the second block 108 formed by deposition of the second composition comprises a first block 103 formed by deposition of a first composition on a photoresist substrate, / RTI > may be the same as or different from the first block 104 and / or the second block 104. [ In other words, the first blocks 103 and 107 may be chemically different from each other, while the second blocks 104 and 108 may be different from each other, or alternatively, the first blocks 103 and 107 may be chemically While the second blocks 104 and 108 are chemically similar to each other. As shown in Figure 1, blocks 103, 104, 107 and 108 have surfaces parallel to the surface of the photoresist base. It should be noted that blocks 103 and 104 together form the first layer while blocks 107 and 108 form the second layer.

일 구현예에서, 탈보호 단계는 블록화된 수소 수용체 또는 블록화된 수소 공여체를 함유하는 복수개의 층 상에서 동시에 수행될 수 있다. 이는 그 위에 배치되는 복수의 제1 조성물 및 제2 조성물을 갖는 포토레지스트 기재를 산 발생제 및/또는 전자기 방사선 및/또는 열 분해로 동시에 처리시킴으로써 발생될 수 있다.In one embodiment, the deprotection step may be performed simultaneously on multiple layers containing a blocked hydrogen acceptor or a blocked hydrogen donor. This can be done by simultaneously treating the photoresist substrate with a plurality of first compositions and a second composition disposed thereon with an acid generator and / or electromagnetic radiation and / or thermal decomposition.

포토레지스트 조성물Photoresist composition

본 발명에 유용한 포토레지스트 조성물은 산 민감성인 매트릭스 수지를 포함하는 화학적으로 증폭된 포토레지스트 조성물을 포함하고, 이는 포토레지스트 조성물의 층의 일부로서, 수지 및 조성물층은 소프트 베이킹 이후 광산 발생제에 의해 발생된 산과의 반응, 활성화 방사선으로의 노광 및 노광후 베이킹의 결과로서 유기 현상액에서의 용해도의 변화가 일어난다. 용해도의 변화는 매트릭스 폴리머 중의 산-분해성 이탈기 예컨대 광산-분해성 에스테르 아세탈기가 활성화 방사선 및 열 처리에의 노출시 광산-촉진된 탈보호 반응이 진행되어 산 또는 알코올 기를 생성하는 경우에 일어난다. 본 발명에 유용한 적합한 포토레지스트 조성물은 상업적으로 입수 가능하다. A photoresist composition useful in the present invention comprises a chemically amplified photoresist composition comprising a acid sensitive matrix resin, which is part of a layer of a photoresist composition, wherein the resin and composition layer are removed by a photo- Changes in solubility in the organic developer occur as a result of reaction with the generated acid, exposure to actinic radiation, and post-exposure baking. The change in solubility occurs when an acid-labile leaving group such as a mica-degradable ester acetal group in the matrix polymer undergoes a mine-promoted deprotection reaction upon exposure to activating radiation and heat treatment to produce an acid or alcohol group. Suitable photoresist compositions useful in the present invention are commercially available.

특정 200 nm 이하의 파장 예컨대 193 nm에서 이미지화하기 위해, 매트릭스 폴리머는 전형적으로 페닐, 벤질 또는 다른 방향족기를 실질적으로 무함유하거나(예를 들면, 15 몰% 미만) 또는 완전하게 무함유하고, 여기서 이러한 기는 방사선을 고도로 흡수하는 것이다. 바람직한 산 분해성 기는 예를 들면 매트릭스 폴리머의 에스테르의 카복실 산소에 공유 결합되는 3차 비환형 알킬 탄소(예를 들면, t-부틸) 또는 3차 지환족 탄소(예를 들면, 메틸아다만틸)을 함유하는 아세탈기 또는 에스테르기를 포함한다. 적합한 매트릭스 폴리머는 추가로 바람직하게는 산-분해성 (알킬)아크릴레이트 단위를 포함하는 (알킬)아크릴레이트 단위, 예컨대 t-부틸 아크릴레이트, t-부틸 메타크릴레이트, 메틸아다만틸 아크릴레이트, 메틸 아다만틸 메타크릴레이트, 에틸펜칠 아크릴레이트, 에틸펜칠 메타크릴레이트, 등, 및 다른 비-환식 알킬 및 지환족 (알킬)아크릴레이트를 포함한다. 다른 적합한 매트릭스 폴리머는 예를 들면 비-방향족 환형 올레핀(내향고리 이중 결합)의 중합된 단위를 함유하는 것 예컨대 임의로 치환된 노르보넨을 포함한다. 상기 기재된 매트릭스 폴리머 중 2종 이상의 블렌드는 포토레지스트 조성물에서 적합하게 사용될 수 있다.For imaging at a wavelength of less than a particular 200 nm, e.g., 193 nm, the matrix polymer is typically substantially free (e.g., less than 15 mole percent) or completely free of phenyl, benzyl, or other aromatic groups, The group is highly absorbing radiation. Preferred acid labile groups include, for example, tertiary acyclic alkyl carbons (e.g., t-butyl) or tertiary alicyclic carbons (e.g., methyladamantyl) that are covalently bonded to the carboxyl oxygen of the ester of the matrix polymer Containing ester group or ester group. Suitable matrix polymers further preferably include (alkyl) acrylate units comprising acid-labile (alkyl) acrylate units, such as t-butyl acrylate, t-butyl methacrylate, methyladamantyl acrylate, methyl Adamantyl methacrylate, ethylpentyl acrylate, ethylpentyl methacrylate, etc., and other non-cyclic alkyl and cycloaliphatic (alkyl) acrylates. Other suitable matrix polymers include, for example, those containing polymerized units of non-aromatic cyclic olefins (inward ring double bonds), such as optionally substituted norbornene. Two or more blends of the matrix polymers described above may suitably be used in photoresist compositions.

포토레지스트 조성물에 사용하기 위해 적합한 매트릭스 폴리머는 상업적으로 입수 가능하고, 본 기술분야의 당업자에 의해 용이하게 제조될 수 있다. 매트릭스 폴리머는 레지스트의 노광된 코팅층이 적합한 현상 용액에서 현상 가능하게 하는 충분한 양으로 레지스트 조성물에 존재한다. 전형적으로, 매트릭스 폴리머는 레지스트 조성물의 총 고형물 기준으로 50 내지 95 wt%의 양으로 조성물에 존재한다. 매트릭스 폴리머의 중량 평균 분자량 Mw는 전형적으로 100,000 미만, 예를 들면, 5000 내지 100,000, 보다 전형적으로 5000 내지 15,000 그램/몰이다.Suitable matrix polymers for use in photoresist compositions are commercially available and can be readily prepared by those skilled in the art. The matrix polymer is present in the resist composition in an amount sufficient to render the exposed coating layer of the resist developable in a suitable developing solution. Typically, the matrix polymer is present in the composition in an amount of 50 to 95 wt%, based on the total solids of the resist composition. The weight average molecular weight M w of the matrix polymer is typically less than 100,000, such as 5000 to 100,000, more typically 5000 to 15,000 grams / mole.

포토레지스트 조성물은 추가로 활성화 방사선에 노광 시 조성물의 코팅층에서 잠상을 발생시키기에 충분한 양으로 이용되는 광산 발생제(PAG)를 포함한다. 예를 들면, 광산 발생제는 포토레지스트 조성물의 총 고형물 기준으로 1 내지 20 wt%의 양으로 적절하게 존재할 것이다. 전형적으로, 더 적은 양의 PAG는 비화학적으로 증폭된 물질과 비교하여 화학적으로 증폭된 레지스트에 대해 적합할 것이다.The photoresist composition further comprises a photoacid generator (PAG) which is used in an amount sufficient to generate a latent image in the coating layer of the composition upon exposure to actinic radiation. For example, the photoacid generator will suitably be present in an amount of 1 to 20 wt%, based on the total solids of the photoresist composition. Typically, a smaller amount of PAG will be suitable for chemically amplified resists as compared to non-chemically amplified materials.

적절한 PAG는 화학적으로 증폭된 포토레지스트의 기술분야에 공지되어 있고, 예를 들면 하기를 포함한다: 오늄염, 예를 들면, 트리페닐설포늄 트리플루오로메탄설포네이트, (p-tert-부톡시페닐)디페닐설포늄 트리플루오로메탄설포네이트, 트리스(p-tert-부톡시페닐)설포늄 트리플루오로메탄설포네이트, 트리페닐설포늄 p-톨루엔설포네이트; 니트로벤질 유도체, 예를 들면, 2-니트로벤질-p-톨루엔설포네이트, 2,6-디나이트로벤질-p-톨루엔설포네이트, 및 2,4-디나이트로벤질-p-톨루엔설포네이트; 설폰산 에스테르, 예를 들면, 1,2,3-트리스(메탄설포닐옥시)벤젠, 1,2,3-트리스(트리플루오로메탄설포닐옥시)벤젠, 및 1,2,3-트리스(p-톨루엔설포닐옥시)벤젠; 디아조메탄 유도체, 예를 들면, 비스(벤젠설포닐)디아조메탄, 비스(p-톨루엔설포닐)디아조메탄; 글라이옥심 유도체, 예를 들면, 비스-O-(p-톨루엔설포닐)-α-디메틸글라이옥심, 및 비스-O-(n-부탄설포닐)-α-디메틸글라이옥심; N-하이드록시이미드 화합물의 설폰산 에스테르 유도체, 예를 들면, N-하이드록시석신이미드 메탄설폰산 에스테르, N-하이드록시석신이미드 트리플루오로메탄설폰산 에스테르; 및 할로겐-함유 트리아진 화합물, 예를 들면, 2-(4-메톡시페닐)-4,6-비스(트리클로로메틸)-1,3,5-트리아진, 및 2-(4-메톡시나프틸)-4,6-비스(트리클로로메틸)-1,3,5-트리아진. 이러한 PAG 중 1종 이상이 사용될 수 있다.Suitable PAGs are well known in the art of chemically amplified photoresists and include, for example, the following: onium salts, such as triphenylsulfonium trifluoromethanesulfonate, (p-tert-butoxy Phenyl) diphenylsulfonium trifluoromethanesulfonate, tris (p-tert-butoxyphenyl) sulfonium trifluoromethanesulfonate, triphenylsulfonium p-toluenesulfonate; Nitrobenzyl derivatives such as 2-nitrobenzyl-p-toluene sulfonate, 2,6-dinitrobenzyl-p-toluene sulfonate, and 2,4-dinobenzyl-p-toluene sulfonate; Sulfonic acid esters such as 1,2,3-tris (methanesulfonyloxy) benzene, 1,2,3-tris (trifluoromethanesulfonyloxy) benzene, and 1,2,3-tris p-toluenesulfonyloxy) benzene; Diazomethane derivatives such as bis (benzenesulfonyl) diazomethane, bis (p-toluenesulfonyl) diazomethane; Glyoxime derivatives such as bis-O- (p-toluenesulfonyl) -? - dimethylglyoxime, and bis-O- (n-butanesulfonyl) -? - dimethylglyoxime; Sulfonic acid ester derivatives of N-hydroxyimide compounds such as N-hydroxysuccinimide methanesulfonic acid ester, N-hydroxysuccinimide trifluoromethanesulfonic acid ester; And halogen-containing triazine compounds such as 2- (4-methoxyphenyl) -4,6-bis (trichloromethyl) -1,3,5-triazine, and 2- Naphthyl) -4,6-bis (trichloromethyl) -1,3,5-triazine. At least one of these PAGs can be used.

포토레지스트 조성물에 대한 적합한 용매는 예를 들면 하기를 포함한다: 글라이콜 에테르 예컨대 2-메톡시에틸 에테르(디글라임), 에틸렌 글리콜 모노메틸 에테르, 및 프로필렌 글리콜 모노메틸 에테르; 프로필렌 글리콜 모노메틸 에테르 아세테이트; 락테이트 예컨대 메틸 락테이트 및 에틸 락테이트; 프로피오네이트 예컨대 메틸 프로피오네이트, 에틸 프로피오네이트, 에틸 에톡시 프로피오네이트 및 메틸-2-하이드록시 이소부티레이트; 셀로솔브 에스테르 예컨대 메틸 셀로솔브 아세테이트; 방향족 탄화수소 예컨대 톨루엔 및 자일렌; 및 케톤 예컨대 아세톤, 메틸에틸 케톤, 사이클로헥산온 및 2-헵탄온. 상기 기재된 용매 중 2, 3종 이상의 블렌드와 같은 용매의 블렌드가 적합하다. 용매는 전형적으로 포토레지스트 조성물의 총 중량 기준으로 90 내지 99 wt%, 보다 전형적으로 95 내지 98 wt%의 양으로 조성물에 존재한다.Suitable solvents for photoresist compositions include, for example, glycol ethers such as 2-methoxyethyl ether (diglyme), ethylene glycol monomethyl ether, and propylene glycol monomethyl ether; Propylene glycol monomethyl ether acetate; Lactates such as methyl lactate and ethyl lactate; Propionates such as methyl propionate, ethyl propionate, ethyl ethoxypropionate and methyl-2-hydroxyisobutyrate; Cellosolve esters such as methyl cellosolve acetate; Aromatic hydrocarbons such as toluene and xylene; And ketones such as acetone, methyl ethyl ketone, cyclohexanone and 2-heptanone. Blends of solvents such as two or more of the blends in the solvents described above are suitable. The solvent is typically present in the composition in an amount of from 90 to 99 wt%, more typically from 95 to 98 wt%, based on the total weight of the photoresist composition.

포토레지스트 조성물은 추가로 다른 임의의 물질을 포함할 수 있다. 예를 들면, 조성물은 광선 및 콘트라스트 염료, 홈 형성 방지제(anti-striation reagent), 가소제, 속도 증강제, 증감제 등 중 하나 이상을 포함할 수 있다. 사용되는 경우 이러한 임의의 첨가제는 전형적으로 소량 예컨대 포토레지스트 조성물의 총 고형물 기준으로 0.1 내지 10 wt%로 조성물에 존재한다.The photoresist composition may further comprise any other material. For example, the composition may include one or more of light and contrast dyes, anti-striation reagents, plasticizers, rate enhancers, sensitizers, and the like. If used, these optional additives are typically present in the composition in small amounts, e.g. 0.1 to 10 wt%, based on the total solids of the photoresist composition.

레지스트 조성물의 바람직한 임의의 첨가제는 부가 염기이다. 적합한 염기는 예를 들면 하기를 포함한다: 선형 및 환형 아미드 및 그것의 유도체 예컨대 N,N-비스(2-하이드록시에틸)피발아미드, N,N-디에틸아세트아미드, N1,N1,N3,N3-테트라부틸말론아마이드, 1-메틸아제판-2-온, 1-알릴아제판-2-온 및 tert-부틸 1,3-디하이드록시-2-(하이드록시메틸)프로판-2-일카바메이트; 방향족 아민 예컨대 피리딘, 및 디-tert-부틸 피리딘; 지방족 아민 예컨대 트리이소프로판올아민, n-tert-부틸디에탄올아민, 트리스(2-아세톡시-에틸) 아민, 2,2',2",2"'-(에탄-1,2-디일비스(아잔트리일))테트라에탄올, 및 2-(디부틸아미노)에탄올, 2,2',2"-니트릴로트리에탄올; 환형 지방족 아민 예컨대 1-(tert-부톡시카보닐)-4-하이드록시피페리딘, tert-부틸 1-피롤리딘카복실레이트, tert-부틸 2-에틸-1H-이미다졸-1-카복실레이트, 디-tert-부틸 피페라진-1,4-디카복실레이트 및 N (2-아세톡시-에틸) 모폴린. 부가 염기는 전형적으로 상대적으로 소량으로, 예를 들면 포토레지스트 조성물의 총 고형물 기준으로 0.01 내지 5 wt%, 바람직하게는 0.1 내지 2 wt%로 사용된다.A preferred optional additive of the resist composition is an addition base. Suitable bases include, for example, linear and cyclic amides and derivatives thereof such as N, N-bis (2-hydroxyethyl) phe- bulamide, N, N-diethylacetamide, N1, N1, N3, N3-tetrabutylmalonamide, 1-methylazepan-2-one, 1-allyazepan-2-one and tert-butyl 1,3-dihydroxy- 2- (hydroxymethyl) Carbamate; Aromatic amines such as pyridine, and di-tert-butylpyridine; Aliphatic amines such as triisopropanolamine, n-tert-butyl diethanolamine, tris (2-acetoxy-ethyl) amine, 2,2 ', 2 " (Tert-butoxycarbonyl) -4-hydroxypiperidine, triethylamine, and triethylamine), tetraethanol, and 2- (dibutylamino) ethanol, 2,2 ' Butyl-2-ethyl-1H-imidazole-1-carboxylate, di-tert-butylpiperazine-1,4-dicarboxylate and N (2- Acetoxy-ethyl) morphine. The addition base is typically used in a relatively small amount, for example 0.01 to 5 wt%, preferably 0.1 to 2 wt%, based on the total solids of the photoresist composition.

포토레지스트는 공지된 과정에 따라 제조될 수 있다. 예를 들면, 레지스트는 적합한 용매, 예를 들면 하기 중 하나 이상에서 포토레지스트의 성분을 용해시킴으로써 코팅 조성물로서 제조될 수 있다: 글라이콜 에테르 예컨대 2-메톡시에틸 에테르(디글라임), 에틸렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 모노메틸 에테르; 프로필렌 글리콜 모노메틸 에테르 아세테이트; 락테이트 예컨대 에틸 락테이트 또는 메틸 락테이트, 에틸 락테이트가 바람직함; 프로피오네이트, 특히 메틸 프로피오네이트, 에틸 프로피오네이트 및 에틸 에톡시 프로피오네이트; 셀로솔브 에스테르 예컨대 메틸 셀로솔브 아세테이트; 방향족 탄화수소 예컨대 톨루엔 또는 자일렌; 또는 케톤 예컨대 메틸에틸 케톤, 사이클로헥산온 및 2-헵탄온. 포토레지스트의 원하는 총 고형분은 인자 예컨대 조성물에서의 특정 폴리머, 최종 층 두께 및 노광 파장에 좌우될 것이다. 전형적으로 포토레지스트의 고형분은 포토레지스트 조성물의 총 중량 기준으로 1 내지 10 wt%, 보다 전형적으로 2 내지 5 wt%로 변화된다.The photoresist can be prepared according to a known process. For example, the resist may be prepared as a coating composition by dissolving the components of the photoresist in a suitable solvent, for example, one or more of the following: glycol ethers such as 2-methoxyethyl ether (diglyme), ethylene glycol Monomethyl ether, propylene glycol monomethyl ether; Propylene glycol monomethyl ether acetate; Lactate such as ethyl lactate or methyl lactate, ethyl lactate is preferred; Propionates, especially methyl propionate, ethyl propionate and ethyl ethoxypropionate; Cellosolve esters such as methyl cellosolve acetate; Aromatic hydrocarbons such as toluene or xylene; Or ketones such as methyl ethyl ketone, cyclohexanone and 2-heptanone. The total desired solids content of the photoresist will depend on factors such as the specific polymer in the composition, the final layer thickness, and the exposure wavelength. Typically, the solids content of the photoresist varies from 1 to 10 wt%, more typically from 2 to 5 wt%, based on the total weight of the photoresist composition.

적합한 포토레지스트는 본 기술분야에 공지되어 있고, 예를 들면 미국특허공보 US20130115559A1, US20110294069A1, US20120064456A1, US20120288794A1, US20120171617A1, US20120219902A1 및 US7998655B2에 기재되어 있는 포토레지스트를 포함한다.Suitable photoresists are known in the art and include, for example, the photoresists described in U.S. Patent Nos. US20130115559A1, US20110294069A1, US20120064456A1, US20120288794A1, US20120171617A1, US20120219902A1 and US7998655B2.

본원에 상술된 물품 및 방법은 하기 비제한적인 실시예에서 예시된다.The articles and methods described herein are illustrated in the following non-limiting examples.

실시예Example

수 및 중량-평균 분자량, 각각 Mn 및 Mw, 및 다분산도 값, Mw/Mn 또는 PDI는 Agilent 1100 시리즈 굴절률 및 MiniDAWN 광산란 검출기(Wyatt Technology Co.)가 구비된 Agilent 1100 시리즈 LC 시스템 상의 겔 투과 크로마토그래피(GPC)에 의해 측정되었다. 샘플을 대략 1 mg/mL의 농도로 HPCL 등급 THF에 용해시켰고, 2개의 PLGel 300x7.5mm 혼합된 C 컬럼(5 mm, Polymer Laboratories, Inc.)을 통한 주입 이전에 0.20 μm 주사기 필터를 통해 여과시켰다. 1 mL/min의 유량 및 35℃의 온도를 유지시켰다. 컬럼을 좁은 분자량 PS 표준(EasiCal PS-2, Polymer Laboratories, Inc.)으로 보정하였다.Mn and Mw, respectively, and polydispersity values, Mw / Mn or PDI, were measured on a gel permeation chromatograph on an Agilent 1100 series LC system equipped with Agilent 1100 series refractive index and MiniDAWN light scattering detector (Wyatt Technology Co.) (GPC). Samples were dissolved in HPCL grade THF at a concentration of approximately 1 mg / mL and filtered through a 0.20 μm syringe filter prior to injection via two PLGel 300 × 7.5 mm mixed C columns (5 mm, Polymer Laboratories, Inc.) . A flow rate of 1 mL / min and a temperature of 35 캜 were maintained. The column was calibrated with a narrow molecular weight PS standard (EasiCal PS-2, Polymer Laboratories, Inc.).

양성자 NMR 분광법을 Varian INOVA 400 MHz NMR 분광계 상에서 실시하였다. 중수소화된 테트라하이드로푸란을 모든 NMR 스펙트럼에 대해 사용하였다. 10초의 지연 시간을 정량화 적분(quantitative integration)을 위한 양성자의 완전한 완화를 보장하기 위해 사용하였다. 화학적 이동은 테트라메틸실란(TMS)에 대해 기록하였다.Proton NMR spectroscopy was performed on a Varian INOVA 400 MHz NMR spectrometer. Deuterated tetrahydrofuran was used for all NMR spectra. A delay time of 10 seconds was used to ensure complete relaxation of the protons for quantitative integration. Chemical shifts were recorded for tetramethylsilane (TMS).

모든 물질은 시판되는 물질이었고, 달리 나타내지 않은 한 받은 그대로 사용하였다. 하기 실시예에서 상술되는 코폴리머 구조는 하기에 나타나 있다.All materials were commercially available and used as received unless otherwise indicated. The copolymer structures detailed in the following examples are shown below.

Figure pat00022
Figure pat00022

실시예 1Example 1

본 실시예는 폴리(N,N'-디메틸아미노에틸메타크릴레이트)-블록-폴리(t-부틸메타크릴레이트) (PDMAEMA-b-PtBMA)를 함유하는 블록 코폴리머를 포함하는 제1 조성물의 합성을 상술한다.This example illustrates the preparation of a first composition comprising a block copolymer containing poly (N, N'-dimethylaminoethyl methacrylate) -block-poly (t-butyl methacrylate) (PDMAEMA-b-PtBMA) Describe the synthesis.

디메틸 2,2'-아조비스(2-메틸프로피오네이트) (0.101 그램 (g)), tert-부틸메타크릴레이트 (tBMA, 20.000 g) , 2-시아노프로판-2-일 벤조디티오에이트 (CPBD, 0.389 g), 에틸 아세테이트 (20 mL) 및 자성 교반바를 250 밀리리터 (mL) 유리병에 장입하였다. 혼합물을 1시간 동안 질소 가스로 탈산소화하고, 이후 플라스크를 24시간 동안 70℃에서 열 블록(heat block)에 배치하였다. 반응 이후, 플라스크를 냉각시키고, 에틸 아세테이트를 2시간 동안 병을 열어 둔 채로 유지시키고 N2를 버블링시켜 증발시켰다. 반응 혼합물을 이후 60 mL THF에 용해시켰고, 1 리터 (L) 메탄올/물 혼합물 (9:1)에 침전시켰다. 침전물을 수집하고, 재침전시켰다. 폴리머 폴리tert-부틸메타크릴레이트 (PtBMA)를 수집하고 실온에서 밤새 진공 오븐에서 건조시켰다. 매크로개시제로서 PtBMA를 사용하여, 2-(디메틸아미노)에틸 메타크릴레이트 (DMAEMA) 모노머를 상기 기재된 유사한 과정을 사용하여 중합하였다. 3.000 g의 PtBMA, 3.315 g의 DMAEMA, 0.065 g의 디메틸 2,2'-아조비스(2-메틸프로피오네이트) 및 자성 교반바를 50 mL 반응기로 장입하였다. 에틸 아세테이트 (6 mL)를 탈산소화하고, 글로브 박스 내의 반응기에 첨가하였다. 반응기를 이후 격막으로 밀봉하고 24시간 동안 70℃에서 열 블록에 배치하였다. 반응 이후, 플라스크를 냉각시켰고, 에틸 아세테이트를 2시간 동안 병을 열어 둔 채로 유지시키고 N2를 버블링시켜 증발시켰다. 반응 혼합물을 이후 60 mL THF에 용해시켰고, 1L 메탄올/물 혼합물 (9:1)에 침전시켰다. 침전물을 수집하고, 재침전시켰다. 폴리머를 수집하고 실온에서 밤새 진공 오븐에서 건조시켰다. 생성된 PtBMA-b-PDMAEMA는 24.2 kg/mol의 Mn, 1.29의 다분산 지수 (PDI) 및 1H NMR에 의해 54 몰% PDMAEMA를 가졌다.(0.101 grams) of dimethyl 2,2'-azobis (2-methylpropionate), tert-butyl methacrylate (tBMA, 20.000 g), 2-cyanopropan-2-yl benzodithioate (CPBD, 0.389 g), ethyl acetate (20 mL) and a magnetic stir bar were charged into a 250 milliliter (mL) vial. The mixture was deoxygenated with nitrogen gas for 1 hour, after which the flask was placed in a heat block at 7O < 0 > C for 24 hours. After the reaction, the flask was cooled, ethyl acetate was kept open for 2 hours, and N 2 was bubbled to evaporate. The reaction mixture was then dissolved in 60 mL THF and precipitated in a 1 liter (L) methanol / water mixture (9: 1). The precipitate was collected and reprecipitated. Polymer poly tert-butyl methacrylate (PtBMA) was collected and dried in a vacuum oven at room temperature overnight. Using PtBMA as the macroinitiator, 2- (dimethylamino) ethyl methacrylate (DMAEMA) monomers were polymerized using a similar procedure as described above. 3.000 g of PtBMA, 3.315 g of DMAEMA, 0.065 g of dimethyl 2,2'-azobis (2-methylpropionate) and a magnetic stir bar were charged into a 50 mL reactor. Ethyl acetate (6 mL) was deoxygenated and added to the reactor in a glovebox. The reactor was then sealed with diaphragm and placed in a heat block at 70 DEG C for 24 hours. After the reaction, the flask was cooled, ethyl acetate was kept open for 2 hours, and N 2 was bubbled to evaporate. The reaction mixture was then dissolved in 60 mL THF and precipitated into a 1 L methanol / water mixture (9: 1). The precipitate was collected and reprecipitated. The polymer was collected and dried in a vacuum oven overnight at room temperature. The resulting PtBMA- b- PDMAEMA had Mn of 24.2 kg / mol, polydispersity index (PDI) of 1.29 and 54 mol% PDMAEMA by 1H NMR.

실시예 2Example 2

본 실시예는 폴리(N,N'-디메틸아미노에틸메타크릴레이트)-블록-폴리(아다만틸메타크릴레이트)-블록-폴리(t-부틸메타크릴레이트)(PDMAEMA-b-PAdMA-b-PtBMA)의 합성을 상술한다. 아다만틸 메타크릴레이트 (AdMA)는 중성 블록의 형성시 사용된 반복 단위이다. 따라서 중성 블록은 폴리(1-아다만틸 메타크릴레이트)를 포함한다. 매크로개시제로서 실시예 1로부터의 PtBMA를 사용하여, AdMA 모노머를 상기 기재된 유사한 과정을 사용하여 중합하였다. 2.00 g의 PtBMA, 13.3 g의 AdMA, 0.014g의 디메틸 2,2'-아조비스(2-메틸프로피오네이트), PGMEA (15 mL) 및 자성 교반바를 50 mL 무공기 반응기에 장입하였다. 혼합물을 3회 냉동-펌프-해동시켰고, 이후 플라스크를 16시간 동안 70℃에서 열 오일 배스에 배치하였다. 반응 이후, 플라스크를 냉각시켰고, 반응 혼합물을 이후 10 mL THF에 용해시켰고, 1L 아세토니트릴에 침전시켰다. 침전물을 수집하였고, 재침전시켰다. 폴리머 (PAdMA-b-PtBMA)를 수집하고, 진공 오븐에서 실온으로 밤새 건조시켰다. 생성된 PAdMA-b-PtBMA는 15.2 kg/mol의 Mn 및 1.17의 PDI를 가졌다.This example illustrates the preparation of poly (N, N'-dimethylaminoethyl methacrylate) -block-poly (adamantyl methacrylate) -block-poly (t-butyl methacrylate) (PDMAEMA-b-PAdMA-b -PTBMA) will be described in detail. Adamanthyl methacrylate (AdMA) is a repeating unit used in the formation of a neutral block. Thus, the neutral block comprises poly (1-adamantyl methacrylate). Using PtBMA from Example 1 as a macroinitiator, AdMA monomers were polymerized using a similar procedure as described above. 2.00 g of PtBMA, 13.3 g of AdMA, 0.014 g of dimethyl 2,2'-azobis (2-methylpropionate), PGMEA (15 mL) and magnetic stir bar were charged into a 50 mL airless reactor. The mixture was frozen-pump-thawed three times, after which the flask was placed in a hot oil bath at 70 캜 for 16 hours. After the reaction, the flask was cooled and the reaction mixture was then dissolved in 10 mL THF and precipitated in 1 L acetonitrile. The precipitate was collected and reprecipitated. The polymer (PAdMA-b-PtBMA) was collected and dried in a vacuum oven at room temperature overnight. The resulting PAdMA-b-PtBMA had an Mn of 15.2 kg / mol and a PDI of 1.17.

매크로개시제로서 PAdMA-b-PtBMA를 사용하여, 2-(디메틸아미노)에틸 메타크릴레이트 (DMAEMA) 모노머를 사용하는 마지막 블록을 상기 기재된 유사한 과정을 사용하여 중합하였다. 7.00 g의 PAdMA-b-PtBMA, 2.10 g의 DMAEMA, 0.008g의 디메틸 2,2'-아조비스(2-메틸프로피오네이트), 디옥산 (27 mL) 및 자성 교반바를 200 mL 무공기 반응기에 장입하였다. 혼합물을 3회 냉동-펌프-해동시켰고, 이후 플라스크를 16시간 동안 70℃에서 열 오일 배스에 배치하였다. 반응 이후, 플라스크를 냉각시켰고, 반응 혼합물을 이후 10 mL THF에 용해시켰고, 1L 아세토니트릴에 침전시켰다. 침전물을 수집하였고, 재침전시켰다. 폴리머 (PDMAEMA-b-PAdMA-b-PtBMA)를 수집하고, 진공 오븐에서 실온으로 밤새 건조시켰다. 생성된 PDMAEMA-b-PAdMA-b-PtBMA는 19.5 kg/mol의 Mn, 1.22의 PDI 및 1H NMR에 의한 22.0 wt% PDMAEMA를 가졌다.The last block using 2- (dimethylamino) ethyl methacrylate (DMAEMA) monomer, using PAdMA-b-PtBMA as macroinitiator, was polymerized using the similar procedure described above. A mixture of 7.00 g of PAdMA-b-PtBMA, 2.10 g of DMAEMA, 0.008 g of dimethyl 2,2'-azobis (2-methylpropionate), dioxane (27 mL) Respectively. The mixture was frozen-pump-thawed three times, after which the flask was placed in a hot oil bath at 70 캜 for 16 hours. After the reaction, the flask was cooled and the reaction mixture was then dissolved in 10 mL THF and precipitated in 1 L acetonitrile. The precipitate was collected and reprecipitated. The polymer (PDMAEMA-b-PAdMA-b-PtBMA) was collected and dried in a vacuum oven at room temperature overnight. The resulting PDMAEMA-b-PAdMA-b-PtBMA had Mn of 19.5 kg / mol, PDI of 1.22 and 22.0 wt% PDMAEMA by 1 H NMR.

실시예 3Example 3

본 실시예는 폴리(N,N'-디메틸아미노에틸메타크릴레이트)-블록-폴리(아다만틸메타크릴레이트-랜덤-1,1-디페닐에틸메타크릴레이트)(PDMAEMA-b-P(AdMA-r-PPMA))의 합성을 상술한다. 모노머 및 용매는 산소를 제거하기 위해 3회 냉동-펌프-해동시켰다. 모든 3가지 모노머를 활성화된 Al2O3와의 사용 이전에 추가로 정제하였고, 약 50 vol% 농도로 사이클로헥산으로 희석시켰다. 약 7-10 wt% 고형물의 반응 농도에 대해 요구되는 일정 양의 테트라하이드로푸란(THF)을 사전-건조된 LiCl을 함유하는 반응기로 이동시켰다. 내용물을 드라이아이스/이소프로판올 배스 내에서 -78℃로 냉각시켰다. 녹색이 관찰될 때까지 0.7M 사이클로헥산 중의 sec-부틸 리튬(SBL) 개시제로 THF를 적정하였다. 반응 배스를 녹색이 완전하게 사라질 때까지 실온으로 증온시켰다. 반응 배스를 다시 -78℃로 냉각시키고, 디페닐 에틸렌(DPE) 0.442g 및 Sec 부틸 리튬 개시제(3.79g, 사이클로헥산 중 0.43M)의 첨가를 후속하여 밝은 적색을 수득하였다. ADMA(38.16g의 사이클로헥산 중의 25wt% 용액) 및 PPMA(28.5 그램의 사이클로헥산 중의 33% 용액)를 반응 플라스크에 첨가하였고, 내용물을 2시간 동안 교반하였다. 무산소 메탄올 중의 폴리머 혼합물에 캐뉼러로 삽입하여 반응 분취량을 수집하였다. 침전된 폴리머를 Mn에 대해 GPC로 분석하였다. DMAEMA 모노머(1.32g)를 이후 반응 플라스크에 첨가하였고, 내용물을 추가의 0.5 시간 동안 -78℃에서 교반하였다. 반응 분취량을 이어서 무산소 메탄올 중에서 켄칭시켰다. 반응 생성물을 메탄올에서 침전시켜 백색 분말 침전물을 수득하였고, 이를 8시간 동안 50℃로 오븐에서 진공 건조시켜 20 그램의 건조 폴리머를 수득하였다. 1st 블록을 GPC로 분석하여 43 kg/mol의 Mn 및 Mw/Mn = 1.05를 얻었다.This example illustrates the preparation of poly (N, N'-dimethylaminoethyl methacrylate) -block-poly (adamantyl methacrylate-random-1,1-diphenylethyl methacrylate) (PDMAEMA- r-PPMA)). Monomer and solvent were thawed three times to remove oxygen. All three monomers were further purified prior to use with activated Al 2 O 3 and diluted with cyclohexane to a concentration of about 50 vol%. A required amount of tetrahydrofuran (THF) was transferred to a reactor containing pre-dried LiCl for a reaction concentration of about 7-10 wt% solids. The contents were cooled to -78 占 폚 in a dry ice / isopropanol bath. THF was titrated with sec-butyllithium (SBL) initiator in hexane at 0.7 M until green was observed. The reaction bath was warmed to room temperature until the green completely disappeared. The reaction bath was again cooled to -78 占 and 0.442 g of diphenylethylene (DPE) followed by addition of Sec butyllithium initiator (3.79 g, 0.43 M in cyclohexane) gave a bright red. ADMA (25.1 wt% solution in 38.16 g cyclohexane) and PPMA (33.5% solution in 28.5 grams cyclohexane) were added to the reaction flask and the contents stirred for 2 hours. The reaction mixture was collected by cannula insertion into the polymer mixture in anoxic methanol. The precipitated polymer was analyzed by GPC for Mn. DMAEMA monomer (1.32 g) was then added to the reaction flask and the contents stirred at -78 [deg.] C for an additional 0.5 h. The reaction aliquots were then quenched in anhydrous methanol. The reaction product was precipitated in methanol to give a white powder precipitate which was vacuum dried in an oven at 50 DEG C for 8 hours to give 20 grams of dry polymer. 1 st block was analyzed by GPC to obtain Mn of 43 kg / mol and Mw / Mn = 1.05.

실시예 4Example 4

본 실시예는 실시예 1의 PDMAEMA-b-PtBMA를 사용한 층상 성장(layer-by-layer growth)을 상술한다. 이러한 실시예는 포토레지스트 기재 상의 블록 코폴리머의 3개의 층의 적용을 상술한다. 4-메틸-2-펜탄올(2 wt%) 중의 n-부틸메타크릴레이트(40%)와 메타크릴산(60%)의 랜덤 코폴리머(P(nBMA-r-MAA))를 코팅하여 90℃에서의 소프트 베이킹 이후 62 nm의 두께를 갖는 필름을 생성하여 모델 음이온성 표면을 블랭크 실리콘 웨이퍼 상에 제조하였다. 순차적인 필름 성장을 나타내는 단계에 대한 공정 조건 및 두께 결과는 표 1에 요약되어 있다. 단계 1(표 1에서의 단계 1, 공정 A1 참조; 도 1(B) 참조)에 대해, 1 wt%의 블록 코폴리머, PDMAEMA-b-PtBMA의 n-부틸아세테이트(nBA) 용액을 이후 필름 상에 오버코팅하고, 110℃에서 베이킹하였고, nBA로 세정하여 과량의 물질을 제거하였고, 필름 두께를 기록하였다(표 1에서의 단계 1, 공정 A1 참조 - 도 1(C) 참조). 단계 2(도 1(D) 참조)를 시작하기 위해, 필름 스택을 이후 p-톨루엔설폰산(pTSA) 중의 2 wt% 이소부틸 이소부티레이트(IBIB) 용액(총 고형물의 20 wt%) 및 n-부틸메타크릴레이트(25%)와 이소부틸메타크릴레이트(75%)의 랜덤 코폴리머(P(nBMA-r-iBMA)(총 고형물의 80 wt%)로 코팅하였고, 스택을 이후 150℃에서 베이킹하였고, 산층을 IBIB로 세정하여 제거하였고, 두께를 다시 측정하였다(표 1에서의 공정 B1 참조; 도 1(E) 참조). PDMAEMA-b-PtBMA의 nBA 용액을 다시 필름 상에 오버코팅하고, 110℃에서 베이킹하였고, nBA로 세정하여 과량의 물질을 제거하였고, 필름 두께를 기록하였다(표 1에서의 공정 A2 참조 - 도 1(G) 참조). 산 처리 물질(공정 B) 이후 블록 코폴리머(공정 A)의 이러한 교대되는 공정을 1회 초과로 반복하여 3개의 필름 성장층을 얻었다. 이러한 공정은 16.3 nm의 총 필름 성장에 대해 단계 1 이후의 3.3 nm 성장, 단계 2 이후의 추가적인 7.3 nm 성장, 및 단계 3에서의 5.7 nm의 추가의 성장을 이루었다.This embodiment details layer-by-layer growth using PDMAEMA-b-PtBMA of Example 1. [ This embodiment details the application of three layers of block copolymer on a photoresist substrate. A random copolymer (P (nBMA-r-MAA)) of n-butyl methacrylate (40%) and methacrylic acid (60%) in 4-methyl-2-pentanol (2 wt% After soft bake at < RTI ID = 0.0 > 0 C < / RTI > a film having a thickness of 62 nm was produced to produce a model anionic surface on a blank silicon wafer. The process conditions and thickness results for the steps representing sequential film growth are summarized in Table 1. 1 wt% of a block copolymer, n-butyl acetate (nBA) solution of PDMAEMA-b-PtBMA, was then applied to a film-like top coat layer for step 1 (see step 1, step A1 in FIG. , Baked at 110 [deg.] C, rinsed with nBA to remove excess material, and film thickness reported (see step 1, step A1 in Figure 1 - see Figure 1 (C)). To start step 2 (see Fig. 1 (D)), the film stack was then treated with a solution of 2 wt% isobutyl isobutyrate (IBIB) in p-toluenesulfonic acid (pTSA) (20 wt% of total solids) (NBMA-r-iBMA) (80 wt% of total solids) of butyl methacrylate (25%) and isobutyl methacrylate (75%) and the stack was then baked The nBA solution of PDMAEMA-b-PtBMA was again overcoated on the film, and the thickness of the layer was measured again (see step B1 in Figure 1) The excess material was removed and the film thickness was recorded (see Process A2 in Table 1 - see Figure 1 (G)). After the acid treatment material (Process B), the block copolymer This alternating process of (Process A) was repeated more than once to obtain three film growth layers. This process resulted in a total film growth of 16.3 nm Achieved for the further growth of the 5.7 nm in steps of 3.3 nm 1 after growth, Step 2, additional 7.3 nm after growth, and step 3.

표 1Table 1

Figure pat00023
Figure pat00023

실시예 5Example 5

본 실시예는 실시예 2의 PDMAEMA-b-PAdMA-b-PtBMA를 사용한 층상 성장을 상술한다. 순차적인 필름 성장을 나타내는 단계들에 대한 공정 조건 및 두께 결과는 하기 표 2에 요약되어 있다. 본 실시예는 포토레지스트 기재 상의 블록 코폴리머의 3개의 층의 적용을 상술한다. This embodiment details layer growth using PDMAEMA-b-PAdMA-b-PtBMA of Example 2. [ The process conditions and thickness results for the steps representing sequential film growth are summarized in Table 2 below. This example details the application of three layers of block copolymer on a photoresist substrate.

4-메틸-2-펜탄올(2 wt%) 중의 n-부틸메타크릴레이트(40%)와 메타크릴산(60%)의 랜덤 코폴리머(P(nBMA-r-MAA))를 코팅하여 90℃ 소프트 베이킹 이후 62.2±0.2 nm의 두께를 갖는 필름을 생성하여 블랭크 실리콘 웨이퍼 상에 모델 음이온성 표면을 제조하였다. 단계 1에 대해, 1 wt%의 트리블록 코폴리머, PDMAEMA-b-PAdMA-b-PtBMA의 nBA 용액을 이후 필름 상에 오버코팅하였고, 110℃에서 베이킹하고, nBA로 세정하여 과량의 물질을 제거하였고, 필름 두께를 기록하였다(단계 1, 공정 A1). 단계 2를 시작하기 위해, 필름 스택을 이후 pTSA의 2 wt% IBIB 용액(총 고형물의 20 wt%) 및 n-부틸메타크릴레이트(25%)와 이소부틸메타크릴레이트(75%)의 랜덤 코폴리머(P(nBMA-r-iBMA)(총 고형물의 80 wt%)로 코팅하였고, 스택을 이후 150℃에서 베이킹하고, 산층을 IBIB로 세정하여 제거하였고, 두께를 다시 측정하였다(공정 B1). PDMAEMA-b-PAdMA-b-PtBMA의 nBA 용액을 다시 필름 상에 오버코팅하였고, 110℃에서 베이킹하고, nBA로 세정하여 과량의 물질을 제거하였고, 필름 두께를 기록하였다(공정 A2). 산 처리 물질(공정 B) 이후 블록 코폴리머(공정 A)의 이러한 교대되는 공정을 1회 초과로 반복하여 3개의 필름 성장층을 얻었다. 순차적인 필름 성장을 나타내는 단계들에 대한 공정 조건 및 두께 결과는 하기 표에 요약되어 있다. 이러한 공정은 10.7 nm의 총 필름 성장에 대해 단계 1 이후의 2.9 nm 성장, 단계 2 이후의 추가적인 3.4 nm 성장, 및 단계 3에서의 4.7 nm의 추가의 성장을 이루었다.A random copolymer (P (nBMA-r-MAA)) of n-butyl methacrylate (40%) and methacrylic acid (60%) in 4-methyl-2-pentanol (2 wt% A model anionic surface was prepared on a blank silicon wafer by producing a film having a thickness of 62.2 +/- 0.2 nm after soft-baking. For step 1, an nBA solution of 1 wt% triblock copolymer, PDMAEMA-b-PAdMA-b-PtBMA was then overcoated on the film, baked at 110 ° C and rinsed with nBA to remove excess material And the film thickness was recorded (step 1, step A1). To start step 2, the film stack was then coated with a 2 wt% IBIB solution of pTSA (20 wt% of total solids) and a random copolymer of n-butyl methacrylate (25%) and isobutyl methacrylate (75% The polymer was coated with P (nBMA-r-iBMA) (80 wt% of total solids), the stack was then baked at 150 ° C, the acid layer was rinsed with IBIB and the thickness was again measured (step B1). The nBA solution of PDMAEMA-b-PAdMA-b-PtBMA was again overcoated on the film, baked at 110 DEG C, rinsed with nBA to remove excess material, and film thickness recorded (step A2). This alternating process of the block copolymer (process A) after the material (process B) was repeated more than once to obtain three film growth layers. The process conditions and thickness results for the steps showing sequential film growth were as follows: The process is summarized in Table 1. Step 1 for total film growth at 10.7 nm Formed a 2.9 nm after growth, Step 2, additional 3.4 nm after growth, and further growth of 4.7 nm in the step 3.

표 2Table 2

Figure pat00024
Figure pat00024

실시예 6Example 6

본 실시예는 실시예 2의 PDMAEMA-b-PAdMA-b-PtBMA를 사용한 층상 성장을 상술한다. 순차적인 필름 성장을 나타내는 단계들에 대한 공정 조건 및 두께 결과는 하기 표 2에 요약되어 있다. 본 실시예는 포토레지스트 기재 상의 블록 코폴리머의 4개의 층의 적용을 상술한다.This embodiment details layer growth using PDMAEMA-b-PAdMA-b-PtBMA of Example 2. [ The process conditions and thickness results for the steps representing sequential film growth are summarized in Table 2 below. This example details the application of four layers of block copolymer on a photoresist substrate.

4-메틸-2-펜탄올(2 wt%) 중의 n-부틸메타크릴레이트(40%)와 메타크릴산(60%)의 랜덤 코폴리머(P(nBMA-r-MAA))를 코팅하여 90℃ 소프트 베이킹 이후 53.7±0.2 nm의 두께를 갖는 필름을 생성하여 블랭크 실리콘 웨이퍼 상에 모델 NTD 레지스트 필름 표면을 제조하였다. 단계 1에 대해, 1 wt%의 트리블록 코폴리머, PDMAEMA-b-PAdMA-b-PtBMA의 nBA 용액을 이후 필름 상에 오버코팅하였고, 110℃에서 베이킹하고, nBA로 세정하여 과량의 물질을 제거하였고, 필름 두께를 기록하였다(단계 1, 공정 A1). 단계 2를 시작하기 위해, 필름 스택을 이후 pTSA의 2 wt% IBIB 용액(총 고형물의 20 wt%) 및 n-부틸메타크릴레이트(25%)와 이소부틸메타크릴레이트(75%)의 랜덤 코폴리머(P(nBMA-r-iBMA)(총 고형물의 80 wt%)로 코팅하였고, 스택을 이후 130℃에서 베이킹하고, 산층을 IBIB로 세정하여 제거하였고, 두께를 다시 측정하였다(공정 B1). PDMAEMA-b-PAdMA-b-PtBMA의 nBA 용액을 다시 필름 상에 오버코팅하였고, 110℃에서 베이킹하고, nBA로 세정하여 과량의 물질을 제거하였고, 필름 두께를 기록하였다(공정 A1). 산 처리 물질(공정 B) 이후 블록 코폴리머(공정 A)의 이러한 교대되는 공정을 2회 이상으로 반복하여 4개의 필름 성장층을 얻었다. 순차적인 필름 성장을 나타내는 단계들에 대한 공정 조건 및 두께 결과는 하기 표에 요약되어 있다. 이전 실시예에서와 같이 단계 1은 3 nm 성장을 생성하였고, 후속 단계는 더 큰 성장을 생성하였다. 단계 2는 추가적인 6.9 nm 성장을 생성하고, 단계 3은 7.9 nm를 생성하고, 단계 4는 최종 8.9 nm 성장을 생성하여, 4단계 공정 이후 31.9 nm의 총 필름 성장을 이루었다.A random copolymer (P (nBMA-r-MAA)) of n-butyl methacrylate (40%) and methacrylic acid (60%) in 4-methyl-2-pentanol (2 wt% ≪ RTI ID = 0.0 > 0 C < / RTI > soft-bake to produce a model NTD resist film surface on a blank silicon wafer. For step 1, an nBA solution of 1 wt% triblock copolymer, PDMAEMA-b-PAdMA-b-PtBMA was then overcoated on the film, baked at 110 ° C and rinsed with nBA to remove excess material And the film thickness was recorded (step 1, step A1). To start step 2, the film stack was then coated with a 2 wt% IBIB solution of pTSA (20 wt% of total solids) and a random copolymer of n-butyl methacrylate (25%) and isobutyl methacrylate (75% The polymer was then coated with P (nBMA-r-iBMA) (80 wt% of total solids), the stack was then baked at 130 ° C, the acid layer was cleaned by IBIB and the thickness was measured again (step B1). The nBA solution of PDMAEMA-b-PAdMA-b-PtBMA was again overcoated on the film, baked at 110 DEG C, rinsed with nBA to remove excess material, and the film thickness recorded (step A1). This alternating process of the block copolymer (process A) after the material (process B) was repeated two or more times to obtain four film growth layers. The process conditions and thickness results for the steps exhibiting sequential film growth were as follows: As in the previous example, step 1 yields 3 nm growth And the subsequent step generated greater growth. Step 2 produced additional 6.9 nm growth, step 3 produced 7.9 nm, and step 4 produced final 8.9 nm growth, resulting in a 31.9 nm Total film growth was achieved.

표 3Table 3

Figure pat00025
Figure pat00025

실시예 7Example 7

본 실시예는 네거티브 톤 현상된 포토레지스트에서 트렌치 패턴의 형성을 나타낸다.This embodiment shows the formation of a trench pattern in a negative tone developed photoresist.

라인/스페이스 패턴을 갖는 실리콘 웨이퍼를 우선 제조하였고, 하기와 같이 처리하였다. 1350Å 유기 기저층 상의 220Å 실리콘-함유 반사방지 코팅 (SiARC) 층의 2층 스택을 갖는 8-인치 실리콘 웨이퍼를 제공하였다. 하기 상술된 포토레지스트 조성물을 2층 스택 상에 코팅하였고, TEL CLEAN TRACKTM LITHIUSTM i+ 코팅기/현상기 상에서 60초 동안 90℃에서 1000Å의 목표 레지스트 두께로 소프트 베이킹하였다. A silicon wafer having a line / space pattern was first prepared and processed as follows. Inch silicon wafer with a two-layer stack of a 220 Å silicon-containing antireflective coating (SiARC) layer on a 1350 Å organic base layer. Was coated to the above-mentioned photoresist composition on a two-layer stack, TEL CLEAN TRACK TM TM LITHIUS i + coater / soft baking were aimed resist thickness of 1000Å at 90 ℃ for 60 seconds on a photoconductor.

포토레지스트를 하기 포토레지스트 조성물로부터 제조하였다. 17.73 g 매트릭스 폴리머 B (PGMEA 중 15 wt%), 16.312 g PAG D 용액 (메틸-2-히드록시 이소부티레이트 중의 1 wt%), 3.463 g PAG B 용액 (PGMEA 중 1 wt%), 6.986 g PAG E 용액 (메틸-2-히드록시이소부티레이트 중의 2 wt%), 4.185 g 트리옥틸아민 (PGMEA 중의 1 wt% 용액), 0.248 g 폴리머 첨가제 A (PGMEA 중의 25 wt% 용액), 25.63 g PGMEA, 9.69 g 감마-부틸로락톤 및 22.61 g 메틸-2-히드록시이소부티레이트를 혼합하였고, 0.2 μm 나일론 필터를 통해 여과시켰다.A photoresist was prepared from the following photoresist composition. (1 wt% in methyl-2-hydroxyisobutyrate), 3.463 g PAG B solution (1 wt% in PGMEA), 6.986 g PAG E (2 wt% in methyl-2-hydroxyisobutyrate), 4.185 g trioctylamine (1 wt% solution in PGMEA), 0.248 g Polymer Additive A (25 wt% solution in PGMEA), 25.63 g PGMEA, 9.69 g Gamma-butylolactone and 22.61 g methyl-2-hydroxyisobutyrate were mixed and filtered through a 0.2 μm nylon filter.

Figure pat00026
Figure pat00026

Figure pat00027
Figure pat00027

포토레지스트층을 각 웨이퍼에 걸쳐 다양한 용량으로 150 nm의 피치를 갖는 라인/스페이스 패턴을 포함하는 레티클을 통해 Dipole-35Y 조명 및 0.75의 개구수 (NA)를 갖는 ASML 1100 스캐너를 사용하여 노광시켰다. 노광후 베이킹을 60초 동안 90℃에서 수행하였고, 포토레지스트층을 n-부틸아세테이트 (nBA) 현상기를 사용하여 현상하여 웨이퍼에 걸쳐 150 nm의 피치 및 다양한 임계 치수 (CD)를 갖는 라인/스페이스 패턴을 형성하였다. 레지스트-패턴화된 웨이퍼 중 하나를 추가의 처리 없는 대조군으로서 SEM에 의해 관찰하였고, 대표적인 SEM 현미경 사진을 도 2a에 나타내었다. 라인들 사이의 평균 간극 (CD 1 )을 60 nm로서 측정하였다.The photoresist layer was exposed using a Dipole-35Y illumination and an ASML 1100 scanner with a numerical aperture (NA) of 0.75 through a reticle containing a line / space pattern with a pitch of 150 nm at various capacities across each wafer. Post-exposure baking was performed at 90 占 폚 for 60 seconds and the photoresist layer was developed using a n-butyl acetate (nBA) developer to form a line / space pattern with a pitch of 150 nm across the wafer and various critical dimensions (CD) . One of the resist-patterned wafers was observed by SEM as a control without further treatment, and representative SEM micrographs are shown in Fig. The average gap (CD 1 ) between the lines was measured as 60 nm.

실시예 8Example 8

본 실시예는 블록 코폴리머의 적용에 의한 트렌치 피처의 수축의 형성을 나타낸다. 실시예 3으로부터의 1.5 wt%의 PDMAEMA-b-P(AdMA-랜덤-PPMA) 및 0.15 wt%의 열산 발생제 트리에틸암모늄 파라톨루엔설포네이트의 2-헵탄온 용액을 제조하였고, 0.2 μm 초고분자량 폴리에틸렌 (UPE) 필터를 통해 여과시켰다. 실시예 7로부터의 2개의 웨이퍼를 TEL CLEAN TRACKTM LITHIUSTM i+ 코팅기/현상기 상에서 1500 rpm으로의 스핀 코팅에 의해 이 용액으로 오버코팅하였다. 패턴화된 웨이퍼를 60초 동안 60℃에서 소프트 베이킹하였고, 스핀-코팅기 상에서 n-부틸아세테이트로 세정하였다. 처리된 웨이퍼 중 하나를 SEM으로 관찰하였고, 대표적인 SEM 현미경사진을 도 2b에 나타내었다.라인들 사이의 평균 간극(CD 2 )을 패턴의 중간 높이에서 측정한 바, CD 2 = 46 nm이고, 평균 수축량 ΔCDa(여기서 ΔCDa = CD 1 - CD 2 )를 계산한 바, ΔCDa = 14 nm였다.This example shows the formation of shrinkage of a trench feature by application of a block copolymer. A 2-heptanone solution of 1.5 wt% of PDMAEMA-bP (AdMA-random-PPMA) and 0.15 wt% of the thermal acid generator triethylammonium para-toluenesulfonate from Example 3 was prepared, and 0.2 μm ultra high molecular weight polyethylene UPE) filter. By the two wafer from Example 7 by the spin coating of a TEL CLEAN TRACK TM LITHIUS TM i + coater / 1500 rpm on the developing device was over-coated with the solution. The patterned wafers were softbaked at 60 [deg.] C for 60 seconds and rinsed with n-butyl acetate on a spin-coater. One of the treated wafers was observed with a SEM and a typical SEM micrograph is shown in Figure 2. The average clearance (CD 2 ) between the lines was measured at the mid-height of the pattern, CD 2 = 46 nm, The shrinkage ΔCD a (where ΔCD a = CD 1 - CD 2 ) was calculated and ΔCD a = 14 nm.

실시예 9Example 9

본 실시예는 블록 코폴리머의 다층 전해질 유형 적용에 의한 트렌치 피처의 수축의 형성을 나타낸다. 실시예 3으로부터의 1.5 wt%의 PDMAEMA-b-P(AdMA-랜덤-PPMA) 의 2-헵탄온 용액을 제조하였고, 0.2 μm 초고분자량 폴리에틸렌 (UPE) 필터를 통해 여과시켰다. 실시예 8로부터의 웨이퍼를 60초 동안 140℃에서 베이킹하여 카복실산 발생을 위해 PPMA의 부분 탈블록화를 유도하였다. 웨이퍼를 추가적으로 TEL CLEAN TRACKTM LITHIUSTM i+ 코팅기/현상기 상에서 1500 rpm으로의 스핀 코팅에 의해 블록 코폴리머 용액으로 오버코팅하였다. 패턴화된 웨이퍼를 60초 동안 60℃에서 소프트 베이킹하였고, 스핀-코팅기 상에서 n-부틸아세테이트로 세정하였다. 생성된 패턴을 SEM로 관찰하였고, 대표적인 SEM 현미경사진을 도 2c에 나타내었다. 라인들 사이의 평균 간극(CD3)을 패턴의 중간 높이에서 측정하였고, CD3 = 41 nm였다. 총 평균 수축량 ΔCDb(여기서 ΔCDb = CD1 - CD3)는 19 nm였고, 블록 코폴리머의 제2 코팅으로부터의 추가적인 수축량 ΔΔCD(여기서 ΔΔCD=ΔCDb - ΔCDa)는 5 nm였다.This example demonstrates the formation of shrinkage of the trench feature by the application of a multilayer electrolyte type of block copolymer. A 2-heptanone solution of 1.5 wt% PDMAEMA-bP (AdMA-random-PPMA) from Example 3 was prepared and filtered through a 0.2 μm ultra high molecular weight polyethylene (UPE) filter. The wafers from Example 8 were baked at 140 DEG C for 60 seconds to induce partial deblocking of PPMA for carboxylic acid generation. The wafers were also overcoated with a block copolymer solution by spin coating at 1500 rpm on a TEL CLEAN TRACK TM LITHIUS TM i + coater / developer. The patterned wafers were softbaked at 60 [deg.] C for 60 seconds and rinsed with n-butyl acetate on a spin-coater. The resulting pattern was observed with SEM, and representative SEM micrographs are shown in Fig. 2c. The average gap (CD3) between the lines was measured on the mid-height of the pattern was CD 3 = 41 nm. Total average shrinkage [Delta] CDb (where [Delta] CDb = CD1 - CD3) is further shrinkage ΔΔCD (where ΔΔCD = ΔCD b from the second coating was of 19 nm, a block copolymer - a ΔCD) was 5 nm.

Claims (10)

기재(substrate); 및
상기 기재 상에 배치된 2개 이상의 층
을 포함하는 다층 물품으로서,
각각의 상기 층은 제1 블록 및 제2 블록을 포함하는 블록 코폴리머를 포함하되, 상기 제1 블록은 수소 수용체 또는 수소 공여체를 함유하는 반복 단위를 포함하고, 상기 제2 블록은 상기 제1 블록의 반복 단위가 수소 수용체를 함유하는 경우 수소 공여체, 또는 상기 제1 블록의 반복 단위가 수소 공여체를 함유하는 경우 수소 수용체를 함유하는 반복 단위를 포함하고;
상기 2개 이상의 층 중 최내부층의 상기 제1 블록이 상기 기재에 결합되고, 상기 최내부층 상에 배치된 각 층의 상기 제1 블록이 각 기저층의 제2 블록에 결합되고; 상기 2개 이상의 층 중 최외부층의 상기 제2 블록의 상기 수소 공여체 또는 수소 수용체가 블록화되는, 다층 물품.
A substrate; And
Wherein at least two layers disposed on the substrate
A multi-layer article comprising:
Each of said layers comprising a block copolymer comprising a first block and a second block, said first block comprising a repeating unit containing a hydrogen acceptor or a hydrogen donor, Contains a hydrogen donor when the repeating unit of the first block contains a hydrogen acceptor or a hydrogen acceptor when the repeating unit of the first block contains a hydrogen donor;
Wherein the first block of the innermost layer of the at least two layers is bonded to the substrate and the first block of each layer disposed on the innermost layer is bonded to a second block of each base layer; Wherein the hydrogen donor or hydrogen acceptor of the second block of the outermost layer of the at least two layers is blocked.
제1항에 있어서, 상기 2개 이상의 층은 제1 층 및 제2 층을 포함하되, 상기 제1 층은 상기 최내부층이며 상기 기재에 결합되고, 상기 제2 층은 상기 최외부층이며 상기 제1 층에 결합되는, 다층 물품.The method of claim 1, wherein the at least two layers comprise a first layer and a second layer, wherein the first layer is the innermost layer and is bonded to the substrate, the second layer is the outermost layer, Wherein the first layer is bonded to the first layer. 제1항 또는 제2항에 있어서, 상기 제1 블록의 상기 반복 단위는 수소 수용체를 함유하는, 다층 물품.The multi-layer article of claim 1 or 2, wherein the repeating unit of the first block contains a hydrogen acceptor. 제3항에 있어서, 상기 수소 수용체를 함유하는 제1 블록의 상기 반복 단위는 질소-함유 기를 포함하는, 다층 물품.4. The article of claim 3, wherein the repeating unit of the first block containing the hydrogen acceptor comprises a nitrogen-containing group. 제4항에 있어서, 상기 질소-함유 기는 아민기, 아미드기 및 피리딘기로부터 선택되는, 다층 물품.5. The multi-layer article of claim 4, wherein the nitrogen-containing group is selected from an amine group, an amide group and a pyridine group. 제1항 또는 제2항에 있어서, 상기 제1 블록의 상기 반복 단위는 수소 공여체를 함유하는, 다층 물품.3. The multi-layer article of claim 1 or 2, wherein the repeating unit of the first block contains a hydrogen donor. 제1항 또는 제2항에 있어서, 상기 블록 코폴리머 중 하나 이상은 상기 제1 블록과 제2 블록 사이에 배치된 중성 폴리머의 블록을 더 포함하는, 다층 물품.3. The multi-layer article of claim 1 or 2, wherein at least one of the block copolymers further comprises a block of neutral polymer disposed between the first block and the second block. 제1항 내지 제7항 중 어느 한 항에 있어서, 상기 기재는 반도체 기판인, 다층 물품.8. The multilayer article according to any one of claims 1 to 7, wherein the substrate is a semiconductor substrate. 제8항에 있어서, 상기 기재는 2개 이상의 층이 위에 배치되는 포토레지스트 패턴을 포함하되, 상기 최내부층의 상기 블록 코폴리머의 상기 제1 블록은 포토레지스트 패턴에 결합되는, 다층 물품.9. The multilayer article of claim 8, wherein the substrate comprises a photoresist pattern over which at least two layers are disposed, wherein the first block of the block copolymer of the innermost layer is bonded to the photoresist pattern. 제9항에 있어서, 상기 포토레지스트 패턴은 네거티브 톤 현상 공정에 의해 형성되고, 상기 포토레지스트 패턴은 표면 상에 카복실산기 및/또는 하이드록실기를 포함하는, 다층 물품.The multi-layer article of claim 9, wherein the photoresist pattern is formed by a negative tone development process, the photoresist pattern comprising a carboxylic acid group and / or a hydroxyl group on the surface.
KR1020170093841A 2016-07-29 2017-07-25 Method of negative tone development using a copolymer multilayer electrolyte and articles made therefrom KR102048729B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/223,822 2016-07-29
US15/223,822 US9910353B2 (en) 2016-07-29 2016-07-29 Method of negative tone development using a copolymer multilayer electrolyte and articles made therefrom

Publications (2)

Publication Number Publication Date
KR20180013741A true KR20180013741A (en) 2018-02-07
KR102048729B1 KR102048729B1 (en) 2019-11-26

Family

ID=61009759

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170093841A KR102048729B1 (en) 2016-07-29 2017-07-25 Method of negative tone development using a copolymer multilayer electrolyte and articles made therefrom

Country Status (5)

Country Link
US (1) US9910353B2 (en)
JP (1) JP6434579B2 (en)
KR (1) KR102048729B1 (en)
CN (1) CN107665815A (en)
TW (1) TWI636321B (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140024256A (en) * 2010-11-24 2014-02-28 다우 코닝 코포레이션 Controlling morphology of block copolymers
KR20150094823A (en) * 2014-02-10 2015-08-20 에스케이하이닉스 주식회사 Structure and method for forming pattern using block copolymer materials
KR20150123269A (en) * 2013-02-25 2015-11-03 더 유니버서티 어브 퀸슬랜드 Lithographically produced features

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8083953B2 (en) * 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
EP2533884A1 (en) 2010-02-11 2012-12-19 King Abdullah University Of Science And Technology Self-assembled block copolymer membrane
US20130189495A1 (en) * 2010-11-12 2013-07-25 The Regents Of The University Of Michigan Nanoscale Photolithography
JP5726807B2 (en) * 2012-04-24 2015-06-03 東京エレクトロン株式会社 Pattern forming method, pattern forming apparatus, and computer-readable storage medium
KR20150036130A (en) * 2012-06-29 2015-04-07 제이에스알 가부시끼가이샤 Composition for pattern formation and pattern forming method
US10739673B2 (en) * 2014-06-20 2020-08-11 Taiwan Semiconductor Manufacturing Company Limited Preparing patterned neutral layers and structures prepared using the same
JP6122906B2 (en) * 2014-06-27 2017-04-26 ダウ グローバル テクノロジーズ エルエルシー Process for producing block copolymers and articles produced therefrom
US9448483B2 (en) 2014-07-31 2016-09-20 Dow Global Technologies Llc Pattern shrink methods
TWI617900B (en) * 2015-06-03 2018-03-11 羅門哈斯電子材料有限公司 Pattern treatment methods
TWI615460B (en) * 2015-06-03 2018-02-21 羅門哈斯電子材料有限公司 Compositions and methods for pattern treatment
TWI606099B (en) * 2015-06-03 2017-11-21 羅門哈斯電子材料有限公司 Pattern treatment methods
TWI627220B (en) * 2015-06-03 2018-06-21 羅門哈斯電子材料有限公司 Compositions and methods for pattern treatment

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140024256A (en) * 2010-11-24 2014-02-28 다우 코닝 코포레이션 Controlling morphology of block copolymers
KR20150123269A (en) * 2013-02-25 2015-11-03 더 유니버서티 어브 퀸슬랜드 Lithographically produced features
KR20150094823A (en) * 2014-02-10 2015-08-20 에스케이하이닉스 주식회사 Structure and method for forming pattern using block copolymer materials

Also Published As

Publication number Publication date
US9910353B2 (en) 2018-03-06
JP2018027687A (en) 2018-02-22
TWI636321B (en) 2018-09-21
KR102048729B1 (en) 2019-11-26
US20180031971A1 (en) 2018-02-01
JP6434579B2 (en) 2018-12-05
CN107665815A (en) 2018-02-06
TW201804247A (en) 2018-02-01

Similar Documents

Publication Publication Date Title
KR101742575B1 (en) Pattern shrink methods
KR101992695B1 (en) Pattern treatment methods
TWI617900B (en) Pattern treatment methods
KR101939998B1 (en) Pattern treatment methods
KR101809569B1 (en) Compositions and methods for pattern treatment
KR101809571B1 (en) Compositions and methods for pattern treatment
KR101917136B1 (en) Block copolymers and pattern treatment compositions and methods
KR102025105B1 (en) Method of negative tone development using a copolymer multilayer electrolyte and articles made therefrom
KR102048729B1 (en) Method of negative tone development using a copolymer multilayer electrolyte and articles made therefrom

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant