KR20180011193A - A pattern forming method, an electronic device manufacturing method, and a resist composition - Google Patents

A pattern forming method, an electronic device manufacturing method, and a resist composition Download PDF

Info

Publication number
KR20180011193A
KR20180011193A KR1020177036689A KR20177036689A KR20180011193A KR 20180011193 A KR20180011193 A KR 20180011193A KR 1020177036689 A KR1020177036689 A KR 1020177036689A KR 20177036689 A KR20177036689 A KR 20177036689A KR 20180011193 A KR20180011193 A KR 20180011193A
Authority
KR
South Korea
Prior art keywords
group
general formula
substituent
solvent
represented
Prior art date
Application number
KR1020177036689A
Other languages
Korean (ko)
Other versions
KR102104807B1 (en
Inventor
아키히로 카네코
토모타카 츠치무라
케이 야마모토
Original Assignee
후지필름 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 후지필름 가부시키가이샤 filed Critical 후지필름 가부시키가이샤
Publication of KR20180011193A publication Critical patent/KR20180011193A/en
Application granted granted Critical
Publication of KR102104807B1 publication Critical patent/KR102104807B1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers

Abstract

극미세의 패턴 형성 시에, 감도가 높고, 또한 해상력이 높은 패턴 형성 방법, 전자 디바이스의 제조 방법, 및 레지스트 조성물을 제공한다. 패턴 형성 방법은, (A) 명세서 중의 일반식 (1)로 나타나며, ClogP값이 2.2 이하인 반복 단위를 함유하고, 산의 작용에 의하여 유기 용제를 포함하는 현상액에 대한 용해도가 감소하는 수지와, (B) 활성광선 또는 방사선의 조사에 의하여 산을 발생하는 화합물과, (C) 용제를 함유하는 감활성광선성 또는 감방사선성 수지 조성물을 이용하여 막을 형성하는 공정 (1), 상기 막을 활성광선 또는 방사선을 이용하여 노광하는 공정 (2), 및 상기 공정 (2)에 있어서 노광된 막을 유기 용제를 포함하는 현상액을 이용하여 현상하여, 네거티브형의 패턴을 형성하는 공정 (3)을 갖는다.Provided are a pattern forming method, an electronic device manufacturing method, and a resist composition, which have high sensitivity and high resolving power at the time of forming a very fine pattern. The pattern forming method comprises: (A) a resin represented by the general formula (1) in the specification, which contains a repeating unit having a ClogP value of 2.2 or less and whose solubility in a developer containing an organic solvent is decreased by the action of an acid; (B) a compound which generates an acid upon irradiation with an actinic ray or radiation, (C) a step of forming a film using an actinic ray-sensitive or radiation-sensitive resin composition containing a solvent, (1) A step (2) of exposing using radiation, and a step (3) of forming a negative pattern by developing the exposed film in the step (2) using a developer containing an organic solvent.

Description

패턴 형성 방법, 전자 디바이스의 제조 방법, 및 레지스트 조성물A pattern forming method, an electronic device manufacturing method, and a resist composition

본 발명은, 초LSI(Large Scale Integrated circuit, 대규모 집적 회로)나 고용량 마이크로칩의 제조 등의 초마이크로리소그래피 프로세스나 그 외의 포토패브리케이션 프로세스에 적합하게 이용되는, 유기 용제를 포함하는 현상액을 이용한 패턴 형성 방법, 전자 디바이스의 제조 방법, 및 레지스트 조성물에 관한 것이다. 더 자세하게는, 전자선 또는 EUV광(Extreme Ultra Violet, 극자외선, 파장: 13nm 부근)을 이용하는 반도체 소자의 미세 가공에 적합하게 이용할 수 있는, 유기 용제를 포함하는 현상액을 이용한 패턴 형성 방법, 전자 디바이스의 제조 방법, 및 레지스트 조성물에 관한 것이다.The present invention relates to a pattern using a developer containing an organic solvent, which is suitably used in a super-microlithography process such as the manufacture of a large scale integrated circuit (large-scale integrated circuit) or a high-capacity microchip or other photo- A method of manufacturing an electronic device, and a resist composition. More specifically, the present invention relates to a pattern formation method using a developer containing an organic solvent, which can be suitably used for microfabrication of a semiconductor device using an electron beam or EUV light (extreme ultra violet (wavelength: about 13 nm) A production method thereof, and a resist composition.

종래, IC(Integrated Circuit, 집적 회로)나 LSI 등의 반도체 디바이스의 제조 프로세스에 있어서는, 포토레지스트 조성물을 이용한 리소그래피에 의한 미세 가공이 행해지고 있다. 최근, 집적 회로의 고집적화에 따라, 서브미크론 영역이나 쿼터미크론 영역의 초미세 패턴 형성이 요구되게 되었다. 그에 따라, 노광 파장도 g선에서 i선으로, 또한 엑시머 레이저광으로와 같이 단파장화의 경향이 보여지며, 현재는, 전자선이나 X선 혹은 EUV광을 이용한 리소그래피도 개발이 진행되고 있다.2. Description of the Related Art Conventionally, in a manufacturing process of a semiconductor device such as an IC (Integrated Circuit) or an LSI, fine processing by lithography using a photoresist composition is performed. In recent years, with the increase in integration of integrated circuits, ultrafine pattern formation in a submicron region or a quarter micron region has been required. Accordingly, the exposure wavelength tends to be shorter in wavelength as in the g line to the i line and also in the excimer laser light, and lithography using electron beams, X-rays, or EUV light is under development at present.

그런데, 감활성광선성 또는 감방사선성 수지 조성물에는, 일반적으로, 알칼리 현상액에 난용성 혹은 불용성의 수지를 이용하고, 노광에 의하여 노광부를 알칼리 현상액에 대하여 가용화함으로써 패턴을 형성하는 "포지티브형"과, 알칼리 현상액에 가용성의 수지를 이용하고, 노광에 의하여 노광부를 알칼리 현상액에 대하여 난용화 혹은 불용화함으로써 패턴을 형성하는 "네거티브형"이 있다.[0004] Incidentally, in the case of the positive active ray-sensitive or radiation-sensitive resin composition, there are generally used a "positive type" and a "positive type" in which an insoluble or insoluble resin is used in an alkaline developer and the pattern is formed by solubilizing the exposed portion with an alkali developer , And a "negative type" in which a resin soluble in an alkali developer is used and a pattern is formed by hardening or insolubilizing the exposed portion with an alkali developer by exposure.

이와 같은 리소그래피 프로세스에 적합한 감활성광선성 또는 감방사선성 수지 조성물로서는, 고감도화의 관점에서 주로 산촉매 반응을 이용한 화학 증폭형 포지티브형 레지스트 조성물이 검토되며, 주성분으로서 알칼리 현상액에는 불용 또는 난용성이고, 산의 작용에 의하여 알칼리 현상액에 가용이 되는 성질을 갖는 수지, 및 산발생제로 이루어지는 화학 증폭형 포지티브형 레지스트 조성물이 유효하게 사용되고 있다(예를 들면, 특허문헌 1~3).As the actinic ray-sensitive or radiation-sensitive resin composition suitable for such a lithography process, a chemically amplified positive resist composition mainly using an acid catalyst reaction from the viewpoint of high sensitivity is studied, and an alkali developer as a main component is insoluble or poorly soluble, A resin having a property of being soluble in an alkali developing solution by the action of an acid, and a chemically amplified positive resist composition comprising an acid generator are effectively used (for example, Patent Documents 1 to 3).

한편, 반도체 소자 등의 제조에 있어서는 라인, 트렌치, 홀 등, 다양한 형상을 갖는 패턴 형성의 요청이 있다. 다양한 형상을 갖는 패턴 형성의 요청에 응하기 위해서는 포지티브형뿐만 아니라, 네거티브형의 감활성광선성 또는 감방사선성 수지 조성물의 개발도 행해지고 있다.On the other hand, in the production of semiconductor devices and the like, there is a demand for pattern formation having various shapes such as lines, trenches, and holes. In order to meet the demand for pattern formation having various shapes, not only a positive type but also a negative type active ray-sensitive or radiation-sensitive resin composition have been developed.

초미세 패턴의 형성에 있어서는, 해상력의 향상, 패턴 형상의 추가적인 개량을 위하여, 산분해성 수지를 알칼리 현상액 이외의 현상액을 이용하여 현상하는 방법도 제안되고 있다(예를 들면, 특허문헌 4~6 참조).In the formation of ultrafine patterns, a method has been proposed in which an acid-decomposable resin is developed using a developing solution other than an alkali developing solution in order to improve the resolving power and further improve the pattern shape (see, for example, Patent Documents 4 to 6 ).

특허문헌 1: 일본 공개특허공보 2013-100471호Patent Document 1: JP-A-2013-100471 특허문헌 2: 일본 공개특허공보 2013-100472호Patent Document 2: JP-A-2013-100472 특허문헌 3: 일본 공개특허공보 2013-100473호Patent Document 3: JP-A-2013-100473 특허문헌 4: 일본 공개특허공보 2013-68675호Patent Document 4: JP-A-2013-68675 특허문헌 5: 일본 공개특허공보 2011-221513호Patent Document 5: JP-A-2011-221513 특허문헌 6: 일본 공개특허공보 2015-31851호Patent Document 6: JP-A-2015-31851

그러나, 상기의 패턴 형성 방법에 있어서는, 유기 용제를 포함하는 현상액이 패턴에 침투하는 것에 의한 팽윤에 의하여, 극미세의 패턴(예를 들면, 선폭 50nm 이하의 라인 앤드 스페이스 패턴, 직경 50nm 이하의 도트 패턴) 형성 시에, 패턴의 붕괴 등이 발생하여, 충분한 해상력이 얻어지지 않았다.However, in the above-described pattern formation method, a very fine pattern (for example, a line-and-space pattern with a line width of 50 nm or less, a dot with a diameter of 50 nm or less, Pattern), pattern collapse or the like occurred, and sufficient resolution was not obtained.

본 발명의 목적은, 상기 과제를 감안하여, 극미세의 패턴(예를 들면, 직경 50nm 이하의 도트 패턴) 형성 시에, 감도가 높고, 또한 해상력이 높은 패턴 형성 방법, 전자 디바이스의 제조 방법, 및 레지스트 조성물을 제공하는 것에 있다.SUMMARY OF THE INVENTION An object of the present invention is to provide a pattern forming method, a method of manufacturing an electronic device, a method of manufacturing an electronic device, and a method of manufacturing the same, which have high sensitivity and high resolution at the time of forming a very fine pattern (for example, a dot pattern with a diameter of 50 nm or less) And a resist composition.

본 발명자들은, 예의 검토한 결과, 고극성의 반복 단위를 갖는 수지와, 활성광선 또는 방사선의 조사에 의하여 산을 발생하는 화합물과, 용제를 조합한 레지스트 조성물을 이용하고, 유기 용제를 포함하는 현상액으로 현상하여 패턴을 형성하는 방법에 의하여, 상기 목적을 달성되는 것을 발견했다.As a result of intensive studies, the inventors of the present invention have found that a resist composition comprising a resin having a high-polarity repeating unit, a compound capable of generating an acid upon irradiation with an actinic ray or radiation and a solvent is used, To form a pattern, thereby achieving the above objects.

즉, 이하의 수단에 의하여 상기 과제를 해결할 수 있다.That is, the above problems can be solved by the following means.

[1][One]

(A) 하기 일반식 (1)로 나타나며, ClogP값이 2.2 이하인 반복 단위를 함유하고, 산의 작용에 의하여 유기 용제를 포함하는 현상액에 대한 용해도가 감소하는 수지와, (B) 활성광선 또는 방사선의 조사에 의하여 산을 발생하는 화합물과, (C) 용제를 함유하는 감활성광선성 또는 감방사선성 수지 조성물을 이용하여 막을 형성하는 공정 (1),(A) a resin represented by the following general formula (1) and containing a repeating unit having a ClogP value of 2.2 or less and having a reduced solubility in a developer containing an organic solvent by the action of an acid; (B) (1) a step of forming a film by using an actinic ray-sensitive or radiation-sensitive resin composition containing a compound capable of generating an acid upon irradiation with (C) a solvent,

상기 막을 활성광선 또는 방사선을 이용하여 노광하는 공정 (2), 및A step (2) of exposing the film using an actinic ray or radiation, and

상기 공정 (2)에 있어서 노광된 막을 유기 용제를 포함하는 현상액을 이용하여 현상하여, 네거티브형의 패턴을 형성하는 공정 (3)을 갖는, 패턴 형성 방법.And a step (3) of developing the exposed film in the step (2) using a developing solution containing an organic solvent to form a negative type pattern.

[화학식 1][Chemical Formula 1]

Figure pct00001
Figure pct00001

일반식 (1) 중, R1은 수소 원자, 알킬기, 또는 할로젠 원자를 나타내고, R2 및 R3은 각각 독립적으로, 수소 원자, 알킬기 또는 카복실기를 나타내며, L은 단결합 또는 2가의 연결기를 나타낸다. R2 또는 R3과 L은, 서로 연결되어 환을 형성해도 되고, 그 경우는 R2 및 R3 중 어느 한쪽이 2가의 연결기를 나타내며, L은 3가의 연결기를 나타낸다. Ar은 방향족기를 나타낸다. R4는 치환기를 나타내고, n은 0 이상의 정수를 나타낸다. R4가 복수 존재하는 경우, 복수의 R4는 동일해도 되고 달라도 된다.In formula (1), R 1 represents a hydrogen atom, an alkyl group or a halogen atom, R 2 and R 3 each independently represent a hydrogen atom, an alkyl group or a carboxyl group, L represents a single bond or a divalent linking group . R 2 or R 3 and L may be connected to each other to form a ring. In this case, either R 2 or R 3 represents a divalent linking group, and L represents a trivalent linking group. Ar represents an aromatic group. R 4 represents a substituent, and n represents an integer of 0 or more. When R 4 is present a plurality, the plurality of R 4 may be the same and different.

[2][2]

상기 수지 (A)가 산분해성기를 갖는 반복 단위를 포함하는, [1]에 기재된 패턴 형성 방법.The pattern forming method according to [1], wherein the resin (A) comprises a repeating unit having an acid-decomposable group.

[3][3]

상기 일반식 (1) 중의 R4 중 적어도 하나가 하이드록실기인, [1] 또는 [2]에 기재된 패턴 형성 방법.The pattern forming method according to [1] or [2], wherein at least one of R 4 in the general formula (1) is a hydroxyl group.

[4][4]

상기 일반식 (1)이 하기 일반식 (2)로 나타나는, [1] 내지 [3] 중 어느 한 항에 기재된 패턴 형성 방법.The pattern forming method according to any one of [1] to [3], wherein the general formula (1) is represented by the following general formula (2).

[화학식 2](2)

Figure pct00002
Figure pct00002

일반식 (2) 중, R1은 수소 원자, 알킬기, 또는 할로젠 원자를 나타내고, R2 및 R3은 각각 독립적으로, 수소 원자, 알킬기 또는 카복실기를 나타내며, L은 단결합 또는 2가의 연결기를 나타낸다. R2 또는 R3과 L은, 서로 연결되어 환을 형성해도 되고, 그 경우는 R2 및 R3 중 어느 한쪽이 2가의 연결기를 나타내며, L은 3가의 연결기를 나타낸다. R4는 치환기를 나타내고, n2는 0~4의 정수를 나타낸다. R4가 복수 존재하는 경우, 복수의 R4는 동일해도 되고 달라도 된다.In formula (2), R 1 represents a hydrogen atom, an alkyl group or a halogen atom, R 2 and R 3 each independently represent a hydrogen atom, an alkyl group or a carboxyl group, L represents a single bond or a divalent linking group . R 2 or R 3 and L may be connected to each other to form a ring. In this case, either R 2 or R 3 represents a divalent linking group, and L represents a trivalent linking group. R 4 represents a substituent, and n 2 represents an integer of 0 to 4. When R 4 is present a plurality, the plurality of R 4 may be the same and different.

[5][5]

상기 일반식 (1)이 하기 일반식 (3)으로 나타나는, [1] 내지 [4] 중 어느 한 항에 기재된 패턴 형성 방법.The pattern forming method according to any one of [1] to [4], wherein the general formula (1) is represented by the following general formula (3)

[화학식 3](3)

Figure pct00003
Figure pct00003

일반식 (3) 중, R1은 수소 원자, 알킬기, 또는 할로젠 원자를 나타내고, L은 단결합 또는 2가의 연결기를 나타낸다. R4는 치환기를 나타낸다. n2는 0~4의 정수를 나타낸다. R4가 복수 존재하는 경우, 복수의 R4는 동일해도 되고 달라도 된다.In the general formula (3), R 1 represents a hydrogen atom, an alkyl group, or a halogen atom, and L represents a single bond or a divalent linking group. R 4 represents a substituent. n 2 represents an integer of 0 to 4; When R 4 is present a plurality, the plurality of R 4 may be the same and different.

[6][6]

상기 일반식 (1)이 하기 일반식 (4)로 나타나는, [1] 내지 [4] 중 어느 한 항에 기재된 패턴 형성 방법.The pattern forming method according to any one of [1] to [4], wherein the general formula (1) is represented by the following general formula (4)

[화학식 4][Chemical Formula 4]

Figure pct00004
Figure pct00004

일반식 (4) 중, A는 단결합 또는 2가의 연결기를 나타낸다. R4는 치환기를 나타낸다. n3은 0~4의 정수를 나타낸다. R4가 복수 존재하는 경우, 복수의 R4는 동일해도 되고 달라도 된다.In the general formula (4), A represents a single bond or a divalent linking group. R 4 represents a substituent. n 3 represents an integer of 0 to 4; When R 4 is present a plurality, the plurality of R 4 may be the same and different.

[7][7]

상기 일반식 (4)가 하기 일반식 (4a)로 나타나는, [6]에 기재된 패턴 형성 방법.The pattern forming method according to [6], wherein the general formula (4) is represented by the following general formula (4a).

[화학식 5][Chemical Formula 5]

Figure pct00005
Figure pct00005

일반식 (4a) 중, R4는 치환기를 나타낸다. p는 0~4의 정수를 나타내고, n3은 0~4의 정수를 나타낸다. R4가 복수 존재하는 경우, 복수의 R4는 동일해도 되고 달라도 된다.In the general formula (4a), R 4 represents a substituent. p represents an integer of 0 to 4, and n 3 represents an integer of 0 to 4. When R 4 is present a plurality, the plurality of R 4 may be the same and different.

[8][8]

상기 R4가, 하이드록실기, 하이드록시알킬기, 카복실기, 설폰산기, 알킬기, 알콕시기, 아실기, 하기 일반식 (N1)로 나타나는 기, 하기 일반식 (N2)로 나타나는 기, 하기 일반식 (S1)로 나타나는 기, 또는 하기 일반식 (S2)로 나타나는 기를 나타내는, [1] 내지 [7] 중 어느 한 항에 기재된 패턴 형성 방법.Wherein R 4 is a group represented by the following formula (N1), a group represented by the following formula (N2), a group represented by the following formula The pattern forming method according to any one of [1] to [7], wherein the group represented by formula (S1) represents a group represented by formula (S2)

[화학식 6][Chemical Formula 6]

Figure pct00006
Figure pct00006

일반식 (N1) 중, RN1 및 RN2는 각각 독립적으로, 수소 원자 또는 치환기를 나타낸다. *는 벤젠환에 결합하는 결합손을 나타낸다.In the general formula (N1), R N1 and R N2 each independently represent a hydrogen atom or a substituent. * Represents the bonding hands bonding to the benzene ring.

일반식 (N2) 중, RN3은 치환기를 나타내고, RN4는 수소 원자 또는 치환기를 나타낸다. *는 벤젠환에 결합하는 결합손을 나타낸다.In the general formula (N2), R N3 represents a substituent and R N4 represents a hydrogen atom or a substituent. * Represents the bonding hands bonding to the benzene ring.

[화학식 7](7)

Figure pct00007
Figure pct00007

일반식 (S1) 중, RS1은 치환기를 나타낸다. *는 벤젠환에 결합하는 결합손을 나타낸다.In the general formula (S1), R S1 represents a substituent. * Represents the bonding hands bonding to the benzene ring.

일반식 (S2) 중, RS4는 치환기를 나타내고, RS5는 수소 원자 또는 치환기를 나타낸다. *는 벤젠환에 결합하는 결합손을 나타낸다.In the general formula (S2), R S4 represents a substituent, and R S5 represents a hydrogen atom or a substituent. * Represents the bonding hands bonding to the benzene ring.

[9][9]

상기 R4가, 하이드록실기, 하이드록시메틸기, 카복실기, 상기 일반식 (S1)로 나타나는 기, 또는 상기 일반식 (S2)로 나타나는 기인, [1] 내지 [8] 중 어느 한 항에 기재된 패턴 형성 방법.The compound according to any one of [1] to [8], wherein R 4 is a hydroxyl group, a hydroxymethyl group, a carboxyl group, a group represented by the general formula (S1) Pattern formation method.

[10][10]

상기 n2가 1 또는 2인, [4] 또는 [5]에 기재된 패턴 형성 방법.Method of forming patterns according to the n 2 is 1 or 2, [4] or [5].

[11][11]

상기 n3이 0~2의 정수인, [6] 또는 [7]에 기재된 패턴 형성 방법.The pattern forming method according to [6] or [7], wherein the n 3 is an integer of 0 to 2.

[12][12]

상기 화합물 (B)가 설포늄염인, [1] 내지 [11] 중 어느 한 항에 기재된 패턴 형성 방법.The pattern forming method according to any one of [1] to [11], wherein the compound (B) is a sulfonium salt.

[13][13]

상기 화합물 (B)는, 발생하는 산의 체적이 130Å3 이상 2000Å3 이하인, [12]에 기재된 패턴 형성 방법.The compound (B) is the volume of the acid generated 130Å 2000Å 3 or more 3 or less, pattern formation method described in [12].

[14][14]

상기 수지 (A)가 락톤기를 갖는 반복 단위를 더 포함하는, [1] 내지 [13] 중 어느 한 항에 기재된 패턴 형성 방법.The pattern forming method according to any one of [1] to [13], wherein the resin (A) further comprises a repeating unit having a lactone group.

[15][15]

[1] 내지 [14] 중 어느 한 항에 기재된 패턴 형성 방법을 포함하는 전자 디바이스의 제조 방법.A method of manufacturing an electronic device comprising the pattern forming method according to any one of [1] to [14].

[16][16]

하기 일반식 (4)로 나타나는 반복 단위를 갖는 수지를 포함하는 레지스트 조성물.A resist composition comprising a resin having a repeating unit represented by the following general formula (4).

[화학식 8][Chemical Formula 8]

Figure pct00008
Figure pct00008

일반식 (4) 중, A는 단결합 또는 2가의 연결기를 나타낸다. R4는 치환기를 나타낸다. n3은 0~4의 정수를 나타낸다. R4가 복수 존재하는 경우, 복수의 R4는 동일해도 되고 달라도 된다.In the general formula (4), A represents a single bond or a divalent linking group. R 4 represents a substituent. n 3 represents an integer of 0 to 4; When R 4 is present a plurality, the plurality of R 4 may be the same and different.

[17][17]

상기 일반식 (4)가 하기 일반식 (4a)로 나타나는, [16]에 기재된 레지스트 조성물.The resist composition according to [16], wherein the formula (4) is represented by the following formula (4a).

[화학식 9][Chemical Formula 9]

Figure pct00009
Figure pct00009

일반식 (4a) 중, R4는 치환기를 나타낸다. p는 0~4의 정수를 나타내고, n3은 0~4의 정수를 나타낸다. R4가 복수 존재하는 경우, 복수의 R4는 동일해도 되고 달라도 된다.In the general formula (4a), R 4 represents a substituent. p represents an integer of 0 to 4, and n 3 represents an integer of 0 to 4. When R 4 is present a plurality, the plurality of R 4 may be the same and different.

[18][18]

상기 R4가, 하이드록실기, 하이드록시알킬기, 카복실기, 설폰산기, 알킬기, 알콕시기, 아실기, 하기 일반식 (N1)로 나타나는 기, 하기 일반식 (N2)로 나타나는 기, 하기 일반식 (S1)로 나타나는 기, 또는 하기 일반식 (S2)로 나타나는 기를 나타내는, [16] 또는 [17]에 기재된 레지스트 조성물.Wherein R 4 is a group represented by the following formula (N1), a group represented by the following formula (N2), a group represented by the following formula The resist composition according to [16] or [17], wherein the group represented by the formula (S1) or the group represented by the following formula (S2)

[화학식 10][Chemical formula 10]

Figure pct00010
Figure pct00010

일반식 (N1) 중, RN1 및 RN2는 각각 독립적으로, 수소 원자 또는 치환기를 나타낸다. *는 벤젠환에 결합하는 결합손을 나타낸다.In the general formula (N1), R N1 and R N2 each independently represent a hydrogen atom or a substituent. * Represents the bonding hands bonding to the benzene ring.

일반식 (N2) 중, RN3은 치환기를 나타내고, RN4는 수소 원자 또는 치환기를 나타낸다. *는 벤젠환에 결합하는 결합손을 나타낸다.In the general formula (N2), R N3 represents a substituent and R N4 represents a hydrogen atom or a substituent. * Represents the bonding hands bonding to the benzene ring.

[화학식 11](11)

Figure pct00011
Figure pct00011

일반식 (S1) 중, RS1은 치환기를 나타낸다. *는 벤젠환에 결합하는 결합손을 나타낸다.In the general formula (S1), R S1 represents a substituent. * Represents the bonding hands bonding to the benzene ring.

일반식 (S2) 중, RS4는 치환기를 나타내고, RS5는 수소 원자 또는 치환기를 나타낸다. *는 벤젠환에 결합하는 결합손을 나타낸다.In the general formula (S2), R S4 represents a substituent, and R S5 represents a hydrogen atom or a substituent. * Represents the bonding hands bonding to the benzene ring.

본 발명에 의하여, 극미세의 패턴(예를 들면, 선폭 50nm 이하의 라인 앤드 스페이스 패턴, 직경 50nm 이하의 도트 패턴) 형성 시에, 감도가 높고, 또한 해상력이 높은 패턴 형성 방법, 전자 디바이스의 제조 방법, 및 레지스트 조성물을 제공할 수 있다.According to the present invention, it is possible to provide a method of forming a pattern having high sensitivity and high resolution at the time of forming a very fine pattern (for example, a line and space pattern having a line width of 50 nm or less and a dot pattern having a diameter of 50 nm or less) Method, and a resist composition.

이하에, 본 발명을 실시하기 위한 형태의 일례를 설명한다.Hereinafter, an example of a mode for carrying out the present invention will be described.

또한, 본 명세서에 있어서 "~"를 이용하여 나타나는 수치 범위는, "~"의 전후에 기재되는 수치를 하한값 및 상한값으로서 포함하는 범위를 의미한다.In the present specification, the numerical range indicated by using "~ " means a range including numerical values described before and after" to "as a lower limit value and an upper limit value.

본 발명에 있어서 "활성광선" 또는 "방사선"이란, 예를 들면 수은등의 휘선 스펙트럼, 엑시머 레이저로 대표되는 원자외선, 극자외선, X선, 전자선 등을 의미한다. 또, 본 발명에 있어서 "광"이란, 활성광선 또는 방사선을 의미한다. 본 명세서 중에 있어서의 "노광"이란, 특별히 설명하지 않는 한, 수은등의 휘선 스펙트럼, 엑시머 레이저로 대표되는 원자외선, X선, 극자외선(EUV광) 등에 의한 노광뿐만 아니라, EB(전자선) 및 이온빔 등의 입자선에 의한 묘화도 노광에 포함시킨다.In the present invention, "actinic ray" or "radiation" means, for example, a line spectrum of a mercury lamp, far ultraviolet ray, extreme ultraviolet ray, X-ray or electron ray typified by an excimer laser. In the present invention, "light" means an actinic ray or radiation. The term "exposure" in this specification refers to not only exposure by a bright line spectrum of a mercury lamp, deep ultraviolet ray represented by an excimer laser, X-ray, extreme ultraviolet ray (EUV light) Or the like is also included in the exposure.

또한, 본 명세서에 있어서의 기(원자단)의 표기에 있어서, 치환 또는 무치환을 기재하지 않은 표기는, 치환기를 갖지 않는 것에 더하여 치환기를 갖는 것도 포함하는 것이다. 예를 들면, "알킬기"란, 치환기를 갖지 않는 알킬기(무치환 알킬기)뿐만 아니라, 치환기를 갖는 알킬기(치환 알킬기)도 포함하는 것이다.In the notation of the group (atomic group) in the present specification, the notation in which substitution or non-substitution is not described includes those having a substituent in addition to a substituent. For example, the "alkyl group" includes not only an alkyl group having no substituent (an unsubstituted alkyl group) but also an alkyl group having a substituent (substituted alkyl group).

본 명세서에서는, "(메트)아크릴계 모노머"란, "CH2=CH-CO-" 또는 "CH2=C(CH3)-CO-"의 구조를 갖는 모노머 중 적어도 1종을 의미한다. 마찬가지로 "(메트)아크릴레이트" 및 "(메트)아크릴산"이란, 각각 "아크릴레이트 및 메타크릴레이트 중 적어도 1종"과 "아크릴산 및 메타크릴산 중 적어도 1종"을 의미한다.In this specification, "(meth) acryl-based monomer" is "CH 2 = CH-CO-" or refers to at least one of a monomer having a structure of "CH 2 = C (CH 3 ) -CO-". Similarly, the terms "(meth) acrylate" and "(meth) acrylic acid" mean "at least one of acrylate and methacrylate" and "at least one of acrylic acid and methacrylic acid", respectively.

본 명세서에 있어서, 수지의 중량 평균 분자량은, GPC(젤 퍼미에이션 크로마토그래피)법에 의하여 측정한 폴리스타이렌 환산값이다. GPC는, HLC-8120(도소(주)제)을 이용하여, 칼럼으로서 TSK gel Multipore HXL-M(도소(주)제, 7.8mmID×30.0cm)을, 용리액으로서 THF(테트라하이드로퓨란)를 이용한 방법에 준할 수 있다.In the present specification, the weight average molecular weight of the resin is a polystyrene reduced value measured by GPC (Gel Permeation Chromatography). GPC was prepared by using TSK gel Multipore HXL-M (manufactured by Tosoh Corporation, 7.8 mm ID x 30.0 cm) as a column and THF (tetrahydrofuran) as an eluent using HLC-8120 (manufactured by TOSOH CORPORATION) You can follow the method.

[패턴 형성 방법][Pattern formation method]

먼저, 본 발명의 패턴 형성 방법을 설명한다.First, the pattern forming method of the present invention will be described.

본 발명의 패턴 형성 방법은,The pattern forming method of the present invention comprises:

(A) 하기 일반식 (1)로 나타나며, ClogP값이 2.2 이하인 반복 단위를 함유하고, 산의 작용에 의하여 유기 용제를 포함하는 현상액에 대한 용해도가 감소하는 수지와, (B) 활성광선 또는 방사선의 조사에 의하여 산을 발생하는 화합물과, (C) 용제를 함유하는 감활성광선성 또는 감방사선성 수지 조성물을 이용하여 막을 형성하는 공정 (1),(A) a resin represented by the following general formula (1) and containing a repeating unit having a ClogP value of 2.2 or less and having a reduced solubility in a developer containing an organic solvent by the action of an acid; (B) (1) a step of forming a film by using an actinic ray-sensitive or radiation-sensitive resin composition containing a compound capable of generating an acid upon irradiation with (C) a solvent,

상기 막을 활성광선 또는 방사선을 이용하여 노광하는 공정 (2), 및A step (2) of exposing the film using an actinic ray or radiation, and

상기 공정 (2)에 있어서 노광된 막을 유기 용제를 포함하는 현상액을 이용하여 현상하여, 네거티브형의 패턴을 형성하는 공정 (3)을 갖는, 패턴 형성 방법이다.And a step (3) of developing the exposed film in the step (2) using a developer containing an organic solvent to form a negative pattern.

[화학식 12][Chemical Formula 12]

Figure pct00012
Figure pct00012

일반식 (1) 중, R1은 수소 원자, 알킬기, 또는 할로젠 원자를 나타내고, R2 및 R3은 각각 독립적으로, 수소 원자, 알킬기 또는 카복실기를 나타내며, L은 단결합 또는 2가의 연결기를 나타낸다. R2 또는 R3과 L은, 서로 연결되어 환을 형성해도 되고, 그 경우는 R2 및 R3 중 어느 한쪽이 2가의 연결기를 나타내며, L은 3가의 연결기를 나타낸다. Ar은 방향족기를 나타낸다. R4는 치환기를 나타내고, n은 0 이상의 정수를 나타낸다. R4가 복수 존재하는 경우, 복수의 R4는 동일해도 되고 달라도 된다.In formula (1), R 1 represents a hydrogen atom, an alkyl group or a halogen atom, R 2 and R 3 each independently represent a hydrogen atom, an alkyl group or a carboxyl group, L represents a single bond or a divalent linking group . R 2 or R 3 and L may be connected to each other to form a ring. In this case, either R 2 or R 3 represents a divalent linking group, and L represents a trivalent linking group. Ar represents an aromatic group. R 4 represents a substituent, and n represents an integer of 0 or more. When R 4 is present a plurality, the plurality of R 4 may be the same and different.

본 발명의 패턴 형성 방법은, 극미세의 패턴(예를 들면, 직경 50nm 이하의 도트 패턴) 형성 시에, 감도가 높고, 또한 해상력이 높다. 그 이유는 확실하지 않지만, 이하와 같이 추정된다.The pattern forming method of the present invention has high sensitivity and high resolution when forming a very fine pattern (for example, a dot pattern with a diameter of 50 nm or less). The reason for this is not clear, but it is estimated as follows.

극미세한 패턴 형성을 할 수 없게 되는 주요인은, 패턴 도괴(倒壞)나 패턴의 붕괴이며, 그들 현상은, 현상액이 패턴 내부에 침투하여 패턴이 팽윤함으로써 발생한다. 수지 중에, 고극성의 반복 단위를 포함함으로써, 수지와 유기 용제를 포함하는 현상액과의 친화성이 낮아져, 유기 용제를 포함하는 현상액이 패턴에 침투하기 어려워지기 때문에, 팽윤이 억제되는 것은 생각할 수 있다. 그러나, 라인 앤드 스페이스의 패턴보다 기판과의 접착 면적이 적은 도트 패턴에 있어서는, 현상액의 침투에 의한 팽윤의 영향은 더 크고, 간단히 고극성의 반복 단위를 포함하는 수지를 이용하는 것만으로는, 통상 해결할 수 없다. 본 발명에 의하여 그 과제가 해결된 이유는 확실하지 않지만, 본 발명에 의한 고극성의 반복 단위는, 특이적으로 기판 표면과의 상호 작용이 강하여, 기판에 대한 밀착성이 강화되었다고 추정하고 있다.The main reasons that a very fine pattern can not be formed are pattern collapse and collapse of the pattern, and these phenomena occur when the developer penetrates into the pattern and swells the pattern. By including a high-polarity repeating unit in the resin, the affinity between the resin and a developer containing an organic solvent is lowered, and it is conceivable that swelling is suppressed because a developer containing an organic solvent hardly penetrates into the pattern . However, in a dot pattern in which the adhesion area with the substrate is smaller than that of the line-and-space pattern, the influence of the swelling due to penetration of the developer is larger, and simply using a resin containing a high- I can not. The reason why the problem is solved by the present invention is not clear, but it is presumed that the high-polarity repeating unit according to the present invention has a strong interaction with the substrate surface specifically, thereby enhancing the adhesion to the substrate.

[감활성광선성 또는 감방사선성 수지 조성물][Sensitive actinic ray-sensitive or radiation-sensitive resin composition]

이하, 본 발명의 패턴 형성 방법에 이용되는 감활성광선성 또는 감방사선성 수지 조성물에 대하여 설명한다.Hereinafter, the actinic ray-sensitive or radiation-sensitive resin composition used in the pattern forming method of the present invention will be described.

본 발명의 패턴 형성 방법에 이용되는 감활성광선성 또는 감방사선성 수지 조성물은, 네거티브형의 현상(노광되면 현상액에 대하여 용해성이 감소하여, 노광부가 패턴으로서 남고, 미노광부가 제거되는 현상)에 이용된다. 또, 본 발명의 패턴 형성 방법에 이용되는 감활성광선성 또는 감방사선성 수지 조성물은, 유기 용제를 포함하는 현상액을 이용한 현상에 이용되는 유기 용제 현상용 감활성광선성 또는 감방사선성 수지 조성물이다. 여기에서, 유기 용제 현상용이란, 적어도, 유기 용제를 포함하는 현상액을 이용하여 현상하는 공정에 제공되는 용도를 의미한다.The actinic ray-sensitive or radiation-sensitive resin composition used in the pattern-forming method of the present invention is a negative-type development (a phenomenon in which solubility decreases with exposure to a developing solution and remains as an exposure part pattern and the unexposed part is removed) . Further, the actinic ray-sensitive or radiation-sensitive resin composition used in the pattern forming method of the present invention is a sensitizing actinic ray or radiation-sensitive resin composition for organic solvent development used for development using a developer containing an organic solvent . Here, the ease of organic solvent development refers to a use provided at least in a developing process using a developing solution containing an organic solvent.

또 상기 감활성광선성 또는 감방사선성 수지 조성물은, 전형적으로는 레지스트 조성물이며, 바람직하게는 화학 증폭형의 레지스트 조성물이다.The above active radiation-sensitive or radiation-sensitive resin composition is typically a resist composition, preferably a chemically amplified resist composition.

본 발명의 패턴 형성 방법에 이용되는 감활성광선성 또는 감방사선성 수지 조성물은, (A) 하기 일반식 (1)로 나타나며, ClogP값이 2.2 이하인 반복 단위를 함유하고, 산의 작용에 의하여 유기 용제를 포함하는 현상액에 대한 용해도가 감소하는 수지와, (B) 활성광선 또는 방사선의 조사에 의하여 산을 발생하는 화합물과, (C) 용제를 함유한다.(A) a repeating unit represented by the following general formula (1) and having a ClogP value of 2.2 or less and having a repeating unit having a ClogP value of 2.2 or less, (B) a compound which generates an acid upon irradiation with an actinic ray or radiation, and (C) a solvent.

본 발명에 있어서 이용되는 감활성광선성 또는 감방사선성 수지 조성물이 포함할 수 있는 추가적인 성분으로서는, 수지 (A) 이외의 수지, 염기성 화합물, 가교제, 계면활성제, 유기 카복실산, 및 카복실산 오늄염을 들 수 있다.Examples of the additional component that can be contained in the actinic ray-sensitive or radiation-sensitive resin composition used in the present invention include a resin other than the resin (A), a basic compound, a crosslinking agent, a surfactant, an organic carboxylic acid, and a carboxylic acid onium salt .

이하, 상술한 각 성분에 대하여, 순서대로 설명한다.Hereinafter, each of the above-described components will be described in order.

[수지 (A)][Resin (A)]

본 발명에 있어서, 수지 (A)는, 하기 일반식 (1)로 나타나며, ClogP값이 2.2 이하인 반복 단위를 함유하고, 산의 작용에 의하여 유기 용제를 포함하는 현상액에 대한 용해도가 감소하는 수지이다.In the present invention, the resin (A) is a resin represented by the following general formula (1) and containing a repeating unit having a ClogP value of 2.2 or less and having a reduced solubility in a developer containing an organic solvent by the action of an acid .

[화학식 13][Chemical Formula 13]

Figure pct00013
Figure pct00013

일반식 (1) 중, R1은 수소 원자, 알킬기, 또는 할로젠 원자를 나타내고, R2 및 R3은 각각 독립적으로, 수소 원자, 알킬기 또는 카복실기를 나타내며, L은 단결합 또는 2가의 연결기를 나타낸다. R2 또는 R3과 L은, 서로 연결되어 환을 형성해도 되고, 그 경우는 R2 및 R3 중 어느 한쪽이 2가의 연결기를 나타내며, L은 3가의 연결기를 나타낸다. Ar은 방향족기를 나타낸다. R4는 치환기를 나타내고, n은 0 이상의 정수를 나타낸다. R4가 복수 존재하는 경우, 복수의 R4는 동일해도 되고 달라도 된다.In formula (1), R 1 represents a hydrogen atom, an alkyl group or a halogen atom, R 2 and R 3 each independently represent a hydrogen atom, an alkyl group or a carboxyl group, L represents a single bond or a divalent linking group . R 2 or R 3 and L may be connected to each other to form a ring. In this case, either R 2 or R 3 represents a divalent linking group, and L represents a trivalent linking group. Ar represents an aromatic group. R 4 represents a substituent, and n represents an integer of 0 or more. When R 4 is present a plurality, the plurality of R 4 may be the same and different.

R1은 수소 원자, 알킬기, 또는 할로젠 원자를 나타낸다.R 1 represents a hydrogen atom, an alkyl group, or a halogen atom.

R1의 알킬기로서는, 탄소수 1~6의 알킬기가 바람직하고, 탄소수 1~4의 알킬기가 보다 바람직하며, 메틸기가 가장 바람직하다.The alkyl group represented by R 1 is preferably an alkyl group having 1 to 6 carbon atoms, more preferably an alkyl group having 1 to 4 carbon atoms, and most preferably a methyl group.

R1의 할로젠 원자로서는, 불소 원자, 염소 원자, 브로민 원자, 아이오딘 원자를 들 수 있다.Examples of the halogen atom of R 1 include a fluorine atom, a chlorine atom, a bromine atom and an iodine atom.

R1은, 수소 원자 또는 메틸기인 것이 바람직하다.R 1 is preferably a hydrogen atom or a methyl group.

L은 단결합 또는 2가의 연결기를 나타낸다. L로 나타나는 2가의 연결기로서는, 탄소수 6~18의 치환기를 가져도 되는 단환 혹은 다환의 방향환, -C(=O)-, -O-C(=O)-, -CH2-O-C(=O)-, 싸이오카보닐기, 직쇄상 혹은 분기상의 알킬렌기(바람직하게는 탄소수 1~10, 보다 바람직하게는 1~6), 직쇄상 혹은 분기상의 알켄일렌기(바람직하게는 탄소수 2~10, 보다 바람직하게는 2~6), 사이클로알킬렌기(바람직하게는 탄소수 3~10, 보다 바람직하게는 3~6), 설폰일기, -O-, -NH-, -S-, 환상 락톤 구조 또는 이들을 조합한 2가의 연결기(바람직하게는 총 탄소수 1~50, 보다 바람직하게는 총 탄소수 1~30, 더 바람직하게는 총 탄소수 1~20)를 들 수 있다.L represents a single bond or a divalent linking group. As the divalent linking group represented by L, monocyclic ring which may have a substituent having 6 to 18 or polycyclic aromatic ring, -C (= O) -, -OC (= O) -, -CH 2 -OC (= O) (Preferably having 1 to 10 carbon atoms, more preferably 1 to 6 carbon atoms), a linear or branched alkenylene group (preferably having 2 to 10 carbon atoms, more preferably 2 to 10 carbon atoms, (Preferably 3 to 10 carbon atoms, more preferably 3 to 6 carbon atoms), a sulfonyl group, -O-, -NH-, -S-, a cyclic lactone structure, or a combination thereof And a divalent linking group (preferably having 1 to 50 carbon atoms in total, more preferably 1 to 30 carbon atoms in total, and more preferably 1 to 20 carbon atoms in total).

L은, 단결합, -COO-, -CONH-, -O-, -OCO-, -NHCO-, -COOCH2-, -COOCH2CH2-, -CONHCH2-, 또는 -CONHCH2CH2-를 나타내는 것이 바람직하다.L is a single bond, -COO-, -CONH-, -O-, -OCO- , -NHCO-, -COOCH 2 -, -COOCH 2 CH 2 -, -CONHCH 2 -, or -CONHCH 2 CH 2 - .

L은 R2 또는 R3과, 서로 연결되어 환을 형성해도 되고, 그 경우의 L은 3가의 연결기를 나타낸다. 이 경우의 3가의 연결기로서는, 상기 2가의 연결기로부터 수소 원자를 하나 제거하여 이루어지는 기를 들 수 있으며, 특히 -CONH-LA-(LA는 2가의 연결기를 나타내고, 바람직하게는 후술하는 일반식 (LA)로 나타나는 2가의 연결기임)로 나타나는 2가의 연결기로부터 수소 원자를 하나 제거하여 이루어지는 기인 것이 바람직하다.L may be linked to R 2 or R 3 to form a ring, and L in this case represents a trivalent linking group. Examples of the trivalent linking group in this case include a group formed by removing one hydrogen atom from the bivalent linking group, particularly -CONH-L A - (wherein L A represents a divalent linking group, LA), which is a divalent linking group represented by the following formula (1).

R2 및 R3은, 각각 독립적으로, 수소 원자, 알킬기 또는 카복실기를 나타낸다.R 2 and R 3 each independently represent a hydrogen atom, an alkyl group or a carboxyl group.

R2 및 R3이, 알킬기를 나타내는 경우, 탄소수 1~4의 알킬기가 바람직하고, 메틸기가 보다 바람직하다.When R 2 and R 3 represent an alkyl group, an alkyl group having 1 to 4 carbon atoms is preferable, and a methyl group is more preferable.

R2 또는 R3과 L은, 서로 연결되어 환을 형성해도 되고, 그 경우는 R2 또는 R3은 2가의 연결기를 나타낸다. 이 경우의 2가의 연결기로서는, 카보닐기, 알킬렌기, -O-, -NH-, 또는 이들을 조합하여 이루어지는 2가의 연결기가 바람직하고, 카보닐기, 알킬렌기, -CONH-, 또는 이들을 조합하여 이루어지는 2가의 연결기가 보다 바람직하며, 카보닐기인 것이 더 바람직하다.R 2 or R 3 and L may be connected to each other to form a ring. In this case, R 2 or R 3 represents a divalent linking group. The divalent linking group in this case is preferably a carbonyl group, an alkylene group, -O-, -NH-, or a divalent linking group composed of a combination thereof, and is preferably a carbonyl group, an alkylene group, -CONH-, More preferably a carbonyl group, and more preferably a carbonyl group.

R2 및 R3은, 바람직하게는, 수소 원자 또는 L과 연결되어 환을 형성하는 경우이다.R 2 and R 3 are preferably a hydrogen atom or a linkage with L to form a ring.

Ar은 방향족기를 나타낸다. Ar로 나타나는 방향족기의 바람직한 예로서는, 벤젠환, 나프탈렌환, 안트라센환, 플루오렌환, 페난트렌환 등의 탄소수 6~18의 치환기를 갖고 있어도 되는 방향족 탄화 수소환, 또는 예를 들면 싸이오펜환, 퓨란환, 피롤환, 벤조싸이오펜환, 벤조퓨란환, 벤조피롤환, 트라이아진환, 이미다졸환, 벤즈이미다졸환, 트라이아졸환, 싸이아다이아졸환, 싸이아졸환 등의 방향족 헤테로환을 들 수 있다. Ar은 벤젠환 또는 나프탈렌환인 것이 보다 바람직하고, 벤젠환이 가장 바람직하다.Ar represents an aromatic group. Preferable examples of the aromatic group represented by Ar include an aromatic hydrocarbon ring which may have a substituent having 6 to 18 carbon atoms such as a benzene ring, a naphthalene ring, an anthracene ring, a fluorene ring and a phenanthrene ring, or an aromatic hydrocarbon ring such as a thiophene ring, An aromatic heterocycle such as a furan ring, a pyrrole ring, a benzothiophen ring, a benzofuran ring, a benzopyrrole ring, a triazin ring, an imidazole ring, a benzimidazole ring, a triazole ring, a thiadiazole ring, . Ar is more preferably a benzene ring or a naphthalene ring, and most preferably a benzene ring.

R4는 치환기를 나타내고, 적어도 하나는 하이드록실기인 것이 바람직하다.R 4 represents a substituent, and at least one of them is preferably a hydroxyl group.

R4는 하이드록실기, 하이드록시알킬기, 카복실기, 설폰산기, 알킬기, 알콕시기, 아실기, 하기 일반식 (N1)로 나타나는 기, 하기 일반식 (N2)로 나타나는 기, 하기 일반식 (S1)로 나타나는 기, 또는 하기 일반식 (S2)로 나타나는 기를 나타내는 것이 바람직하고, 하이드록실기, 하이드록시알킬기, 카복실기, 알킬기, 하기 일반식 (S1)로 나타나는 기, 또는 하기 일반식 (S2)로 나타나는 기를 나타내는 것이 보다 바람직하며, 하이드록실기, 하이드록시알킬기(바람직하게는 하이드록시메틸기), 카복실기, 상기 일반식 (S1)로 나타나는 기, 또는 상기 일반식 (S2)로 나타나는 기를 나타내는 것이 더 바람직하고, 하이드록실기, 카복실기, 또는 하이드록시메틸기를 나타내는 것이 특히 바람직하다.R 4 is a group represented by the following formula (N1), a group represented by the following formula (N2), a group represented by the following formula (S1), a group represented by the following formula A hydroxyl group, a carboxyl group, an alkyl group, a group represented by the following formula (S1), or a group represented by the following formula (S2), or a group represented by the following formula , More preferably a group represented by the formula (S2), a hydroxyl group, a hydroxyalkyl group (preferably a hydroxymethyl group), a carboxyl group, a group represented by the formula (S1) More preferably a hydroxyl group, a carboxyl group, or a hydroxymethyl group.

[화학식 14][Chemical Formula 14]

Figure pct00014
Figure pct00014

일반식 (N1) 중, RN1 및 RN2는 각각 독립적으로, 수소 원자 또는 치환기를 나타낸다. *는 벤젠환에 결합하는 결합손을 나타낸다.In the general formula (N1), R N1 and R N2 each independently represent a hydrogen atom or a substituent. * Represents the bonding hands bonding to the benzene ring.

일반식 (N2) 중, RN3은 치환기를 나타내고, RN4는 수소 원자 또는 치환기를 나타낸다. *는 벤젠환에 결합하는 결합손을 나타낸다.In the general formula (N2), R N3 represents a substituent and R N4 represents a hydrogen atom or a substituent. * Represents the bonding hands bonding to the benzene ring.

[화학식 15][Chemical Formula 15]

Figure pct00015
Figure pct00015

일반식 (S1) 중, RS1은 치환기를 나타낸다. *는 벤젠환에 결합하는 결합손을 나타낸다.In the general formula (S1), R S1 represents a substituent. * Represents the bonding hands bonding to the benzene ring.

일반식 (S2) 중, RS4는 치환기를 나타내고, RS5는 수소 원자 또는 치환기를 나타낸다. *는 벤젠환에 결합하는 결합손을 나타낸다.In the general formula (S2), R S4 represents a substituent, and R S5 represents a hydrogen atom or a substituent. * Represents the bonding hands bonding to the benzene ring.

일반식 (N1) 중, RN1 및 RN2는 각각 독립적으로, 수소 원자 또는 치환기를 나타내고, 수소 원자 또는 메틸기를 나타내는 것이 바람직하다.In the general formula (N1), R N1 and R N2 each independently represent a hydrogen atom or a substituent, preferably a hydrogen atom or a methyl group.

일반식 (N2) 중, RN3은 치환기를 나타내고, 메틸기를 나타내는 것이 바람직하다. RN4는 수소 원자 또는 치환기를 나타내고, 수소 원자를 나타내는 것이 바람직하다.In the general formula (N2), R N3 represents a substituent and preferably represents a methyl group. R N4 represents a hydrogen atom or a substituent, and preferably represents a hydrogen atom.

일반식 (S1) 중, RS1은 치환기를 나타내고, 메톡시기 또는 아미노기를 나타내는 것이 바람직하다. RS1이 아미노기를 나타내는 경우, 일반식 (S1)은 하기 일반식 (S3)으로 나타난다.In the general formula (S1), R S1 represents a substituent, and preferably represents a methoxy group or an amino group. When R S1 represents an amino group, the general formula (S1) is represented by formula (S3) to.

[화학식 16][Chemical Formula 16]

Figure pct00016
Figure pct00016

일반식 (S3) 중, RS2 및 RS3은 각각 독립적으로, 수소 원자 또는 치환기를 나타낸다. *는 벤젠환에 결합하는 결합손을 나타낸다.In the general formula (S3), R S2 and R S3 each independently represent a hydrogen atom or a substituent. * Represents the bonding hands bonding to the benzene ring.

일반식 (S3) 중, RS2 및 RS3은 각각 독립적으로, 수소 원자 또는 치환기를 나타내고, 수소 원자 또는 메틸기를 나타내는 것이 바람직하다.In the general formula (S3), R S2 and R S3 each independently represent a hydrogen atom or a substituent, preferably a hydrogen atom or a methyl group.

일반식 (S2) 중, RS4는 치환기를 나타내고, 메틸기를 나타내는 것이 바람직하다. RS5는 수소 원자 또는 치환기를 나타내고, 수소 원자를 나타내는 것이 바람직하다.In the general formula (S2), R S4 represents a substituent and preferably represents a methyl group. R S5 is a hydrogen atom or a substituent, preferably represents a hydrogen atom.

일반식 (1)에 있어서의 R1로서의 알킬기, R2 및 R3으로서의 알킬기, R4, L로서의 2가의 연결기, Ar은, 각각, 치환기를 갖고 있어도 된다. 이 치환기로서는, 알킬기(직쇄 또는 분기 중 어느 것이어도 되고, 탄소수 1~12가 바람직함), 알켄일기(탄소수 2~12가 바람직함), 알카인일기(탄소수 2~12가 바람직함), 사이클로알킬기(단환, 다환 중 어느 것이어도 되고 탄소수 3~12가 바람직함), 아릴기(탄소수 6~18이 바람직함), 하이드록시기, 알콕시기, 알킬 및 아릴옥시카보닐기, 카바모일기, 카바모일옥시기, 알킬 및 아릴옥시카보닐아미노기, 아실아미노기, 알킬 및 아릴싸이오기, 아미노카보닐아미노기, 설파모일기, 알킬 및 아릴설폰일아미노기, 할로젠 원자, 할로알킬기 및 알킬 및 아릴옥시설폰일기를 들 수 있다. 바람직한 예로서는, 알킬기, 사이클로알킬기, 할로젠 원자, 할로알킬기, 하이드록시기, 알콕시기, 아릴옥시기, 알킬 및 아릴옥시카보닐기, 아릴기를 들 수 있고, 더 바람직한 예로서는, 알킬기, 할로젠 원자, 하이드록시기, 알콕시기를 들 수 있다. 할로젠 원자로서는, 상기 R1에서 예로 든 것과 동일한 것을 들 수 있다.The alkyl group as R 1 in general formula (1), the alkyl group as R 2 and R 3 , the divalent linking group as R 4 and L, and Ar may each have a substituent. Examples of the substituent include an alkyl group (preferably a straight chain or a branched alkyl group having 1 to 12 carbon atoms), an alkenyl group (preferably having 2 to 12 carbon atoms), an alkynyl group (preferably having 2 to 12 carbon atoms) (Preferably having 3 to 12 carbon atoms), an aryl group (preferably having 6 to 18 carbon atoms), a hydroxyl group, an alkoxy group, an alkyl and aryloxycarbonyl group, a carbamoyl group, Alkyl and aryloxycarbonylamino groups, acylamino groups, alkyl and arylthio groups, aminocarbonylamino groups, sulfamoyl groups, alkyl and arylsulfonylamino groups, halogen atoms, haloalkyl groups and alkyl and aryloxaphone groups . Preferred examples thereof include an alkyl group, a cycloalkyl group, a halogen atom, a haloalkyl group, a hydroxyl group, an alkoxy group, an aryloxy group, an alkyl group and an aryloxycarbonyl group and an aryl group. More preferred examples thereof include an alkyl group, An alkoxy group, and the like. Examples of the halogen atom include the same ones as those exemplified above for R < 1 >.

상기 치환기는, 추가적인 치환기를 갖고 있어도 되고, 그 치환기로서는, 예를 들면 하이드록실기, 할로젠 원자(예를 들면, 불소 원자), 알킬기, 사이클로알킬기, 알콕시기, 카복실기, 알콕시카보닐기, 아릴기, 알콕시알킬기, 이들을 조합한 기를 들 수 있으며, 탄소수 8 이하가 바람직하다.The substituent may have an additional substituent. Examples of the substituent include a hydroxyl group, a halogen atom (e.g., a fluorine atom), an alkyl group, a cycloalkyl group, an alkoxy group, a carboxyl group, an alkoxycarbonyl group, An alkoxyalkyl group, and a group obtained by combining these groups. The number of carbon atoms is preferably 8 or less.

n은 0 이상의 정수를 나타내고, 바람직하게는 1~3의 정수를 나타낸다.n represents an integer of 0 or more, preferably an integer of 1 to 3;

상기 일반식 (1)은, 하기 일반식 (2)로 나타나는 것이 바람직하다.The above-mentioned general formula (1) is preferably represented by the following general formula (2).

[화학식 17][Chemical Formula 17]

Figure pct00017
Figure pct00017

일반식 (2) 중, R1은 수소 원자, 알킬기, 또는 할로젠 원자를 나타내고, R2 및 R3은 각각 독립적으로, 수소 원자, 알킬기 또는 카복실기를 나타내며, L은 단결합 또는 2가의 연결기를 나타낸다. R2 또는 R3과 L은, 서로 연결되어 환을 형성해도 되고, 그 경우는 R2 및 R3 중 어느 한쪽이 2가의 연결기를 나타내며, L은 3가의 연결기를 나타낸다. R4는 치환기를 나타내고, n2는 0~4의 정수를 나타낸다. R4가 복수 존재하는 경우, 복수의 R4는 동일해도 되고 달라도 된다.In formula (2), R 1 represents a hydrogen atom, an alkyl group or a halogen atom, R 2 and R 3 each independently represent a hydrogen atom, an alkyl group or a carboxyl group, L represents a single bond or a divalent linking group . R 2 or R 3 and L may be connected to each other to form a ring. In this case, either R 2 or R 3 represents a divalent linking group, and L represents a trivalent linking group. R 4 represents a substituent, and n 2 represents an integer of 0 to 4. When R 4 is present a plurality, the plurality of R 4 may be the same and different.

일반식 (2) 중, R1, R2, R3, R4 및 L은, 일반식 (1) 중의 R1, R2, R3, R4 및 L과 동의이며, 구체예 및 바람직한 범위도 동일하다.Formula (2) of, R 1, R 2, R 3, R 4 and L are represented by the general formula (1), in R 1, R 2, R 3, R 4 and L and copper, and specific examples and preferred ranges .

일반식 (2) 중, n2는 0~4의 정수를 나타내며, 0~2의 정수를 나타내는 것이 바람직하고, 1 또는 2를 나타내는 것이 보다 바람직하다.In the general formula (2), n 2 represents an integer of 0 to 4, preferably an integer of 0 to 2, more preferably 1 or 2.

일반식 (1)로 나타나는 반복 단위는, 하기 일반식 (3) 또는 (4)로 나타나는 것이 보다 바람직하다.The repeating unit represented by the general formula (1) is more preferably represented by the following general formula (3) or (4).

[화학식 18][Chemical Formula 18]

Figure pct00018
Figure pct00018

일반식 (3) 중, R1은 수소 원자, 알킬기, 또는 할로젠 원자를 나타내고, L은 단결합 또는 2가의 연결기를 나타낸다. R4는 치환기를 나타낸다. n2는 0~4의 정수를 나타낸다. R4가 복수 존재하는 경우, 복수의 R4는 동일해도 되고 달라도 된다.In the general formula (3), R 1 represents a hydrogen atom, an alkyl group, or a halogen atom, and L represents a single bond or a divalent linking group. R 4 represents a substituent. n 2 represents an integer of 0 to 4; When R 4 is present a plurality, the plurality of R 4 may be the same and different.

[화학식 19][Chemical Formula 19]

Figure pct00019
Figure pct00019

일반식 (4) 중, A는 단결합 또는 2가의 연결기를 나타낸다. R4는 치환기를 나타낸다. n3은 0~4의 정수를 나타낸다. R4가 복수 존재하는 경우, 복수의 R4는 동일해도 되고 달라도 된다.In the general formula (4), A represents a single bond or a divalent linking group. R 4 represents a substituent. n 3 represents an integer of 0 to 4; When R 4 is present a plurality, the plurality of R 4 may be the same and different.

일반식 (3) 중, R1, R4 및 L은, 일반식 (1) 중의 R1, R4 및 L과 동의이며, 구체예 및 바람직한 범위도 동일하다.In the general formula (3), R 1, R 4 and L are represented by the general formula (1), in R 1, R 4 and L and copper, are also the same embodiments, and a preferred range.

일반식 (3) 중, n2는 일반식 (2) 중의 n2와 동의이며, 바람직하게는 0~2이고, 보다 바람직하게는 1 또는 2이다.In the general formula (3), n 2 and n 2 is synonymous with the general formula (2), preferably 0 to 2, more preferably 1 or 2;

일반식 (4) 중, R4는, 일반식 (1) 중의 R4와 동의이며, 구체예 및 바람직한 범위도 동일하다.In the general formula (4), R 4 is synonymous with R 4 in the general formula (1), and specific examples and preferred ranges are also the same.

일반식 (4) 중, n3은 0~4의 정수를 나타내고, 바람직하게는 0~2이다.In the general formula (4), n 3 represents an integer of 0 to 4, preferably 0 to 2.

일반식 (4) 중, A는 단결합 또는 2가의 연결기를 나타내고, A는 단결합 또는 하기 일반식 (LA)로 나타나는 2가의 연결기인 것이 바람직하다.In the general formula (4), A represents a single bond or a divalent linking group, and A is a single bond or a divalent linking group represented by the following general formula (LA).

[화학식 20][Chemical Formula 20]

Figure pct00020
Figure pct00020

일반식 (LA) 중, A1은 알킬렌기 또는 아릴렌기를 나타내고, A2는 O, S, C=O, C(=O)-O, O-C(=O), NR, C(=O)-NR, NR-C(=O)(R은 수소 원자 또는 알킬기를 나타냄), 또는 단결합을 나타내며, na는 1 이상의 정수를 나타낸다. A1 및 A2가 복수 존재하는 경우, 복수의 A1 및 A2는 동일해도 되고 달라도 된다. *는 말레이미드의 질소 원자에 결합하는 결합손을 나타낸다.In formula (LA), A 1 represents an alkylene group or an arylene group, and A 2 represents O, S, C═O, C (═O) -O, OC (═O) -NR, NR-C (= O) (R represents a hydrogen atom or an alkyl group), or a single bond, and na represents an integer of 1 or more. When A 1 and A 2 are a plurality is present, a plurality of A 1 and A 2 may be the same and different. * Represents the bonding hands bonding to the nitrogen atom of maleimide.

A1은 알킬렌기인 것이 바람직하고, A2는 O, C(=O)-O, O-C(=O), 또는 단결합인 것이 바람직하며, na는 1~4의 정수인 것이 바람직하다.A 1 is preferably an alkylene group, and A 2 is preferably O, C (= O) -O, OC (= O), or a single bond, and na is preferably an integer of 1 to 4.

일반식 (4)는, 하기 일반식 (4a)로 나타나는 것이 바람직하다.The formula (4) is preferably represented by the following formula (4a).

[화학식 21][Chemical Formula 21]

Figure pct00021
Figure pct00021

일반식 (4a) 중, R4는 치환기를 나타낸다. p는 0~4의 정수를 나타내고, n2는 0~4의 정수를 나타낸다. R4가 복수 존재하는 경우, 복수의 R4는 동일해도 되고 달라도 된다.In the general formula (4a), R 4 represents a substituent. p represents an integer of 0 to 4, and n 2 represents an integer of 0 to 4; When R 4 is present a plurality, the plurality of R 4 may be the same and different.

일반식 (4a) 중, R4는, 일반식 (1) 중의 R4와 동의이며, 구체예 및 바람직한 범위도 동일하다.In the general formula (4a), R 4 is synonymous with R 4 in the general formula (1), and specific examples and preferred ranges are also the same.

일반식 (4a) 중, n3은 일반식 (4) 중의 n3과 동의이며, 바람직하게는 0~2이다.In the general formula (4a), n 3 and n 3 is an agreement in the general formula (4), and preferably 0-2.

일반식 (4a) 중, p는 0~4의 정수를 나타내고, 0~2의 정수를 나타내는 것이 바람직하다.In the general formula (4a), p represents an integer of 0 to 4, preferably an integer of 0 to 2.

수지 (A)는, 유기 용제를 포함하는 현상액과의 친화성을 낮춰, 패턴으로의 침투를 억제한다는 관점에서, ClogP값이 2.2 이하인 것이 필요하며, 2.0 이하인 것이 바람직하고, 1.8 이하인 것이 특히 바람직하다. 또, 미노광부의 현상액 용해성을 향상시켜, 해상성을 향상시키기 위하여, ClogP값이 -0.2 이상인 것이 바람직하고, -0.06 이상인 것이 보다 바람직하다.The resin (A) needs to have a ClogP value of 2.2 or less, preferably 2.0 or less, and particularly preferably 1.8 or less, from the viewpoint of lowering the affinity with a developer containing an organic solvent and suppressing penetration into a pattern . In order to improve the solubility of the unexposed portion in the developing solution and to improve the resolution, the ClogP value is preferably -0.2 or more, more preferably -0.06 or more.

일반적으로, logP값은, n-옥탄올과 물을 이용하여 실측에 의하여 구할 수도 있지만, 본 발명에 있어서는, logP값 추산 프로그램으로부터 산출되는 분배 계수(ClogP값)를 사용한다. 구체적으로는, 본 명세서에 있어서의 "ClogP값"은, "Chem Bio Draw ultra ver. 12"로부터 구해지는 ClogP값을 가리킨다.Generally, the logP value can be obtained by actual measurement using n-octanol and water, but in the present invention, the distribution coefficient (ClogP value) calculated from the logP value estimation program is used. Specifically, the "ClogP value" in the present specification refers to the ClogP value obtained from "Chem Bio Draw ultra ver. 12".

본 명세서에 있어서의 반복 단위의 ClogP값은, 반복 단위의 양 말단을 메틸기로 한 화합물에 대하여, 상기 방법으로 계산한 값으로 한다.The ClogP value of the repeating unit in the present specification is a value calculated by the above method for a compound in which both terminals of the repeating unit are methyl groups.

일반식 (1), (2), (3), (4) 또는 (4a)로 나타나는 반복 단위의 함유율은, 브리지나 잔사와 현상액 친화성의 양립의 관점에서, 수지 (A)에 포함되는 전체 반복 단위에 대하여, 2~70몰%인 것이 바람직하고, 5~50몰%인 것이 보다 바람직하며, 5~30몰%인 것이 특히 바람직하다.The content ratio of the repeating unit represented by the general formula (1), (2), (3), (4) or (4a) Is preferably 2 to 70 mol%, more preferably 5 to 50 mol%, and particularly preferably 5 to 30 mol%, based on the total amount of the copolymer.

수지 (A)에 포함되는 일반식 (1), (2), (3), (4) 또는 (4a)로 나타나는 반복 단위는, 1종류여도 되고 2종류 이상이어도 된다.The repeating unit represented by the general formula (1), (2), (3), (4) or (4a) contained in the resin (A) may be one kind or two or more kinds.

수지 (A)는, 미노광부에 있어서 충분한 현상액 용해성을 확보하는 관점에서, 비이온성인 것이 바람직하다.From the viewpoint of securing a sufficient developer solubility in the unexposed portion, the resin (A) is preferably nonionic.

일반식 (1), (2), (3), (4) 또는 (4a)로 나타나는 반복 단위의 구체예로서는, 하기 구조를 들 수 있다. 또한, 예에는, 상기 방법에 의하여 구한 ClogP값을 부기하고 있다.Specific examples of the repeating unit represented by the general formula (1), (2), (3), (4) or (4a) include the following structures. Also, in the example, the ClogP value obtained by the above method is added.

[화학식 22][Chemical Formula 22]

Figure pct00022
Figure pct00022

[화학식 23](23)

Figure pct00023
Figure pct00023

[화학식 24]≪ EMI ID =

Figure pct00024
Figure pct00024

수지 (A)는, 또한 ClogP값이 2.2보다 큰 반복 단위를 함유하고 있어도 되고, ClogP값이 2.2보다 큰, 페놀성 수산기를 갖는 반복 단위를 함유하고 있어도 된다.The resin (A) may contain a repeating unit having a ClogP value of more than 2.2 or a repeating unit having a ClogP value of more than 2.2, which has a phenolic hydroxyl group.

ClogP값이 2.2보다 큰 반복 단위, 또는 ClogP값이 2.2보다 큰 페놀성 수산기를 갖는 반복 단위의 함유량은, 수지 (A) 중의 전체 반복 단위에 대하여, 0~50몰%가 바람직하고, 보다 바람직하게는 0~45몰%, 더 바람직하게는 0~40몰%이다.The content of the repeating unit having a ClogP value of more than 2.2 or the repeating unit having a phenolic hydroxyl group having a ClogP value of more than 2.2 is preferably from 0 to 50 mol% Is 0 to 45 mol%, more preferably 0 to 40 mol%.

수지 (A)는, 산의 작용에 의하여 유기 용제를 포함하는 현상액에 대한 용해도가 감소하는 수지이다.Resin (A) is a resin whose solubility in a developer containing an organic solvent decreases due to the action of an acid.

수지 (A)는, 산분해성기를 갖는 반복 단위를 포함하는 것이 바람직하고, 산의 작용에 의하여 분해되어 카복실기를 발생하는 기를 갖는 반복 단위를 갖는 것이 바람직하다.The resin (A) preferably contains a repeating unit having an acid-decomposable group, and it is preferable that the resin (A) has a repeating unit having a group which is decomposed by the action of an acid to generate a carboxyl group.

또한, 산의 작용에 의하여 분해되어 카복실기를 갖는 반복 단위를 가지면, 산의 작용에 의하여 알칼리 현상액에 대한 용해도가 증대하여, 유기 용제에 대한 용해도가 감소한다.Further, when the resin has a repeating unit having a carboxyl group by decomposition by the action of an acid, the solubility in an alkaline developer increases due to the action of an acid, and the solubility in an organic solvent decreases.

산의 작용에 의하여 분해되어 카복실기를 발생하는 기를 갖는 반복 단위는, 카복실기의 수소 원자가 산의 작용에 의하여 분해되어 탈리되는 기로 치환된 기를 갖는 반복 단위이다.The repeating unit having a group which is decomposed by the action of an acid and which generates a carboxyl group is a repeating unit having a group substituted with a group in which the hydrogen atom of the carboxyl group is decomposed and cleaved by the action of an acid.

산으로 탈리되는 기로서는, 예를 들면 -C(R36)(R37)(R38), -C(R36)(R37)(OR39), -C(R01)(R02)(OR39) 등을 들 수 있다.The group is eliminated with an acid, for example, -C (R 36) (R 37 ) (R 38), -C (R 36) (R 37) (OR 39), -C (R 01) (R 02) (OR 39 ), and the like.

식 중, R36~R39는, 각각 독립적으로, 알킬기, 사이클로알킬기, 아릴기, 아랄킬기 또는 알켄일기를 나타낸다. R36과 R37은, 서로 결합하여 환을 형성해도 된다.In the formulas, R 36 to R 39 each independently represent an alkyl group, a cycloalkyl group, an aryl group, an aralkyl group or an alkenyl group. R 36 and R 37 may be bonded to each other to form a ring.

R01 및 R02는, 각각 독립적으로, 수소 원자, 알킬기, 사이클로알킬기, 아릴기, 아랄킬기 또는 알켄일기를 나타낸다.R 01 and R 02 each independently represent a hydrogen atom, an alkyl group, a cycloalkyl group, an aryl group, an aralkyl group or an alkenyl group.

산의 작용에 의하여 분해되어 카복실기를 발생하는 기를 갖는 반복 단위로서는, 하기 일반식 (AI)로 나타나는 반복 단위가 바람직하다.As the repeating unit having a group capable of decomposing by the action of an acid and generating a carboxyl group, a repeating unit represented by the following general formula (AI) is preferable.

[화학식 25](25)

Figure pct00025
Figure pct00025

일반식 (AI)에 있어서,In the general formula (AI)

Xa1은, 수소 원자, 또는 알킬기를 나타낸다.Xa 1 represents a hydrogen atom or an alkyl group.

T는, 단결합 또는 2가의 연결기를 나타낸다.T represents a single bond or a divalent linking group.

Rx1~Rx3은, 각각 독립적으로, 알킬기(직쇄 혹은 분기) 또는 사이클로알킬기(단환 혹은 다환)를 나타낸다. 단, Rx1~Rx3 모두가 알킬기(직쇄 혹은 분기)인 경우, Rx1~Rx3 중 적어도 2개는 메틸기인 것이 바람직하다.Rx 1 to Rx 3 each independently represent an alkyl group (straight chain or branched chain) or a cycloalkyl group (monocyclic or polycyclic). However, when all Rx 1 to Rx 3 are alkyl groups (straight chain or branched), at least two of Rx 1 to Rx 3 are preferably methyl groups.

Rx1~Rx3 중 2개가 결합하여, 사이클로알킬기(단환 혹은 다환)를 형성해도 된다.Two of Rx 1 to Rx 3 may combine to form a cycloalkyl group (monocyclic or polycyclic).

Xa1에 의하여 나타나는, 알킬기로서는, 치환기를 갖고 있어도 되고, 예를 들면 메틸기 또는 -CH2-R11로 나타나는 기를 들 수 있다. R11은, 할로젠 원자(불소 원자 등), 하이드록실기 또는 1가의 유기기를 나타내고, 예를 들면 탄소수 5 이하의 알킬기, 탄소수 5 이하의 아실기를 들 수 있으며, 바람직하게는 탄소수 3 이하의 알킬기이고, 더 바람직하게는 메틸기이다. Xa1은, 일 양태에 있어서, 바람직하게는 수소 원자, 메틸기, 트라이플루오로메틸기 또는 하이드록시메틸기 등이다.The alkyl group represented by Xa 1 may have a substituent, and examples thereof include a methyl group or a group represented by -CH 2 -R 11 . R 11 represents a halogen atom (such as a fluorine atom), a hydroxyl group or a monovalent organic group, and examples thereof include an alkyl group having 5 or less carbon atoms and an acyl group having 5 or less carbon atoms, preferably an alkyl group having 3 or less carbon atoms And more preferably a methyl group. Xa 1 is preferably a hydrogen atom, a methyl group, a trifluoromethyl group or a hydroxymethyl group in an embodiment.

T의 2가의 연결기로서는, 알킬렌기, -COO-Rt-기, -O-Rt-기 등을 들 수 있다. 식 중, Rt는, 알킬렌기 또는 사이클로알킬렌기를 나타낸다.Examples of the divalent linking group of T include an alkylene group, -COO-Rt- group, -O-Rt- group and the like. In the formulas, Rt represents an alkylene group or a cycloalkylene group.

T는, 단결합 또는 -COO-Rt-기가 바람직하다. Rt는, 탄소수 1~5의 알킬렌기가 바람직하고, -CH2-기, -(CH2)2-기, -(CH2)3-기가 보다 바람직하다.T is a single bond or -COO-Rt- group. Rt is preferably an alkylene group having 1 to 5 carbon atoms, more preferably a -CH 2 - group, a - (CH 2 ) 2 - group or a - (CH 2 ) 3 - group.

Rx1~Rx3의 알킬기로서는, 메틸기, 에틸기, n-프로필기, 아이소프로필기, n-뷰틸기, 아이소뷰틸기, t-뷰틸기 등의 탄소수 1~4의 것이 바람직하다.As the alkyl group of Rx 1 to Rx 3 , those having 1 to 4 carbon atoms such as methyl group, ethyl group, n-propyl group, isopropyl group, n-butyl group, isobutyl group and t-butyl group are preferable.

Rx1~Rx3의 사이클로알킬기로서는, 사이클로펜틸기, 사이클로헥실기 등의 단환의 사이클로알킬기, 노보닐기, 테트라사이클로데칸일기, 테트라사이클로도데칸일기, 아다만틸기 등의 다환의 사이클로알킬기가 바람직하다.As the cycloalkyl group of Rx 1 to Rx 3 , a monocyclic cycloalkyl group such as a cyclopentyl group and a cyclohexyl group, a polycyclic cycloalkyl group such as a norbornyl group, a tetracyclododecanyl group, a tetracyclododecanyl group and an adamantyl group is preferable .

Rx1~Rx3 중 2개가 결합하여 형성되는 사이클로알킬기로서는, 사이클로펜틸기, 사이클로헥실기 등의 단환의 사이클로알킬기, 노보닐기, 테트라사이클로데칸일기, 테트라사이클로도데칸일기, 아다만틸기 등의 다환의 사이클로알킬기가 바람직하다. 탄소수 5~6의 단환의 사이클로알킬기가 특히 바람직하다.Examples of the cycloalkyl group formed by combining two of Rx 1 to Rx 3 include a monocyclic cycloalkyl group such as cyclopentyl group and cyclohexyl group, a norbornyl group, a tetracyclodecanyl group, a tetracyclododecanyl group, and an adamantyl group Cycloalkyl groups are preferred. Particularly preferred is a monocyclic cycloalkyl group having 5 to 6 carbon atoms.

Rx1~Rx3 중 2개가 결합하여 형성되는 사이클로알킬기는, 예를 들면 환을 구성하는 메틸렌기의 하나가, 산소 원자 등의 헤테로 원자, 또는 카보닐기 등의 헤테로 원자를 갖는 기로 치환되어 있어도 된다.The cycloalkyl group formed by combining two of Rx 1 to Rx 3 may be substituted with, for example, one of the methylene groups constituting the ring is a heteroatom such as an oxygen atom, or a group having a heteroatom such as a carbonyl group .

일반식 (AI)로 나타나는 반복 단위는, 예를 들면 Rx1이 메틸기 또는 에틸기이며, Rx2와 Rx3이 결합하여 상술한 사이클로알킬기를 형성하고 있는 양태가 바람직하다.The repeating unit represented by the general formula (AI) is, for example, an embodiment wherein Rx 1 is a methyl group or an ethyl group, and Rx 2 and Rx 3 are combined to form the above-mentioned cycloalkyl group.

상기 각 기는 치환기를 갖고 있어도 되고, 치환기로서는, 예를 들면 알킬기(탄소수 1~4), 할로젠 원자, 수산기, 알콕시기(탄소수 1~4), 카복실기, 알콕시카보닐기(탄소수 2~6) 등을 들 수 있고, 탄소수 8 이하가 바람직하다.Examples of the substituent include an alkyl group (having 1 to 4 carbon atoms), a halogen atom, a hydroxyl group, an alkoxy group (having 1 to 4 carbon atoms), a carboxyl group, an alkoxycarbonyl group (having 2 to 6 carbon atoms) , And the number of carbon atoms is preferably 8 or less.

일반식 (AI)로 나타나는 반복 단위로서는, 바람직하게는, 산분해성 (메트)아크릴산 3급 알킬에스터계 반복 단위(Xa1이 수소 원자 또는 메틸기를 나타내고, 또한 T가 단결합을 나타내는 반복 단위)이다. 보다 바람직하게는, Rx1~Rx3이 각각 독립적으로, 직쇄 또는 분기의 알킬기를 나타내는 반복 단위이며, 더 바람직하게는, Rx1~Rx3이 각각 독립적으로, 직쇄의 알킬기를 나타내는 반복 단위이다.The repeating unit represented by the general formula (AI) is preferably an acid-decomposable (meth) acrylic acid tertiary alkyl ester repeating unit (Xa 1 represents a hydrogen atom or a methyl group, and T represents a single bond) . More preferably, Rx 1 to Rx 3 are each independently a repeating unit showing a straight-chain or branched alkyl group, and more preferably, each of Rx 1 to Rx 3 is a repeating unit independently representing a linear alkyl group.

산의 작용에 의하여 분해되어 카복실기를 발생하는 기를 갖는 반복 단위의 구체예를 이하에 나타내지만, 본 발명은, 이에 한정되는 것은 아니다.Specific examples of the repeating unit having a group capable of decomposing by the action of an acid to generate a carboxyl group are shown below, but the present invention is not limited thereto.

구체예 중, Rx는, 수소 원자, CH3, CF3, 또는 CH2OH를 나타낸다. Rxa, Rxb는 각각 탄소수 1~4의 알킬기를 나타낸다. Z는, 극성기를 포함하는 치환기를 나타내고, 복수 존재하는 경우는 각각 독립적이다. p는 0 또는 정의 정수를 나타낸다. Z에 의하여 나타나는 극성기를 포함하는 치환기로서는, 예를 들면 수산기, 사이아노기, 아미노기, 알킬아마이드기 또는 설폰아마이드기를 갖는, 직쇄 또는 분기의 알킬기, 사이클로알킬기를 들 수 있고, 바람직하게는, 수산기를 갖는 알킬기이다. 분기상 알킬기로서는 아이소프로필기가 특히 바람직하다.In the specific examples, R x represents a hydrogen atom, CH 3 , CF 3 , or CH 2 OH. Rxa and Rxb each represent an alkyl group having 1 to 4 carbon atoms. Z represents a substituent containing a polar group, and when there are a plurality of Z groups, they are independent of each other. p represents 0 or a positive integer. Examples of the substituent containing a polar group represented by Z include a straight chain or branched alkyl group or cycloalkyl group having a hydroxyl group, a cyano group, an amino group, an alkylamido group or a sulfonamido group, preferably a hydroxyl group Lt; / RTI > As the branched alkyl group, an isopropyl group is particularly preferable.

[화학식 26](26)

Figure pct00026
Figure pct00026

산의 작용에 의하여 분해되어 카복실기를 발생하는 기를 갖는 반복 단위의 함유량은, 수지 (A) 중의 전체 반복 단위에 대하여, 20~90몰%가 바람직하고, 보다 바람직하게는 25~80몰%, 더 바람직하게는 30~75몰%이다.The content of the repeating unit having a group capable of decomposing by the action of an acid to generate a carboxyl group is preferably from 20 to 90 mol%, more preferably from 25 to 80 mol%, further preferably from 25 to 80 mol%, based on the total repeating units in the resin (A) And preferably 30 to 75 mol%.

또, 수지 (A)는, 하기 일반식 (VI)으로 나타나는 반복 단위를 포함하고 있어도 된다.The resin (A) may contain a repeating unit represented by the following general formula (VI).

[화학식 27](27)

Figure pct00027
Figure pct00027

일반식 (VI) 중,In the general formula (VI)

R61, R62 및 R63은, 각각 독립적으로, 수소 원자, 알킬기, 사이클로알킬기, 할로젠 원자, 사이아노기, 또는 알콕시카보닐기를 나타낸다. 단, R62는 Ar6과 결합하여 환을 형성하고 있어도 되고, 그 경우의 R62는 단결합 또는 알킬렌기를 나타낸다.R 61 , R 62 and R 63 each independently represent a hydrogen atom, an alkyl group, a cycloalkyl group, a halogen atom, a cyano group, or an alkoxycarbonyl group. However, R 62 may combine with Ar 6 to form a ring, and R 62 in this case represents a single bond or an alkylene group.

X6은, 단결합, -COO-, 또는 -CONR64-를 나타낸다. R64는, 수소 원자 또는 알킬기를 나타낸다.X 6 represents a single bond, -COO-, or -CONR 64 -. R 64 represents a hydrogen atom or an alkyl group.

L6은, 단결합 또는 알킬렌기를 나타낸다.L 6 represents a single bond or an alkylene group.

Ar6은, (n+1)가의 방향환기를 나타내고, R62와 결합하여 환을 형성하는 경우에는 (n+2)가의 방향환기를 나타낸다.Ar 6 represents an aromatic ring of (n + 1) valency, and when it forms a ring by combining with R 62 , it represents (n + 2) valent aromatic ring.

Y2는, n≥2의 경우에는 각각 독립적으로, 수소 원자, 또는 산의 작용에 의하여 탈리되는 기를 나타낸다. 단, Y2 중 적어도 하나는, 산의 작용에 의하여 탈리되는 기를 나타낸다.Y < 2 > represents a hydrogen atom or a group which is eliminated by the action of an acid when n ≥ Provided that at least one of Y 2 represents a group which is eliminated by the action of an acid.

n은, 1~4의 정수를 나타낸다.n represents an integer of 1 to 4;

산의 작용에 의하여 탈리되는 기 Y2로서는, 하기 일반식 (VI-A)로 나타나는 구조가 보다 바람직하다.The group Y 2 desorbed by the action of an acid is more preferably a structure represented by the following formula (VI-A).

[화학식 28](28)

Figure pct00028
Figure pct00028

여기에서, L1 및 L2는, 각각 독립적으로, 수소 원자, 알킬기, 사이클로알킬기, 아릴기, 또는 알킬렌기와 아릴기를 조합한 기를 나타낸다.Here, L 1 and L 2 each independently represent a hydrogen atom, an alkyl group, a cycloalkyl group, an aryl group, or a group in which an alkylene group and an aryl group are combined.

M은, 단결합 또는 2가의 연결기를 나타낸다.M represents a single bond or a divalent linking group.

Q는, 알킬기, 헤테로 원자를 포함하고 있어도 되는 사이클로알킬기, 헤테로 원자를 포함하고 있어도 되는 아릴기, 아미노기, 암모늄기, 머캅토기, 사이아노기 또는 알데하이드기를 나타낸다.Q represents an alkyl group, a cycloalkyl group which may contain a hetero atom, an aryl group which may contain a hetero atom, an amino group, an ammonium group, a mercapto group, a cyano group or an aldehyde group.

Q, M, L1 중 적어도 2개가 결합하여 환(바람직하게는, 5원 혹은 6원환)을 형성해도 된다.At least two of Q, M and L 1 may combine to form a ring (preferably a 5-membered or 6-membered ring).

상기 일반식 (VI)으로 나타나는 반복 단위는, 하기 일반식 (13)으로 나타나는 반복 단위인 것이 바람직하다.The repeating unit represented by the formula (VI) is preferably a repeating unit represented by the following formula (13).

[화학식 29][Chemical Formula 29]

Figure pct00029
Figure pct00029

일반식 (13)에 있어서,In the general formula (13)

Ar3은, 방향환기를 나타낸다.Ar 3 represents aromatic ring.

R3은, 수소 원자, 알킬기, 사이클로알킬기, 아릴기, 아랄킬기, 알콕시기, 아실기 또는 헤테로환기를 나타낸다.R 3 represents a hydrogen atom, an alkyl group, a cycloalkyl group, an aryl group, an aralkyl group, an alkoxy group, an acyl group or a heterocyclic group.

M3은, 단결합 또는 2가의 연결기를 나타낸다.M 3 represents a single bond or a divalent linking group.

Q3은, 알킬기, 사이클로알킬기, 아릴기 또는 헤테로환기를 나타낸다.Q 3 represents an alkyl group, a cycloalkyl group, an aryl group or a heterocyclic group.

Q3, M3 및 R3 중 적어도 2개가 결합하여 환을 형성해도 된다.At least two of Q 3 , M 3 and R 3 may be bonded to form a ring.

Ar3이 나타내는 방향환기는, 상기 일반식 (VI)에 있어서의 n이 1인 경우의, 상기 일반식 (VI)에 있어서의 Ar6과 동일하며, 보다 바람직하게는 페닐렌기, 나프틸렌기이고, 더 바람직하게는 페닐렌기이다.The aromatic ring represented by Ar 3 is the same as Ar 6 in the general formula (VI) when n in the general formula (VI) is 1, more preferably a phenylene group or a naphthylene group , More preferably a phenylene group.

이하에 일반식 (VI)으로 나타나는 반복 단위의 구체예를 나타내지만, 본 발명은 이에 한정되는 것은 아니다.Specific examples of the repeating unit represented by formula (VI) are shown below, but the present invention is not limited thereto.

[화학식 30](30)

Figure pct00030
Figure pct00030

수지 (A)는, 하기 일반식 (15)로 나타나는 반복 단위를 포함하는 것도 바람직하다.It is also preferable that the resin (A) contains a repeating unit represented by the following general formula (15).

[화학식 31](31)

Figure pct00031
Figure pct00031

일반식 (15) 중,In the general formula (15)

R41, R42 및 R43은, 각각 독립적으로, 수소 원자, 알킬기, 사이클로알킬기, 할로젠 원자, 사이아노기 또는 알콕시카보닐기를 나타낸다. R42는 L4와 결합하여 환을 형성하고 있어도 되고, 그 경우의 R42는 알킬렌기를 나타낸다.R 41 , R 42 and R 43 each independently represent a hydrogen atom, an alkyl group, a cycloalkyl group, a halogen atom, a cyano group or an alkoxycarbonyl group. R 42 may combine with L 4 to form a ring, and R 42 in this case represents an alkylene group.

L4는, 단결합 또는 2가의 연결기를 나타내고, R42와 환을 형성하는 경우에는 3가의 연결기를 나타낸다.L 4 represents a single bond or a divalent linking group, and when R 42 forms a ring, it represents a trivalent linking group.

R44 및 R45는, 수소 원자, 알킬기, 사이클로알킬기, 아릴기, 아랄킬기, 알콕시기, 아실기 또는 헤테로환기를 나타낸다.R 44 and R 45 represent a hydrogen atom, an alkyl group, a cycloalkyl group, an aryl group, an aralkyl group, an alkoxy group, an acyl group or a heterocyclic group.

M4는, 단결합 또는 2가의 연결기를 나타낸다.M 4 represents a single bond or a divalent linking group.

Q4는, 알킬기, 사이클로알킬기, 아릴기 또는 헤테로환기를 나타낸다.Q 4 represents an alkyl group, a cycloalkyl group, an aryl group or a heterocyclic group.

Q4, M4 및 R44 중 적어도 2개가 결합하여 환을 형성해도 된다.At least two of Q 4 , M 4 and R 44 may be bonded to form a ring.

R41, R42 및 R43은, 각각 독립적으로, 수소 원자, 알킬기, 사이클로알킬기, 할로젠 원자, 사이아노기, 또는 알콕시카보닐기를 나타낸다. R42는 L4와 결합하여 환을 형성하고 있어도 되고, 그 경우의 R42는 알킬렌기를 나타낸다.R 41 , R 42 and R 43 each independently represent a hydrogen atom, an alkyl group, a cycloalkyl group, a halogen atom, a cyano group, or an alkoxycarbonyl group. R 42 may combine with L 4 to form a ring, and R 42 in this case represents an alkylene group.

L4는, 단결합 또는 2가의 연결기를 나타내고, R42와 환을 형성하는 경우에는 3가의 연결기를 나타낸다.L 4 represents a single bond or a divalent linking group, and when R 42 forms a ring, it represents a trivalent linking group.

R44 및 R45는, 상술한 일반식 (13) 중의 R3과 동의이며, 또 바람직한 범위도 동일하다.R 44 and R 45 are the same as R 3 in the above-mentioned general formula (13), and their preferable ranges are also the same.

M4는, 상술한 일반식 (13) 중의 M3과 동의이며, 또 바람직한 범위도 동일하다.M 4 agrees with M 3 in the above-mentioned general formula (13), and the preferable range thereof is also the same.

Q4는, 상술한 일반식 (13) 중의 Q3과 동의이며, 또 바람직한 범위도 동일하다. Q4, M4 및 R44 중 적어도 2개가 결합하여 형성되는 환으로서는, Q3, M3 및 R3 중 적어도 2개가 결합하여 형성되는 환을 들 수 있고, 또 바람직한 범위도 동일하다.Q 4 agrees with Q 3 in the above-mentioned general formula (13), and the preferable range thereof is also the same. The ring formed by bonding at least two of Q 4 , M 4 and R 44 is a ring formed by bonding at least two of Q 3 , M 3 and R 3 , and the preferable range is also the same.

일반식 (15)에 있어서의 R41~R43의 알킬기로서는, 바람직하게는 치환기를 갖고 있어도 되는 메틸기, 에틸기, 프로필기, 아이소프로필기, n-뷰틸기, sec-뷰틸기, 헥실기, 2-에틸헥실기, 옥틸기, 도데실기 등 탄소수 20 이하의 알킬기를 들 수 있고, 보다 바람직하게는 탄소수 8 이하의 알킬기, 특히 바람직하게는 탄소수 3 이하의 알킬기를 들 수 있다.The alkyl group represented by R 41 to R 43 in the general formula (15) is preferably a methyl group, ethyl group, propyl group, isopropyl group, n-butyl group, sec-butyl group, An ethylhexyl group, an octyl group, and a dodecyl group; and more preferably an alkyl group having 8 or less carbon atoms, particularly preferably an alkyl group having 3 or less carbon atoms.

알콕시카보닐기에 포함되는 알킬기로서는, 상기 R41~R43에 있어서의 알킬기와 동일한 것이 바람직하다.The alkyl group contained in the alkoxycarbonyl group is preferably the same as the alkyl group in R 41 to R 43 .

사이클로알킬기로서는, 단환형이어도 되고, 다환형이어도 된다. 바람직하게는 치환기를 갖고 있어도 되는 사이클로프로필기, 사이클로펜틸기, 사이클로헥실기와 같은 탄소수 3~10개로 단환형의 사이클로알킬기를 들 수 있다.The cycloalkyl group may be either monocyclic or polycyclic. A cycloalkyl group having 3 to 10 carbon atoms such as a cyclopropyl group, a cyclopentyl group and a cyclohexyl group, which may have a substituent.

할로젠 원자로서는, 불소 원자, 염소 원자, 브로민 원자 및 아이오딘 원자를 들 수 있고, 불소 원자가 특히 바람직하다.Examples of the halogen atom include a fluorine atom, a chlorine atom, a bromine atom and an iodine atom, and a fluorine atom is particularly preferable.

상기 각 기에 있어서의 바람직한 치환기로서는, 예를 들면 알킬기, 사이클로알킬기, 아릴기, 아미노기, 아마이드기, 유레이도기, 유레테인기, 하이드록실기, 카복실기, 할로젠 원자, 알콕시기, 싸이오에터기, 아실기, 아실옥시기, 알콕시카보닐기, 사이아노기, 나이트로기 등을 들 수 있고, 치환기의 탄소수는 8 이하가 바람직하다.Examples of preferable substituents in the respective groups include alkyl groups, cycloalkyl groups, aryl groups, amino groups, amide groups, ureido groups, urethane groups, hydroxyl groups, carboxyl groups, halogen atoms, alkoxy groups, thioether groups, An acyl group, an acyloxy group, an alkoxycarbonyl group, a cyano group and a nitro group, and the number of carbon atoms of the substituent is preferably 8 or less.

또 R42가 알킬렌기이며 L4와 환을 형성하는 경우, 알킬렌기로서는, 바람직하게는 메틸렌기, 에틸렌기, 프로필렌기, 뷰틸렌기, 헥실렌기, 옥틸렌기 등의 탄소수 1~8의 알킬렌기를 들 수 있다. 탄소수 1~4의 알킬렌기가 보다 바람직하고, 탄소수 1~2의 알킬렌기가 특히 바람직하다. R42와 L4가 결합하여 형성하는 환은, 5 또는 6원환인 것이 특히 바람직하다.When R 42 is an alkylene group and forms a ring with L 4 , the alkylene group is preferably an alkylene group having 1 to 8 carbon atoms such as a methylene group, an ethylene group, a propylene group, a butylene group, a hexylene group and an octylene group . More preferably an alkylene group having 1 to 4 carbon atoms, and particularly preferably an alkylene group having 1 to 2 carbon atoms. It is particularly preferable that the ring formed by combining R 42 and L 4 is a 5 or 6-membered ring.

R41 및 R43으로서는, 수소 원자, 알킬기, 할로젠 원자가 보다 바람직하고, 수소 원자, 메틸기, 에틸기, 트라이플루오로메틸기(-CF3), 하이드록시메틸기(-CH2-OH), 클로로메틸기(-CH2-Cl), 불소 원자(-F)가 특히 바람직하다. R42로서는, 수소 원자, 알킬기, 할로젠 원자, 알킬렌기(L4와 환을 형성)가 보다 바람직하고, 수소 원자, 메틸기, 에틸기, 트라이플루오로메틸기(-CF3), 하이드록시메틸기(-CH2-OH), 클로로메틸기(-CH2-Cl), 불소 원자(-F), 메틸렌기(L4와 환을 형성), 에틸렌기(L4와 환을 형성)가 특히 바람직하다.As R 41 and R 43 , a hydrogen atom, an alkyl group and a halogen atom are more preferable and a hydrogen atom, a methyl group, an ethyl group, a trifluoromethyl group (-CF 3 ), a hydroxymethyl group (-CH 2 -OH) -CH 2 -Cl), and a fluorine atom (-F) are particularly preferable. R 42 is more preferably a hydrogen atom, an alkyl group, a halogen atom or an alkylene group (forming a ring with L 4 ), and is preferably a hydrogen atom, a methyl group, an ethyl group, a trifluoromethyl group (-CF 3 ), a hydroxymethyl group CH 2 -OH), a chloromethyl group (-CH 2 -Cl), a fluorine atom (-F), a methylene group (forming a ring with L 4 ), and an ethylene group (forming a ring with L 4 ).

L4로 나타나는 2가의 연결기로서는, 알킬렌기, 2가의 방향환기, -COO-L1-, -O-L1-, 이들 2개 이상을 조합하여 형성되는 기 등을 들 수 있다. 여기에서, L1은 알킬렌기, 사이클로알킬렌기, 2가의 방향환기, 알킬렌기와 2가의 방향환기를 조합한 기를 나타낸다.Examples of the divalent linking group represented by L 4 include an alkylene group, a divalent aromatic ring, -COO-L 1 -, -OL 1 -, and a group formed by combining two or more of these groups. Herein, L 1 represents an alkylene group, a cycloalkylene group, a divalent aromatic ring, or a combination of an alkylene group and a bivalent aromatic ring.

L4는, 단결합, -COO-L1-로 나타나는 기 또는 2가의 방향환기가 바람직하다. L1은 탄소수 1~5의 알킬렌기가 바람직하고, 메틸렌, 프로필렌기가 보다 바람직하다. 2가의 방향환기로서는, 1,4-페닐렌기, 1,3-페닐렌기, 1,2-페닐렌기, 1,4-나프틸렌기가 바람직하고, 1,4-페닐렌기가 보다 바람직하다.L 4 is preferably a single bond, a group represented by -COO-L 1 - or a divalent aromatic ring. L 1 is preferably an alkylene group having 1 to 5 carbon atoms, more preferably a methylene group or a propylene group. As the bivalent aromatic ring, 1,4-phenylene group, 1,3-phenylene group, 1,2-phenylene group and 1,4-naphthylene group are preferable, and 1,4-phenylene group is more preferable.

L4가 R42와 결합하여 환을 형성하는 경우에 있어서의, L4로 나타나는 3가의 연결기로서는, L4로 나타나는 2가의 연결기의 상기한 구체예로부터 1개의 임의의 수소 원자를 제거하여 이루어지는 기를 적합하게 들 수 있다.As the trivalent linking group represented by L 4 in the case where L 4 is bonded to R 42 to form a ring, a group obtained by removing one arbitrary hydrogen atom from the above specific example of the divalent linking group represented by L 4 Can be suitably selected.

이하에 일반식 (15)로 나타나는 반복 단위의 구체예를 나타내지만, 본 발명은 이에 한정되는 것은 아니다.Specific examples of the repeating unit represented by the general formula (15) are shown below, but the present invention is not limited thereto.

[화학식 32](32)

Figure pct00032
Figure pct00032

또, 수지 (A)는, 하기 일반식 (BZ)로 나타나는 반복 단위를 포함하고 있어도 된다.The resin (A) may contain a repeating unit represented by the following general formula (BZ).

[화학식 33](33)

Figure pct00033
Figure pct00033

일반식 (BZ) 중, AR은 아릴기를 나타낸다. Rn은, 알킬기, 사이클로알킬기 또는 아릴기를 나타낸다. Rn과 AR은 서로 결합하여 비방향족환을 형성해도 된다.In the general formula (BZ), AR represents an aryl group. Rn represents an alkyl group, a cycloalkyl group or an aryl group. Rn and AR may combine with each other to form a non-aromatic ring.

R1은, 수소 원자, 알킬기, 사이클로알킬기, 할로젠 원자, 사이아노기 또는 알킬옥시카보닐기를 나타낸다.R 1 represents a hydrogen atom, an alkyl group, a cycloalkyl group, a halogen atom, a cyano group or an alkyloxycarbonyl group.

이하에, 일반식 (BZ)에 의하여 나타나는 반복 단위의 구체예를 나타내지만, 이들에 한정되는 것은 아니다.Specific examples of the repeating unit represented by the formula (BZ) are shown below, but are not limited thereto.

[화학식 34](34)

Figure pct00034
Figure pct00034

상기 산분해성기를 갖는 반복 단위는, 1종류여도 되고, 2종 이상을 병용해도 된다.The number of the repeating units having an acid-decomposable group may be one, or two or more kinds may be used in combination.

수지 (A)에 있어서의 산분해성기를 갖는 반복 단위의 함유량(복수 종류 함유하는 경우는 그 합계)은, 상기 수지 (A) 중의 전체 반복 단위에 대하여 20몰% 이상 90몰% 이하인 것이 바람직하고, 25몰% 이상 80몰% 이하인 것이 보다 바람직하며, 25몰% 이상 75몰% 이하인 것이 더 바람직하다.The content of the repeating units having an acid-decomposable group in the resin (A) (the total of the repeating units having a plurality of types) is preferably 20 mol% or more and 90 mol% or less based on the total repeating units in the resin (A) More preferably 25 mol% or more and 80 mol% or less, and still more preferably 25 mol% or more and 75 mol% or less.

수지 (A)는, 락톤기를 갖는 반복 단위를 더 함유하는 것이 바람직하다.The resin (A) preferably further contains a repeating unit having a lactone group.

락톤기로서는, 락톤 구조를 함유하고 있으면 어느 기여도 이용할 수 있는데, 바람직하게는 5~7원환 락톤 구조를 함유하는 기이며, 5~7원환 락톤 구조에 바이사이클로 구조, 스파이로 구조를 형성하는 형태로 다른 환 구조가 축환되어 있는 것이 바람직하다. 하기 일반식 (LC1-1)~(LC1-17) 중 어느 하나로 나타나는 락톤 구조를 갖는 기를 갖는 반복 단위를 갖는 것이 보다 바람직하다. 또, 락톤 구조를 갖는 기가 주쇄에 직접 결합하고 있어도 된다. 바람직한 락톤 구조로서는 일반식 (LC1-1), (LC1-4), (LC1-5), (LC1-6), (LC1-13), (LC1-14)로 나타나는 기이다.As the lactone group, any contribution can be used as far as it contains a lactone structure, preferably a group containing a 5- to 7-membered cyclic lactone structure, and a bicyclic structure and a spiro structure in a 5- to 7-membered cyclic lactone structure It is preferable that other ring structures are ringed. It is more preferable to have a repeating unit having a group having a lactone structure represented by any one of the following general formulas (LC1-1) to (LC1-17). The group having a lactone structure may be directly bonded to the main chain. Preferred lactone structures are groups represented by the general formulas (LC1-1), (LC1-4), (LC1-5), (LC1-6), (LC1-13), (LC1-14).

[화학식 35](35)

Figure pct00035
Figure pct00035

락톤 구조 부분은, 치환기 (Rb2)를 갖고 있어도 되고 갖고 있지 않아도 된다. 바람직한 치환기 (Rb2)로서는, 탄소수 1~8의 알킬기, 탄소수 4~7의 사이클로알킬기, 탄소수 1~8의 알콕시기, 탄소수 1~8의 알콕시카보닐기, 카복실기, 할로젠 원자, 수산기, 사이아노기, 산분해성기 등을 들 수 있다. n2는, 0~4의 정수를 나타낸다. n2가 2 이상일 때, 복수 존재하는 Rb2는, 동일해도 되고 달라도 되며, 또 복수 존재하는 Rb2끼리가 결합하여 환을 형성해도 된다.The lactone structure moiety may or may not have a substituent (Rb 2 ). Examples of the preferable substituent (Rb 2 ) include an alkyl group having 1 to 8 carbon atoms, a cycloalkyl group having 4 to 7 carbon atoms, an alkoxy group having 1 to 8 carbon atoms, an alkoxycarbonyl group having 1 to 8 carbon atoms, a carboxyl group, a halogen atom, An anion group, and an acid-decomposable group. n 2 represents an integer of 0 to 4; n Rb 2 to 2 when 2 or more, a plurality is present, be the same or be different, and may be also bonded to form a plurality presence ring is bonded between the two Rb.

일반식 (LC1-1)~(LC1-17) 중 어느 하나로 나타나는 락톤 구조를 갖는 기를 갖는 반복 단위로서는, 예를 들면 하기 일반식 (AI)로 나타나는 반복 단위 등을 들 수 있다.Examples of the repeating unit having a group having a lactone structure represented by any one of formulas (LC1-1) to (LC1-17) include repeating units represented by the following formula (AI).

[화학식 36](36)

Figure pct00036
Figure pct00036

일반식 (AI) 중, Rb0은, 수소 원자, 할로젠 원자, 또는 탄소수 1~4의 알킬기를 나타낸다.In the general formula (AI), Rb 0 represents a hydrogen atom, a halogen atom, or an alkyl group having from 1 to 4 carbon atoms.

Rb0의 알킬기가 갖고 있어도 되는 바람직한 치환기로서는, 수산기, 할로젠 원자를 들 수 있다.The preferable substituent which the alkyl group of Rb 0 may have include a hydroxyl group and a halogen atom.

Rb0의 할로젠 원자로서는, 불소 원자, 염소 원자, 브로민 원자, 아이오딘 원자를 들 수 있다. Rb0은, 수소 원자 또는 메틸기가 바람직하다.Examples of the halogen atom of Rb 0 include a fluorine atom, a chlorine atom, a bromine atom and an iodine atom. Rb 0 is preferably a hydrogen atom or a methyl group.

Ab는, 단결합, 알킬렌기, 단환 또는 다환의 지환 탄화 수소 구조를 갖는 2가의 연결기, 에터기, 에스터기, 카보닐기, 카복실기, 또는 이들을 조합한 2가의 기를 나타낸다. 바람직하게는, 단결합, -Ab1-CO2-로 나타나는 연결기이다. Ab1은, 직쇄, 분기 알킬렌기, 단환 또는 다환의 사이클로알킬렌기이며, 바람직하게는, 메틸렌기, 에틸렌기, 사이클로헥실렌기, 아다만틸렌기, 노보닐렌기이다.Ab represents a divalent linking group having a single bond, an alkylene group, a monocyclic or polycyclic alicyclic hydrocarbon structure, an ether group, an ester group, a carbonyl group, a carboxyl group, or a divalent group combining these groups. Preferably a single bond, a linking group represented by -Ab 1 -CO 2 -. Ab 1 is a straight chain, branched alkylene group, monocyclic or polycyclic cycloalkylene group, and is preferably a methylene group, an ethylene group, a cyclohexylene group, an adamantylene group or a norbornylene group.

V는, 일반식 (LC1-1)~(LC1-17) 중 어느 하나로 나타나는 기를 나타낸다.V represents a group represented by any one of formulas (LC1-1) to (LC1-17).

락톤 구조를 갖는 기를 갖는 반복 단위는, 통상 광학 이성체가 존재하는데, 어느 광학 이성체를 이용해도 된다. 또, 1종의 광학 이성체를 단독으로 이용해도 되고, 복수의 광학 이성체를 혼합하여 이용해도 된다. 1종의 광학 이성체를 주로 이용하는 경우, 그 광학 순도(ee)가 90 이상인 것이 바람직하고, 보다 바람직하게는 95 이상이다.The repeating unit having a group having a lactone structure usually has an optical isomer, and any of the optical isomers may be used. In addition, one kind of optical isomers may be used alone, or a plurality of optical isomers may be used in combination. When one kind of optical isomer is mainly used, the optical purity (ee) thereof is preferably 90 or more, more preferably 95 or more.

락톤 구조를 갖는 기를 갖는 반복 단위의 구체예를 이하에 들지만, 본 발명은 이들에 한정되지 않는다.Specific examples of the repeating unit having a group having a lactone structure are set forth below, but the present invention is not limited thereto.

[화학식 37](37)

Figure pct00037
Figure pct00037

락톤기를 갖는 반복 단위의 함유량은, 수지 (A) 중의 전체 반복 단위에 대하여, 1~30몰%가 바람직하고, 보다 바람직하게는 5~25몰%, 더 바람직하게는 5~20몰%이다.The content of the repeating unit having a lactone group is preferably from 1 to 30 mol%, more preferably from 5 to 25 mol%, and still more preferably from 5 to 20 mol%, based on the total repeating units in the resin (A).

수지 (A)는, 극성기를 갖는 유기기를 함유하는 반복 단위, 특히 극성기로 치환된 지환 탄화 수소 구조를 갖는 반복 단위를 더 갖고 있어도 된다. 이로써 현상액의 침투성이 더 저하되어, 패턴의 팽윤을 더 억제할 수 있다. 극성기로 치환된 지환 탄화 수소 구조의 지환 탄화 수소 구조로서는 아다만틸기, 다이아만틸기, 노보네인기가 바람직하다. 극성기로서는 수산기, 사이아노기가 바람직하다.The resin (A) may further have a repeating unit containing an organic group having a polar group, particularly a repeating unit having an alicyclic hydrocarbon structure substituted with a polar group. As a result, the permeability of the developer is further lowered, and the swelling of the pattern can be further suppressed. As the alicyclic hydrocarbon structure of the alicyclic hydrocarbon structure substituted with a polar group, adamanthyl group, dianthmyl group and novone are preferred. As the polar group, a hydroxyl group and a cyano group are preferable.

극성기를 갖는 반복 단위의 구체예를 이하에 들지만, 본 발명은 이들에 한정되지 않는다.Specific examples of the repeating unit having a polar group are set forth below, but the present invention is not limited thereto.

[화학식 38](38)

Figure pct00038
Figure pct00038

수지 (A)가 극성기를 갖는 유기기를 함유하는 반복 단위를 갖는 경우, 그 함유량은, 수지 (A) 중의 전체 반복 단위에 대하여, 1~30몰%가 바람직하고, 보다 바람직하게는 5~25몰%, 더 바람직하게는 5~20몰%이다.When the resin (A) has a repeating unit containing an organic group having a polar group, its content is preferably from 1 to 30 mol%, more preferably from 5 to 25 mol%, based on the total repeating units in the resin (A) %, More preferably 5 to 20 mol%.

또한, 상기 이외의 반복 단위로서, 활성광선 또는 방사선의 조사에 의하여 산을 발생하는 기(광산발생기)를 갖는 반복 단위를 포함할 수도 있다. 이 경우, 이 광산발생기를 갖는 반복 단위가, 후술하는 활성광선 또는 방사선의 조사에 의하여 산을 발생하는 화합물 (B)에 해당한다고 생각할 수 있다.As the repeating units other than the above, a repeating unit having a group (photo-acid generator) capable of generating an acid by irradiation with an actinic ray or radiation may be included. In this case, it can be considered that the repeating unit having this photoacid generator corresponds to the compound (B) which generates an acid by irradiation of an actinic ray or radiation described later.

이와 같은 반복 단위로서는, 예를 들면 하기 일반식 (14)로 나타나는 반복 단위를 들 수 있다.Examples of such a repeating unit include repeating units represented by the following general formula (14).

[화학식 39][Chemical Formula 39]

Figure pct00039
Figure pct00039

R41은 수소 원자 또는 메틸기를 나타낸다. L41은, 단결합 또는 2가의 연결기를 나타낸다. L42는 2가의 연결기를 나타낸다. R40은, 활성광선 또는 방사선의 조사에 의하여 분해되어 측쇄에 산을 발생시키는 구조 부위를 나타낸다.R 41 represents a hydrogen atom or a methyl group. L 41 represents a single bond or a divalent linking group. L 42 represents a divalent linking group. R 40 represents a structural moiety that is decomposed by irradiation with an actinic ray or radiation to generate an acid on the side chain.

이하에, 일반식 (14)로 나타나는 반복 단위의 구체예를 나타내지만, 본 발명이 이에 한정되는 것은 아니다.Specific examples of the repeating unit represented by formula (14) are shown below, but the present invention is not limited thereto.

[화학식 40](40)

Figure pct00040
Figure pct00040

그 외, 일반식 (14)로 나타나는 반복 단위로서는, 예를 들면 일본 공개특허공보 2014-041327호의 단락 [0094]~[0105]에 기재된 반복 단위를 들 수 있다.In addition, examples of the repeating unit represented by the general formula (14) include repeating units described in paragraphs [0094] to [0105] of JP-A No. 2014-041327.

수지 (A)가 광산발생기를 갖는 반복 단위를 함유하는 경우, 광산발생기를 갖는 반복 단위의 함유량은, 수지 (A) 중의 전체 반복 단위에 대하여, 1~40몰%가 바람직하고, 보다 바람직하게는 5~35몰%, 더 바람직하게는 5~30몰%이다.When the resin (A) contains a repeating unit having a photoacid generator, the content of the repeating unit having a photoacid generator is preferably from 1 to 40 mol%, more preferably from 1 to 40 mol%, based on all repeating units in the resin (A) 5 to 35 mol%, and more preferably 5 to 30 mol%.

수지 (A)는, 하기 일반식 (V-1) 또는 하기 일반식 (V-2)로 나타나는 반복 단위를 함유해도 된다.The resin (A) may contain a repeating unit represented by the following formula (V-1) or the following formula (V-2).

[화학식 41](41)

Figure pct00041
Figure pct00041

식 중,Wherein,

R6 및 R7은, 각각 독립적으로, 수소 원자, 하이드록시기, 탄소수 1~10의 직쇄상, 분기상 또는 환상의 알킬기, 알콕시기 또는 아실옥시기, 사이아노기, 나이트로기, 아미노기, 할로젠 원자, 에스터기(-OCOR 또는 -COOR: R은 탄소수 1~6의 알킬기 또는 불소화 알킬기), 또는 카복실기를 나타낸다.R 6 and R 7 each independently represent a hydrogen atom, a hydroxyl group, a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, an alkoxy group or an acyloxy group, a cyano group, a nitro group, A halogen atom, an ester group (-OCOR or -COOR: R represents an alkyl group or a fluorinated alkyl group having 1 to 6 carbon atoms), or a carboxyl group.

n3은 0~6의 정수를 나타낸다.n 3 represents an integer of 0 to 6;

n4는 0~4의 정수를 나타낸다.and n 4 represents an integer of 0 to 4.

X4는 메틸렌기, 산소 원자 또는 황 원자이다.X 4 is a methylene group, an oxygen atom or a sulfur atom.

일반식 (V-1) 또는 (V-2)로 나타나는 반복 단위의 구체예를 하기에 나타내지만, 이들에 한정되지 않는다.Specific examples of the repeating unit represented by the formula (V-1) or (V-2) are shown below, but are not limited thereto.

[화학식 42](42)

Figure pct00042
Figure pct00042

일반식 (1)로 나타나는 반복 단위를 함유하는 수지 (A)는, 예를 들면 "제5판 실험 화학 강좌" 42페이지, "마크로몰리큘스(Macromolecules)", 46, (2013년), 8882-8887페이지, 또는 "바이오 오가닉 앤드 머디시날 케미스트리 레터스(Bioorganic and Medicinal Chemistry Letters)", 20, (2010년) 74-77페이지에 기재되어 있는 수법을 참고로 하여 합성할 수 있다.The resin (A) containing the repeating unit represented by the general formula (1) can be obtained by a method described in, for example, "Fifth Edition Experimental Chemistry Lecture", page 42, "Macromolecules", 46, (2013) , Pp. 8887, or the method described in "Bioorganic and Medicinal Chemistry Letters ", 20, (2010) 74-77.

수지 (A)는, 통상의 방법에 따라(예를 들면 라디칼 중합) 합성할 수 있다. 예를 들면, 일반적 합성 방법으로서는, 모노머종 및 개시제를 용제에 용해시켜, 가열함으로써 중합을 행하는 일괄 중합법, 가열 용제에 모노머종과 개시제의 용액을 1~10시간 동안 적하하여 첨가하는 적하 중합법 등을 들 수 있으며, 적하 중합법이 바람직하다.The resin (A) can be synthesized by a conventional method (for example, radical polymerization). Examples of the general synthesis method include a batch polymerization method in which a monomer species and an initiator are dissolved in a solvent and heated to effect polymerization, a drop polymerization method in which a solution of a monomer species and an initiator is added dropwise to a heating solvent for 1 to 10 hours And a dropwise polymerization method is preferable.

반응 용매로서는, 예를 들면 테트라하이드로퓨란, 1,4-다이옥세인, 다이아이소프로필에터 등의 에터류; 메틸에틸케톤, 메틸아이소뷰틸케톤 등의 케톤류; 아세트산 에틸 등의 에스터 용매; 다이메틸폼아마이드, 다이메틸아세트아마이드 등의 아마이드 용제; 후술하는 프로필렌글라이콜모노메틸에터아세테이트, 프로필렌글라이콜모노메틸에터, 사이클로헥산온 등의 본 발명에 있어서의 감활성광선성 또는 감방사선성 조성물을 용해시키는 용매; 등을 들 수 있다. 보다 바람직하게는 본 발명의 감활성광선성 또는 감방사선성 조성물에 이용되는 용제와 동일한 용제를 이용하여 중합하는 것이 바람직하다. 이로써 보존 시의 파티클의 발생을 억제할 수 있다.Examples of the reaction solvent include ethers such as tetrahydrofuran, 1,4-dioxane and diisopropyl ether; Ketones such as methyl ethyl ketone and methyl isobutyl ketone; An ester solvent such as ethyl acetate; Amide solvents such as dimethylformamide and dimethylacetamide; A solvent for dissolving the actinic ray-sensitive or radiation-sensitive composition of the present invention such as propylene glycol monomethyl ether acetate, propylene glycol monomethyl ether, and cyclohexanone described below; And the like. More preferably, the polymerization is carried out by using the same solvent as the solvent used in the active radiation-sensitive or radiation-sensitive composition of the present invention. This makes it possible to suppress the generation of particles during storage.

중합 반응은 질소나 아르곤 등 불활성 가스 분위기하에서 행해지는 것이 바람직하다. 중합 개시제로서는 시판 중인 라디칼 개시제(아조계 개시제, 퍼옥사이드 등)를 이용하여 중합을 개시시킨다. 라디칼 개시제로서는 아조계 개시제가 바람직하고, 에스터기, 사이아노기, 카복실기를 갖는 아조계 개시제가 바람직하다. 바람직한 개시제로서는, 아조비스아이소뷰티로나이트릴, 아조비스다이메틸발레로나이트릴, 다이메틸 2,2'-아조비스(2-메틸프로피오네이트) 등을 들 수 있다. 목적에 따라 개시제를 추가, 혹은 분할로 첨가하고, 반응 종료 후, 용제에 투입하여 분체 혹은 고형 회수 등의 방법으로 원하는 폴리머를 회수한다. 반응의 농도는 5~50질량%이며, 바람직하게는 10~30질량%이다. 반응 온도는, 통상 10℃~150℃이며, 바람직하게는 30℃~120℃, 더 바람직하게는 60~100℃이다.The polymerization reaction is preferably carried out in an inert gas atmosphere such as nitrogen or argon. As the polymerization initiator, polymerization is initiated by using a commercially available radical initiator (azo type initiator, peroxide, etc.). As the radical initiator, azo-based initiators are preferable, and azo-based initiators having an ester group, a cyano group and a carboxyl group are preferable. Preferred initiators include azobisisobutyronitrile, azobisdimethylvaleronitrile, and dimethyl 2,2'-azobis (2-methylpropionate). An initiator is added according to the purpose or added in portions. After completion of the reaction, the polymer is added to a solvent to recover a desired polymer by a method such as powder or solid recovery. The concentration of the reaction is 5 to 50 mass%, preferably 10 to 30 mass%. The reaction temperature is usually from 10 to 150 캜, preferably from 30 to 120 캜, more preferably from 60 to 100 캜.

정제는, 수세나 적절한 용매를 조합함으로써 잔류 단량체나 올리고머 성분을 제거하는 액액 추출법, 특정 분자량 이하의 것만을 추출 제거하는 한외 여과 등의 용액 상태에서의 정제 방법이나, 수지 용액을 빈용매에 적하함으로써 수지를 빈용매 중에 응고시키는 것에 의하여 잔류 단량체 등을 제거하는 재침전법이나, 여과 분리한 수지 슬러리를 빈용매로 세정하는 등의 고체 상태에서의 정제 방법 등의 통상의 방법을 적용할 수 있다.The purification may be carried out by a liquid-liquid extraction method in which residual monomer or oligomer component is removed by combining water or an appropriate solvent, a purification method in a solution state such as ultrafiltration in which only a substance having a specific molecular weight or less is extracted and removed, A conventional method such as a reprecipitation method in which residual monomer or the like is removed by coagulating the resin in a poor solvent or a solid state purification method such as washing a resin slurry separated by filtration with a poor solvent can be applied.

수지 (A)의 중량 평균 분자량은, GPC법에 의하여 폴리스타이렌 환산값으로서 바람직하게는 1,000~200,000이며, 더 바람직하게는 3,000~30,000, 가장 바람직하게는 5,000~20,000이다. 중량 평균 분자량을, 1,000~200,000으로 함으로써, 내열성이나 드라이 에칭 내성의 열화를 방지할 수 있고, 또한 현상성이 열화되거나, 점도가 높아져 제막성이 열화되거나 하는 것을 방지할 수 있다.The weight average molecular weight of the resin (A) is preferably 1,000 to 200,000, more preferably 3,000 to 30,000, and most preferably 5,000 to 20,000 in terms of polystyrene by GPC. By setting the weight average molecular weight to 1,000 to 200,000, deterioration of heat resistance and dry etching resistance can be prevented, deterioration of developability or viscosity can be prevented and deterioration of film formability can be prevented.

수지 (A)의 중량 평균 분자량의 특히 바람직한 다른 형태는, GPC법에 의한 폴리스타이렌 환산값으로 5,000~15,000이다. 중량 평균 분자량을 5,000~15,000으로 함으로써, 특히 레지스트 잔사(이후, "스컴"이라고도 함)가 억제되어, 보다 양호한 패턴을 형성할 수 있다.Another particularly preferred form of the weight-average molecular weight of the resin (A) is 5,000 to 15,000 in terms of polystyrene conversion by the GPC method. By setting the weight average molecular weight to 5,000 to 15,000, resist residues (hereinafter also referred to as "scum") are suppressed, and a better pattern can be formed.

분산도(분자량 분포)는, 통상 1~5이며, 바람직하게는 1~3, 더 바람직하게는 1.2~3.0, 특히 바람직하게는 1.2~2.0의 범위의 것이 사용된다. 분산도가 작은 것일수록, 해상도, 패턴 형상이 우수하고, 또한 레지스트 패턴의 측벽이 매끄러워, 러프니스성이 우수하다.The dispersion degree (molecular weight distribution) is usually 1 to 5, preferably 1 to 3, more preferably 1.2 to 3.0, and particularly preferably 1.2 to 2.0. The smaller the degree of dispersion, the better the resolution and pattern shape, and the sidewall of the resist pattern is smooth and the roughness is excellent.

본 발명에 있어서의 감활성광선성 또는 감방사선성 조성물에 있어서, 수지 (A)의 함유량은, 전체 고형분 중 50~99.9질량%가 바람직하고, 보다 바람직하게는 60~99.0질량%이다.In the actinic ray-sensitive or radiation-sensitive composition of the present invention, the content of the resin (A) is preferably 50 to 99.9 mass%, more preferably 60 to 99.0 mass%, of the total solid content.

또, 본 발명에 있어서의 감활성광선성 또는 감방사선성 조성물에 있어서, 수지 (A)는, 1종으로 사용해도 되고, 복수 병용해도 된다.In the actinic ray-sensitive or radiation-sensitive composition according to the present invention, the resin (A) may be used singly or in combination.

[활성광선 또는 방사선의 조사에 의하여 산을 발생하는 화합물 (B)][Compound (B) that generates an acid upon irradiation with an actinic ray or radiation]

본 발명의 패턴 형성 방법에 이용되는 감활성광선성 또는 감방사선성 조성물은, 활성광선 또는 방사선의 조사에 의하여 산을 발생하는 화합물("광산발생제《PAG: Photo Acid Generator》", 또는 "화합물 (B)"라고도 함)을 함유한다.The actinic ray-sensitive or radiation-sensitive composition used in the pattern forming method of the present invention may be a compound that generates an acid upon irradiation with an actinic ray or radiation ("photoacid generator" PAG: (B) ").

광산발생제는, 저분자 화합물의 형태여도 되고, 중합체의 일부에 도입된 형태여도 된다. 또, 저분자 화합물의 형태와 중합체의 일부에 도입된 형태를 병용해도 된다.The photoacid generator may be in the form of a low molecular weight compound or may be introduced into a part of the polymer. The form of the low molecular compound and the form introduced into a part of the polymer may be used in combination.

광산발생제가, 저분자 화합물의 형태인 경우, 분자량이 3000 이하인 것이 바람직하고, 2000 이하인 것이 보다 바람직하며, 1000 이하인 것이 더 바람직하다.When the photoacid generator is in the form of a low molecular weight compound, the molecular weight is preferably 3,000 or less, more preferably 2,000 or less, still more preferably 1,000 or less.

광산발생제가, 중합체의 일부에 도입된 형태인 경우, 수지 (A)의 일부에 도입되어도 되고, 수지 (A)와는 다른 수지에 도입되어도 된다.In the case where the photoacid generator is introduced into a part of the polymer, the photoacid generator may be introduced into a part of the resin (A) or may be introduced into a resin different from the resin (A).

본 발명에 있어서는, 광산발생제가, 저분자 화합물의 형태인 것이 바람직하다.In the present invention, the photoacid generator is preferably in the form of a low molecular weight compound.

광산발생제로서는, 공지의 것이면 특별히 한정되지 않지만, 활성광선 또는 방사선, 바람직하게는 전자선 또는 극자외선의 조사에 의하여, 유기산, 예를 들면 설폰산, 비스(알킬설폰일)이미드, 또는 트리스(알킬설폰일)메타이드 중 적어도 하나를 발생하는 화합물이 바람직하다.The photoacid generator is not particularly limited as long as it is a known photoacid generator. The photoacid generator may be an organic acid such as sulfonic acid, bis (alkylsulfonyl) imide, or tris (ethylsulfonyl) imide by irradiation with actinic rays or radiation, preferably electron beam or extreme ultraviolet Alkylsulfonyl) methide are preferred.

광산발생제로서는 설포늄염인 것이 바람직하다.The photoacid generator is preferably a sulfonium salt.

광산발생제로서는, 보다 바람직하게는 하기 일반식 (ZI), (ZII), (ZIII)으로 나타나는 화합물을 들 수 있다.The photoacid generator may more preferably be a compound represented by the following general formula (ZI), (ZII) or (ZIII).

[화학식 43](43)

Figure pct00043
Figure pct00043

상기 일반식 (ZI)에 있어서,In the above general formula (ZI)

R201, R202 및 R203은, 각각 독립적으로, 유기기를 나타낸다.R 201 , R 202 and R 203 each independently represent an organic group.

R201, R202 및 R203으로서의 유기기의 탄소수는, 일반적으로 1~30, 바람직하게는 1~20이다.The number of carbon atoms of the organic group as R 201 , R 202 and R 203 is generally 1 to 30, preferably 1 to 20.

또, R201~R203 중 2개가 결합하여 환 구조를 형성해도 되고, 환 내에 산소 원자, 황 원자, 에스터 결합, 아마이드 결합, 카보닐기를 포함하고 있어도 된다. R201~R203 중 2개가 결합하여 형성하는 기로서는, 알킬렌기(예를 들면, 뷰틸렌기, 펜틸렌기)를 들 수 있다.Also, R 201 and R ~ form a ring structure by combining two of the dogs 203, may contain an oxygen atom, a sulfur atom, an ester bond in the ring, an amide bond, a carbonyl group. Examples of R groups R ~ to 201 formed by combining any two of the 203 dogs, may be mentioned an alkylene group (e.g., tert-butyl group, a pentylene group).

Z-는, 비구핵성 음이온(구핵 반응을 일으키는 능력이 현저하게 낮은 음이온)을 나타낸다.Z - represents an unconjugated anion (an anion having a remarkably low ability to cause a nucleophilic reaction).

비구핵성 음이온으로서는, 예를 들면 설폰산 음이온(지방족 설폰산 음이온, 방향족 설폰산 음이온, 캄퍼설폰산 음이온 등), 카복실산 음이온(지방족 카복실산 음이온, 방향족 카복실산 음이온, 아랄킬카복실산 음이온 등), 설폰일이미드 음이온, 비스(알킬설폰일)이미드 음이온, 트리스(알킬설폰일)메타이드 음이온 등을 들 수 있다.Examples of the non-nucleophilic anion include sulfonic acid anions (aliphatic sulfonic acid anions, aromatic sulfonic acid anions, camphorsulfonic acid anions, etc.), carboxylic acid anions (aliphatic carboxylic acid anions, aromatic carboxylic acid anions, aralkyl carboxylic acid anions, A bis (alkylsulfonyl) imide anion, and a tris (alkylsulfonyl) methide anion.

지방족 설폰산 음이온 및 지방족 카복실산 음이온에 있어서의 지방족 부위는, 알킬기여도 되고 사이클로알킬기여도 되며, 바람직하게는 탄소수 1~30의 직쇄 또는 분기의 알킬기 및 탄소수 3~30의 사이클로알킬기를 들 수 있다.The aliphatic moiety in the aliphatic sulfonic acid anion and the aliphatic carboxylic acid anion may be an alkyl group and may be a cycloalkyl group, preferably a linear or branched alkyl group having 1 to 30 carbon atoms and a cycloalkyl group having 3 to 30 carbon atoms.

방향족 설폰산 음이온 및 방향족 카복실산 음이온에 있어서의 방향족기로서는, 바람직하게는 탄소수 6~14의 아릴기, 예를 들면 페닐기, 톨릴기, 나프틸기 등을 들 수 있다.The aromatic group in the aromatic sulfonic acid anion and the aromatic carboxylic acid anion is preferably an aryl group having 6 to 14 carbon atoms such as a phenyl group, a tolyl group and a naphthyl group.

상기에서 예로 든 알킬기, 사이클로알킬기 및 아릴기는, 치환기를 갖고 있어도 된다. 이 구체예로서는, 나이트로기, 불소 원자 등의 할로젠 원자, 카복실기, 수산기, 아미노기, 사이아노기, 알콕시기(바람직하게는 탄소수 1~15), 사이클로알킬기(바람직하게는 탄소수 3~15), 아릴기(바람직하게는 탄소수 6~14), 알콕시카보닐기(바람직하게는 탄소수 2~7), 아실기(바람직하게는 탄소수 2~12), 알콕시카보닐옥시기(바람직하게는 탄소수 2~7), 알킬싸이오기(바람직하게는 탄소수 1~15), 알킬설폰일기(바람직하게는 탄소수 1~15), 알킬이미노설폰일기(바람직하게는 탄소수 1~15), 아릴옥시설폰일기(바람직하게는 탄소수 6~20), 알킬아릴옥시설폰일기(바람직하게는 탄소수 7~20), 사이클로알킬아릴옥시설폰일기(바람직하게는 탄소수 10~20), 알킬옥시알킬옥시기(바람직하게는 탄소수 5~20), 사이클로알킬알킬옥시알킬옥시기(바람직하게는 탄소수 8~20) 등을 들 수 있다. 각 기가 갖는 아릴기 및 환 구조에 대해서는, 치환기로서 알킬기(바람직하게는 탄소수 1~15)를 추가로 들 수 있다.The alkyl group, cycloalkyl group and aryl group exemplified above may have a substituent. Specific examples thereof include halogen atoms such as a nitro group and a fluorine atom, a carboxyl group, a hydroxyl group, an amino group, a cyano group, an alkoxy group (preferably having 1 to 15 carbon atoms), a cycloalkyl group (preferably having 3 to 15 carbon atoms) , An aryl group (preferably having 6 to 14 carbon atoms), an alkoxycarbonyl group (preferably having 2 to 7 carbon atoms), an acyl group (preferably having 2 to 12 carbon atoms), an alkoxycarbonyloxy group (preferably having 2 to 7 carbon atoms (Preferably having 1 to 15 carbon atoms) (preferably having 1 to 15 carbon atoms), an alkylsulfonyl group (preferably having 1 to 15 carbon atoms), an alkyliminosulfonyl group (preferably having 1 to 15 carbon atoms) (Preferably having 6 to 20 carbon atoms), an alkylaryloxaphonyl group (preferably having 7 to 20 carbon atoms), a cycloalkylaryloxaphonyl group (preferably having 10 to 20 carbon atoms), an alkyloxyalkyloxy group (Having from 5 to 20 carbon atoms), a cycloalkylalkyloxyalkyloxy group (preferably having from 8 to 20 carbon atoms), and the like. All. The aryl group and the ring structure of each group may further include an alkyl group (preferably having from 1 to 15 carbon atoms) as a substituent.

아랄킬카복실산 음이온에 있어서의 아랄킬기로서는, 바람직하게는 탄소수 7~12의 아랄킬기, 예를 들면 벤질기, 펜에틸기, 나프틸메틸기, 나프틸에틸기, 나프틸뷰틸기 등을 들 수 있다.The aralkyl group in the aralkylcarboxylic acid anion is preferably an aralkyl group having 7 to 12 carbon atoms such as a benzyl group, a phenethyl group, a naphthylmethyl group, a naphthylethyl group and a naphthylvinyl group.

설폰일이미드 음이온으로서는, 예를 들면 사카린 음이온을 들 수 있다.The sulfonylimide anion includes, for example, a saccharin anion.

비스(알킬설폰일)이미드 음이온, 트리스(알킬설폰일)메타이드 음이온에 있어서의 알킬기는, 탄소수 1~5의 알킬기가 바람직하다. 이들 알킬기의 치환기로서는 할로젠 원자, 할로젠 원자로 치환된 알킬기, 알콕시기, 알킬싸이오기, 알킬옥시설폰일기, 아릴옥시설폰일기, 사이클로알킬아릴옥시설폰일기 등을 들 수 있고, 불소 원자 또는 불소 원자로 치환된 알킬기가 바람직하다.The alkyl group in the bis (alkylsulfonyl) imide anion and the tris (alkylsulfonyl) methide anion is preferably an alkyl group having 1 to 5 carbon atoms. Examples of the substituent of these alkyl groups include a halogen atom, an alkyl group substituted with a halogen atom, an alkoxy group, an alkylthio group, an alkyloxaphonyl group, an aryloxylphenyl group, a cycloalkylaryloxaphonyl group, Or an alkyl group substituted with a fluorine atom are preferable.

또, 비스(알킬설폰일)이미드 음이온에 있어서의 알킬기는, 서로 결합하여 환 구조를 형성해도 된다. 이로써, 산 강도가 증가한다.The alkyl group in the bis (alkylsulfonyl) imide anion may be bonded to each other to form a ring structure. As a result, acid strength is increased.

그 외의 비구핵성 음이온으로서는, 예를 들면 불소화 인(예를 들면, PF6 -), 불소화 붕소(예를 들면, BF4 -), 불소화 안티모니(예를 들면, SbF6 -) 등을 들 수 있다.Examples of other non-nucleophilic anions include fluorinated phosphorus (for example, PF 6 - ), boron fluoride (for example, BF 4 - ), fluorinated antimony (for example, SbF 6 - have.

비구핵성 음이온으로서는, 설폰산의 적어도 α위가 불소 원자로 치환된 지방족 설폰산 음이온, 불소 원자 또는 불소 원자를 갖는 기로 치환된 방향족 설폰산 음이온, 알킬기가 불소 원자로 치환된 비스(알킬설폰일)이미드 음이온, 알킬기가 불소 원자로 치환된 트리스(알킬설폰일)메타이드 음이온이 바람직하다. 비구핵성 음이온으로서, 보다 바람직하게는 퍼플루오로 지방족 설폰산 음이온(더 바람직하게는 탄소수 4~8), 불소 원자를 갖는 벤젠설폰산 음이온, 보다 더 바람직하게는 노나플루오로뷰테인설폰산 음이온, 퍼플루오로옥테인설폰산 음이온, 펜타플루오로벤젠설폰산 음이온, 3,5-비스(트라이플루오로메틸)벤젠설폰산 음이온이다.Examples of the non-nucleophilic anion include an aliphatic sulfonic acid anion in which at least the? -Position of the sulfonic acid is substituted with a fluorine atom, an aromatic sulfonic acid anion in which the alkyl group is substituted with a fluorine atom or a fluorine atom, bis (alkylsulfonyl) Anion, and a tris (alkylsulfonyl) methide anion in which the alkyl group is substituted with a fluorine atom. The non-nucleophilic anion is more preferably a perfluoro aliphatic sulfonic acid anion (more preferably having 4 to 8 carbon atoms), a benzenesulfonic acid anion having a fluorine atom, still more preferably a nonafluorobutane sulfonic acid anion, Perfluorooctanesulfonic acid anion, pentafluorobenzenesulfonic acid anion, and 3,5-bis (trifluoromethyl) benzenesulfonic acid anion.

산 강도의 관점에서는, 발생산의 pKa가 -1 이하인 것이, 감도 향상을 위하여 바람직하다.From the viewpoint of the acid strength, it is preferable that the pKa of the generated acid is -1 or less in order to improve the sensitivity.

또, 비구핵성 음이온으로서는, 이하의 일반식 (AN1)로 나타나는 음이온도 바람직한 양태로서 들 수 있다.As the non-nucleophilic anion, the anion represented by the following general formula (AN1) may also be mentioned as a preferable embodiment.

[화학식 44](44)

Figure pct00044
Figure pct00044

식 중,Wherein,

Xf는, 각각 독립적으로, 불소 원자, 또는 적어도 하나의 불소 원자로 치환된 알킬기를 나타낸다.Xf each independently represents a fluorine atom or an alkyl group substituted with at least one fluorine atom.

R1, R2는, 각각 독립적으로, 수소 원자, 불소 원자, 또는 알킬기를 나타내고, 복수 존재하는 경우의 R1, R2는, 각각 동일해도 되고 달라도 된다.R 1 and R 2 each independently represent a hydrogen atom, a fluorine atom, or an alkyl group, and R 1 and R 2 in the case where a plurality of R 1 and R 2 are present may be the same or different.

L은, 2가의 연결기를 나타내며, 복수 존재하는 경우의 L은 동일해도 되고 달라도 된다.L represents a divalent linking group, and L in a case where a plurality is present may be the same or different.

A는, 환상의 유기기를 나타낸다.A represents a cyclic organic group.

x는 1~20의 정수를 나타내고, y는 0~10의 정수를 나타내며, z는 0~10의 정수를 나타낸다.x represents an integer of 1 to 20, y represents an integer of 0 to 10, and z represents an integer of 0 to 10.

일반식 (AN1)에 대하여, 더 상세하게 설명한다.The general formula (AN1) will be described in more detail.

Xf의 불소 원자로 치환된 알킬기에 있어서의 알킬기로서는, 바람직하게는 탄소수 1~10이며, 보다 바람직하게는 탄소수 1~4이다. 또, Xf의 불소 원자로 치환된 알킬기는, 퍼플루오로알킬기인 것이 바람직하다.The alkyl group in the fluorine atom-substituted alkyl group of Xf preferably has 1 to 10 carbon atoms, more preferably 1 to 4 carbon atoms. The alkyl group substituted with a fluorine atom of Xf is preferably a perfluoroalkyl group.

Xf로서 바람직하게는, 불소 원자 또는 탄소수 1~4의 퍼플루오로알킬기이다. Xf의 구체예로서는, 불소 원자, CF3, C2F5, C3F7, C4F9, CH2CF3, CH2CH2CF3, CH2C2F5, CH2CH2C2F5, CH2C3F7, CH2CH2C3F7, CH2C4F9, CH2CH2C4F9를 들 수 있고, 그 중에서도 불소 원자, CF3이 바람직하다. 특히, 쌍방의 Xf가 불소 원자인 것이 바람직하다.Xf is preferably a fluorine atom or a perfluoroalkyl group having 1 to 4 carbon atoms. Specific examples of Xf example, a fluorine atom, CF 3, C 2 F 5 , C 3 F 7, C 4 F 9, CH 2 CF 3, CH 2 CH 2 CF 3, CH 2 C 2 F 5, CH 2 CH 2 C 2 F 5 , CH 2 C 3 F 7 , CH 2 CH 2 C 3 F 7 , CH 2 C 4 F 9 and CH 2 CH 2 C 4 F 9 , among which a fluorine atom and CF 3 are preferable . Particularly, it is preferable that both Xf's are fluorine atoms.

R1, R2의 알킬기는, 치환기(바람직하게는 불소 원자)를 갖고 있어도 되고, 탄소수 1~4의 것이 바람직하다. 더 바람직하게는 탄소수 1~4의 퍼플루오로알킬기이다. R1, R2의 치환기를 갖는 알킬기의 구체예로서는, CF3, C2F5, C3F7, C4F9, C5F11, C6F13, C7F15, C8F17, CH2CF3, CH2CH2CF3, CH2C2F5, CH2CH2C2F5, CH2C3F7, CH2CH2C3F7, CH2C4F9, CH2CH2C4F9를 들 수 있고, 그 중에서도 CF3이 바람직하다.The alkyl group of R 1 and R 2 may have a substituent (preferably a fluorine atom), and preferably has 1 to 4 carbon atoms. More preferably a perfluoroalkyl group having 1 to 4 carbon atoms. Specific examples of the alkyl group having a substituent of R 1 and R 2 include CF 3 , C 2 F 5 , C 3 F 7 , C 4 F 9 , C 5 F 11 , C 6 F 13 , C 7 F 15 , C 8 F 17, CH 2 CF 3, CH 2 CH 2 CF 3, CH 2 C 2 F 5, CH 2 CH 2 C 2 F 5, CH 2 C 3 F 7, CH 2 CH 2 C 3 F 7, CH 2 C 4 F 9 , and CH 2 CH 2 C 4 F 9 , among which CF 3 is preferable.

R1, R2로서는, 바람직하게는 불소 원자 또는 CF3이다.R 1 and R 2 are preferably a fluorine atom or CF 3 .

x는 1~10이 바람직하고, 1~5가 보다 바람직하다.x is preferably 1 to 10, more preferably 1 to 5.

y는 0~4가 바람직하고, 0이 보다 바람직하다.y is preferably 0 to 4, more preferably 0.

z는 0~5가 바람직하고, 0~3이 보다 바람직하다.z is preferably 0 to 5, more preferably 0 to 3.

L의 2가의 연결기로서는 특별히 한정되지 않으며, -COO-, -OCO-, -CO-, -O-, -S-, -SO-, -SO2-, 알킬렌기, 사이클로알킬렌기, 알켄일렌기 또는 이들의 복수가 연결된 연결기 등을 들 수 있고, 총 탄소수 12 이하의 연결기가 바람직하다. 이 중에서도 -COO-, -OCO-, -CO-, -O-가 바람직하고, -COO-, -OCO-가 보다 바람직하다.L is not particularly limited and is preferably -COO-, -OCO-, -CO-, -O-, -S-, -SO-, -SO 2 -, an alkylene group, a cycloalkylene group, an alkenylene group Or a linking group in which a plurality of them are connected, and the like, and a linking group having a total carbon number of 12 or less is preferable. Of these, -COO-, -OCO-, -CO- and -O- are preferable, and -COO- and -OCO- are more preferable.

A의 환상의 유기기로서는, 환상 구조를 갖는 것이면 특별히 한정되지 않고, 지환기, 아릴기, 복소환기(방향족성을 갖는 것뿐만 아니라, 방향족성을 갖지 않는 것도 포함함) 등을 들 수 있다.The cyclic organic group of A is not particularly limited as long as it has a cyclic structure, and examples thereof include a cyclic group, an aryl group, and a heterocyclic group (including not only aromatic groups but also aromatic groups).

지환기로서는, 단환이어도 되고 다환이어도 되며, 사이클로펜틸기, 사이클로헥실기, 사이클로옥틸기 등의 단환의 사이클로알킬기, 노보닐기, 트라이사이클로데칸일기, 테트라사이클로데칸일기, 테트라사이클로도데칸일기, 아다만틸기 등의 다환의 사이클로알킬기가 바람직하다. 그 중에서도, 노보닐기, 트라이사이클로데칸일기, 테트라사이클로데칸일기, 테트라사이클로도데칸일기, 아다만틸기 등의 탄소수 7 이상의 벌키 구조를 갖는 지환기가, 노광 후 가열 공정에서의 막중 확산성을 억제할 수 있어, MEEF 향상의 관점에서 바람직하다.The cyclic group may be monocyclic or polycyclic, and may be a monocyclic cycloalkyl group such as cyclopentyl group, cyclohexyl group or cyclooctyl group, a norbornyl group, a tricyclodecanyl group, a tetracyclododecanyl group, a tetracyclododecanyl group, And a t-butyl group. Among them, an alicyclic group having a bulky structure having 7 or more carbon atoms, such as a norbornyl group, a tricyclodecanyl group, a tetracyclodecanyl group, a tetracyclododecanyl group, an adamantyl group, etc., And is preferable from the viewpoint of MEEF improvement.

아릴기로서는, 벤젠환, 나프탈렌환, 페난트렌환, 안트라센환을 들 수 있다.Examples of the aryl group include a benzene ring, a naphthalene ring, a phenanthrene ring and an anthracene ring.

복소환기로서는, 퓨란환, 싸이오펜환, 벤조퓨란환, 벤조싸이오펜환, 다이벤조퓨란환, 다이벤조싸이오펜환, 피리딘환 유래의 것을 들 수 있다. 그 중에서도 퓨란환, 싸이오펜환, 피리딘환 유래의 것이 바람직하다.Examples of the heterocyclic group include those derived from a furan ring, thiophene ring, benzofuran ring, benzothiophene ring, dibenzofuran ring, dibenzothiophene ring and pyridine ring. Among them, those derived from furan ring, thiophene ring and pyridine ring are preferable.

또, 환상의 유기기로서는, 락톤 구조도 들 수 있고, 구체예로서는, 상술한 일반식 (LC1-1)~(LC1-17)로 나타나는 락톤 구조를 들 수 있다.Examples of the cyclic organic group include a lactone structure. Specific examples thereof include the lactone structures represented by the aforementioned general formulas (LC1-1) to (LC1-17).

상기 환상의 유기기는, 치환기를 갖고 있어도 되고, 상기 치환기로서는, 알킬기(직쇄, 분기, 환상 중 어느 것이어도 되고, 탄소수 1~12가 바람직함), 사이클로알킬기(단환, 다환, 스파이로환 중 어느 것이어도 되고, 탄소수 3~20이 바람직함), 아릴기(탄소수 6~14가 바람직함), 하이드록시기, 알콕시기, 에스터기, 아마이드기, 유레테인기, 유레이도기, 싸이오에터기, 설폰아마이드기, 설폰산 에스터기 등을 들 수 있다. 또한, 환상의 유기기를 구성하는 탄소(환 형성에 기여하는 탄소)는 카보닐 탄소여도 된다.The cyclic organic group may have a substituent, and examples of the substituent include an alkyl group (any of linear, branched and cyclic, preferably 1 to 12 carbon atoms), a cycloalkyl group (monocyclic, polycyclic, (Preferably having from 6 to 14 carbon atoms), a hydroxyl group, an alkoxy group, an ester group, an amide group, a urethane group, a ureido group, a thioether group, a sulfone group Amide group, sulfonic acid ester group and the like. In addition, carbon constituting the cyclic organic group (carbon contributing to ring formation) may be carbonyl carbon.

R201, R202 및 R203의 유기기로서는, 아릴기, 알킬기, 사이클로알킬기 등을 들 수 있다. Examples of the organic group of R 201 , R 202 and R 203 include an aryl group, an alkyl group, and a cycloalkyl group.

R201, R202 및 R203 중, 적어도 1개가 아릴기인 것이 바람직하고, 3개 모두가 아릴기인 것이 보다 바람직하다. 아릴기로서는, 페닐기, 나프틸기 등 외에, 인돌 잔기, 피롤 잔기 등의 헤테로아릴기도 가능하다. R201~R203의 알킬기 및 사이클로알킬기로서는, 바람직하게는, 탄소수 1~10의 직쇄 또는 분기 알킬기, 탄소수 3~10의 사이클로알킬기를 들 수 있다. 알킬기로서, 보다 바람직하게는 메틸기, 에틸기, n-프로필기, i-프로필기, n-뷰틸기 등을 들 수 있다. 사이클로알킬기로서, 보다 바람직하게는, 사이클로프로필기, 사이클로뷰틸기, 사이클로펜틸기, 사이클로헥실기, 사이클로헵틸기 등을 들 수 있다. 이들 기는 치환기를 더 갖고 있어도 된다. 그 치환기로서는, 나이트로기, 불소 원자 등의 할로젠 원자, 카복실기, 수산기, 아미노기, 사이아노기, 알콕시기(바람직하게는 탄소수 1~15), 사이클로알킬기(바람직하게는 탄소수 3~15), 아릴기(바람직하게는 탄소수 6~14), 알콕시카보닐기(바람직하게는 탄소수 2~7), 아실기(바람직하게는 탄소수 2~12), 알콕시카보닐옥시기(바람직하게는 탄소수 2~7) 등을 들 수 있지만, 이들에 한정되는 것은 아니다.At least one of R 201 , R 202 and R 203 is preferably an aryl group, and more preferably all three are aryl groups. As the aryl group, a heteroaryl group such as an indole moiety and a pyrrole moiety may be used in addition to a phenyl group and a naphthyl group. The alkyl group and the cycloalkyl group represented by R 201 to R 203 preferably include a straight chain or branched alkyl group having 1 to 10 carbon atoms and a cycloalkyl group having 3 to 10 carbon atoms. The alkyl group is more preferably a methyl group, an ethyl group, an n-propyl group, an i-propyl group or an n-butyl group. More preferred examples of the cycloalkyl group include a cyclopropyl group, a cyclobutyl group, a cyclopentyl group, a cyclohexyl group, and a cycloheptyl group. These groups may further have a substituent. Examples of the substituent include a halogen atom such as a nitro group and a fluorine atom, a carboxyl group, a hydroxyl group, an amino group, a cyano group, an alkoxy group (preferably having 1 to 15 carbon atoms), a cycloalkyl group (preferably having 3 to 15 carbon atoms) , An aryl group (preferably having 6 to 14 carbon atoms), an alkoxycarbonyl group (preferably having 2 to 7 carbon atoms), an acyl group (preferably having 2 to 12 carbon atoms), an alkoxycarbonyloxy group (preferably having 2 to 7 carbon atoms ), But the present invention is not limited thereto.

일반식 (ZII), (ZIII) 중,Among the general formulas (ZII) and (ZIII)

R204~R207은, 각각 독립적으로, 아릴기, 알킬기 또는 사이클로알킬기를 나타낸다.Each of R 204 to R 207 independently represents an aryl group, an alkyl group or a cycloalkyl group.

R204~R207의 아릴기, 알킬기, 사이클로알킬기로서는, 상술한 화합물 (ZI)에 있어서의 R201~R203의 아릴기, 알킬기, 사이클로알킬기로서 설명한 아릴기와 동일하다.The aryl group, alkyl group and cycloalkyl group represented by R 204 to R 207 are the same as the aryl groups described as the aryl group, alkyl group and cycloalkyl group of R 201 to R 203 in the above-mentioned compound (ZI).

R204~R207의 아릴기, 알킬기, 사이클로알킬기는, 치환기를 갖고 있어도 된다. 이 치환기로서도, 상술한 화합물 (ZI)에 있어서의 R201~R203의 아릴기, 알킬기, 사이클로알킬기가 갖고 있어도 되는 것을 들 수 있다.The aryl group, alkyl group and cycloalkyl group represented by R 204 to R 207 may have a substituent. Examples of the substituent include those having an aryl group, an alkyl group and a cycloalkyl group of R 201 to R 203 in the above-mentioned compound (ZI).

Z-는, 비구핵성 음이온을 나타내고, 일반식 (ZI)에 있어서의 Z-의 비구핵성 음이온과 동일한 것을 들 수 있다.Z - represents an unconjugated anion and is the same as the non-nucleophilic anion of Z - in formula (ZI).

본 발명에 있어서는, 상기 광산발생제는, 노광에 의하여 발생한 산의 비노광부에 대한 확산을 억제하여 해상성을 양호하게 하는 관점에서, 전자선 또는 극자외선의 조사에 의하여, 체적 130Å3 이상의 크기의 산(보다 바람직하게는 설폰산)을 발생하는 화합물인 것이 바람직하고, 체적 190Å3 이상의 크기의 산(보다 바람직하게는 설폰산)을 발생하는 화합물인 것이 보다 바람직하며, 체적 270Å3 이상의 크기의 산(보다 바람직하게는 설폰산)을 발생하는 화합물인 것이 더 바람직하고, 체적 400Å3 이상의 크기의 산(보다 바람직하게는 설폰산)을 발생하는 화합물인 것이 특히 바람직하다. 단, 감도나 도포 용제 용해성의 관점에서, 상기 체적은, 2000Å3 이하인 것이 바람직하고, 1500Å3 이하인 것이 더 바람직하다. 상기 체적의 값은, 후지쓰 가부시키가이샤제의 "WinMOPAC"를 이용하여 구했다. 즉, 먼저, 각 예에 관한 산의 화학 구조를 입력하고, 다음으로, 이 구조를 초기 구조로서 MM3법을 이용한 분자력장 계산에 의하여, 각 산의 가장 안정된 입체 배좌를 결정하고, 그 후, 이들 가장 안정된 입체 배좌에 대하여 PM3법을 이용한 분자 궤도 계산을 행함에 따라, 각 산의 "accessible volume"을 계산할 수 있다.In the present invention, the photo acid generator is, from the point of view to suppress the diffusion of the unexposed portion of the acid generated by the exposure to be improved the resolution, electron beams or by the irradiation of EUV, the volume 130Å least three sizes acid of (More preferably, a sulfonic acid), more preferably a compound generating an acid having a size of 190 Å 3 or more (more preferably a sulfonic acid), and more preferably an acid having a size of 270 Å 3 or more More preferably a sulfonic acid), particularly preferably a compound which generates an acid having a size of 400 Å 3 or more (more preferably, a sulfonic acid). However, in view of the sensitivity and the solubility in coating solvent, wherein the volume is 3 to 2000Å or less are preferred, and more preferably not more than, 1500Å 3. The value of the volume was obtained using "WinMOPAC" manufactured by Fujitsu Kabushiki Kaisha. That is, first, the chemical structure of the acid in each example is input, and then the most stable steric body of each acid is determined by calculating the molecular force field using the MM3 method using this structure as an initial structure, By performing molecular orbital calculation using the PM3 method for the most stable three-dimensional fundus, the "accessible volume" of each acid can be calculated.

본 발명에 있어서는, 활성광선 또는 방사선의 조사에 의하여 이하에 예시하는 산을 발생하는 광산발생제가 바람직하다. 또한, 예의 일부에는, 체적의 계산값을 부기하고 있다(단위 Å3). 또한, 여기에서 구한 계산값은, 음이온부에 프로톤이 결합된 산의 체적값이다.In the present invention, a photoacid generator that generates an acid exemplified below by irradiation with actinic rays or radiation is preferable. In some of the examples, the calculated value of the volume is added (unit A 3 ). The calculation value obtained here is the volume value of the acid to which the proton is bonded to the anion portion.

1Å은 1×10-10m이다.1 Å is 1 × 10 -10 m.

[화학식 45][Chemical Formula 45]

Figure pct00045
Figure pct00045

[화학식 46](46)

Figure pct00046
Figure pct00046

[화학식 47](47)

Figure pct00047
Figure pct00047

광산발생제로서는, 일본 공개특허공보 2014-41328호 단락 [0368]~[0377], 일본 공개특허공보 2013-228681호 단락 [0240]~[0262](대응하는 미국 특허출원 공개공보 제2015/004533호의 [0339])를 원용할 수 있고, 이들 내용은 본원 명세서에 포함된다. 또, 바람직한 구체예로서 이하의 화합물을 들 수 있지만, 이들에 한정되는 것은 아니다.Examples of photoacid generators include those described in JP-A-2014-41328 [0368] to [0377], JP-A-2013-228681, paragraphs 0240 to 0262 (corresponding U.S. Patent Application Publication No. 2015/004533 And the contents of which are incorporated herein by reference. Specific preferred examples include, but are not limited to, the following compounds.

[화학식 48](48)

Figure pct00048
Figure pct00048

[화학식 49](49)

Figure pct00049
Figure pct00049

[화학식 50](50)

Figure pct00050
Figure pct00050

광산발생제는, 1종류 단독으로 또는 2종류 이상을 조합하여 사용할 수 있다.The photoacid generators may be used alone or in combination of two or more.

광산발생제의 감활성광선성 또는 감방사선성 조성물 중의 함유량은, 조성물의 전체 고형분을 기준으로 하여, 0.1~50질량%가 바람직하고, 보다 바람직하게는 5~50질량%, 더 바람직하게는 8~40질량%이다. 특히, 전자선이나 극자외선 노광 시에 고감도화, 고해상성을 양립하기 위해서는 광산발생제의 함유율은 높은 편이 바람직하고, 더 바람직하게는 10~40질량%, 가장 바람직하게는 10~35질량%이다.The content of the photoacid generator in the actinic ray-sensitive or radiation-sensitive composition is preferably from 0.1 to 50% by mass, more preferably from 5 to 50% by mass, more preferably from 8 to 8% by mass, based on the total solid content of the composition. To 40% by mass. In particular, in order to achieve high sensitivity and high resolution at the time of electron beam or extreme ultraviolet exposure, the photoacid generator content is preferably high, more preferably 10 to 40 mass%, and most preferably 10 to 35 mass%.

[용제 (C)][Solvent (C)]

본 발명의 패턴 형성 방법에 이용되는 감활성광선성 또는 감방사선성 조성물은, 용제 (C)를 포함한다. 이 용제는, (M1) 프로필렌글라이콜모노알킬에터카복실레이트와, (M2) 프로필렌글라이콜모노알킬에터, 락트산 에스터, 아세트산 에스터, 알콕시프로피온산 에스터, 쇄상 케톤, 환상 케톤, 락톤, 및 알킬렌카보네이트로 이루어지는 군으로부터 선택되는 적어도 하나 중 적어도 한쪽을 포함하고 있는 것이 바람직하다. 또한, 이 용제는, 성분 (M1) 및 (M2) 이외의 성분을 더 포함하고 있어도 된다.The actinic ray-sensitive or radiation-sensitive composition used in the pattern forming method of the present invention includes a solvent (C). The solvent may be selected from the group consisting of (M1) propylene glycol monoalkyl ether carboxylate, (M2) propylene glycol monoalkyl ether, lactic acid ester, acetic acid ester, alkoxypropionic acid ester, chain ketone, cyclic ketone, And at least one selected from the group consisting of an alkylene carbonate and an alkylene carbonate. The solvent may further contain components other than the components (M1) and (M2).

성분 (M1)로서는, 프로필렌글라이콜모노메틸에터아세테이트, 프로필렌글라이콜모노메틸에터프로피오네이트, 및 프로필렌글라이콜모노에틸에터아세테이트로 이루어지는 군으로부터 선택되는 적어도 하나가 바람직하고, 프로필렌글라이콜모노메틸에터아세테이트가 특히 바람직하다.The component (M1) is preferably at least one selected from the group consisting of propylene glycol monomethyl ether acetate, propylene glycol monomethyl ether propionate, and propylene glycol monoethyl ether acetate, Propylene glycol monomethyl ether acetate is particularly preferred.

성분 (M2)로서는, 이하의 것이 바람직하다.As the component (M2), the followings are preferable.

프로필렌글라이콜모노알킬에터로서는, 프로필렌글라이콜모노메틸에터 또는 프로필렌글라이콜모노에틸에터가 바람직하다.As the propylene glycol monoalkyl ether, propylene glycol monomethyl ether or propylene glycol monoethyl ether is preferable.

락트산 에스터로서는, 락트산 에틸, 락트산 뷰틸, 또는 락트산 프로필이 바람직하다.As the lactic acid ester, ethyl lactate, butyl lactate, or propyl lactate is preferable.

아세트산 에스터로서는, 아세트산 메틸, 아세트산 에틸, 아세트산 뷰틸, 아세트산 아이소뷰틸, 아세트산 프로필, 아세트산 아이소아밀, 폼산 메틸, 폼산 에틸, 폼산 뷰틸, 폼산 프로필, 또는 아세트산 3-메톡시뷰틸이 바람직하다.As the acetic acid ester, methyl acetate, ethyl acetate, butyl acetate, isobutyl acetate, propyl acetate, isoamyl acetate, methyl formate, ethyl formate, butyl formate, propyl formate, or 3-methoxybutyl acetate is preferable.

뷰티르산 뷰틸도 바람직하다.Butyl butyrate is also preferred.

알콕시프로피온산 에스터로서는, 3-메톡시프로피온산 메틸(MMP), 또는 3-에톡시프로피온산 에틸(EEP)이 바람직하다.As the alkoxypropionic acid ester, methyl 3-methoxypropionate (MMP) or ethyl 3-ethoxypropionate (EEP) is preferable.

쇄상 케톤으로서는, 1-옥탄온, 2-옥탄온, 1-노난온, 2-노난온, 아세톤, 4-헵탄온, 1-헥산온, 2-헥산온, 다이아이소뷰틸케톤, 페닐아세톤, 메틸에틸케톤, 메틸아이소뷰틸케톤, 아세틸아세톤, 아세톤일아세톤, 아이오논, 다이아세톤일알코올, 아세틸카비놀, 아세토페논, 메틸나프틸케톤, 또는 메틸아밀케톤이 바람직하다.Examples of the chain ketone include ketones such as 1-octanone, 2-octanone, 1-nonanone, 2-nonanone, acetone, 4-heptanone, 1-hexanone, 2-hexanone, diisobutylketone, Ethyl ketone, methyl isobutyl ketone, acetyl acetone, acetone diacetone, ionone, diacetone diol, acetyl carbinol, acetophenone, methyl naphthyl ketone, or methyl amyl ketone is preferable.

환상 케톤으로서는, 메틸사이클로헥산온, 아이소포론, 또는 사이클로헥산온이 바람직하다.As the cyclic ketone, methylcyclohexanone, isophorone, or cyclohexanone is preferable.

락톤으로서는, γ-뷰티로락톤이 바람직하다.As the lactone,? -Butyrolactone is preferable.

알킬렌카보네이트로서는, 프로필렌카보네이트가 바람직하다.As the alkylene carbonate, propylene carbonate is preferable.

성분 (M2)로서는, 프로필렌글라이콜모노메틸에터, 락트산 에틸, 3-에톡시프로피온산 에틸, 메틸아밀케톤, 사이클로헥산온, 아세트산 뷰틸, 아세트산 펜틸, γ-뷰티로락톤 또는 프로필렌카보네이트가 보다 바람직하다.As the component (M2), propylene glycol monomethyl ether, ethyl lactate, ethyl 3-ethoxypropionate, methyl amyl ketone, cyclohexanone, butyl acetate, pentyl acetate,? -Butyrolactone or propylene carbonate is more preferable Do.

상기 성분 외에, 탄소 원자수가 7 이상(7~14가 바람직하고, 7~12가 보다 바람직하며, 7~10이 더 바람직함)이고, 또한 헤테로 원자수가 2 이하인 에스터계 용제를 이용하는 것이 바람직하다.It is preferable to use an ester solvent in which the number of carbon atoms is 7 or more (preferably 7 to 14, more preferably 7 to 12, more preferably 7 to 10), and the number of heteroatoms is 2 or less.

탄소 원자수가 7 이상이고 또한 헤테로 원자수가 2 이하인 에스터계 용제의 바람직한 예로서는, 아세트산 아밀, 아세트산 2-메틸뷰틸, 아세트산 1-메틸뷰틸, 아세트산 헥실, 프로피온산 펜틸, 프로피온산 헥실, 프로피온산 뷰틸, 아이소뷰티르산 아이소뷰틸, 프로피온산 헵틸, 뷰탄산 뷰틸 등을 들 수 있으며, 아세트산 아이소아밀을 이용하는 것이 특히 바람직하다.Preferable examples of the ester type solvent having 7 or more carbon atoms and 2 or less hetero atoms include amyl acetate, 2-methylbutyl acetate, 1-methylbutyl acetate, hexyl acetate, pentyl propionate, hexyl propionate, butyl propionate, isobutyrate iso Butyl, heptyl propionate, and butyl butanoate, and isoamyl acetate is particularly preferable.

성분 (M2)로서는, 인화점(이하, fp라고도 함)이 37℃ 이상인 것을 이용하는 것이 바람직하다. 이와 같은 성분 (M2)로서는, 프로필렌글라이콜모노메틸에터(fp: 47℃), 락트산 에틸(fp: 53℃), 3-에톡시프로피온산 에틸(fp: 49℃), 메틸아밀케톤(fp: 42℃), 사이클로헥산온(fp: 44℃), 아세트산 펜틸(fp: 45℃), 2-하이드록시아이소뷰티르산 메틸(fp: 45℃), γ-뷰티로락톤(fp: 101℃) 또는 프로필렌카보네이트(fp: 132℃)가 바람직하다. 이들 중, 프로필렌글라이콜모노에틸에터, 락트산 에틸, 아세트산 펜틸, 또는 사이클로헥산온이 더 바람직하고, 프로필렌글라이콜모노에틸에터 또는 락트산 에틸이 특히 바람직하다. 또한, 여기에서 "인화점"이란, 도쿄 가세이 고교 가부시키가이샤 또는 씨그마 알드리치사의 시약 카탈로그에 기재되어 있는 값을 의미하고 있다.As the component (M2), those having a flash point (hereinafter also referred to as fp) of 37 ° C or higher are preferably used. Examples of such a component (M2) include propylene glycol monomethyl ether (fp: 47 ° C), ethyl lactate (fp: 53 ° C), ethyl 3-ethoxypropionate (fp: 49 ° C), methyl amyl ketone : 42 ° C), cyclohexanone (fp: 44 ° C), pentyl acetate (fp: 45 ° C), methyl 2-hydroxyisobutyrate (fp: 45 ° C), γ-butyrolactone Or propylene carbonate (fp: 132 DEG C). Of these, propylene glycol monoethyl ether, ethyl lactate, pentyl acetate, and cyclohexanone are more preferable, and propylene glycol monoethyl ether or ethyl lactate is particularly preferable. The "flash point" used herein means the value described in the reagent catalog of TOKYO KASEI KOGYO Co., Ltd. or Sigma-Aldrich Co.

용제는, 성분 (M1)을 포함하고 있는 것이 바람직하다. 용제는, 실질적으로 성분 (M1)만으로 이루어지거나, 또는 성분 (M1)과 다른 성분과의 혼합 용제인 것이 보다 바람직하다. 후자의 경우, 용제는, 성분 (M1)과 성분 (M2)의 쌍방을 포함하고 있는 것이 더 바람직하다.The solvent preferably contains the component (M1). It is more preferable that the solvent consist essentially of the component (M1) alone or a mixed solvent of the component (M1) and other components. In the latter case, it is more preferable that the solvent includes both of the component (M1) and the component (M2).

성분 (M1)과 성분 (M2)의 질량비는, 100:0 내지 15:85의 범위 내에 있는 것이 바람직하고, 100:0 내지 40:60의 범위 내에 있는 것이 보다 바람직하며, 100:0 내지 60:40의 범위 내에 있는 것이 더 바람직하다. 즉, 용제는, 성분 (M1)만으로 이루어지거나, 또는 성분 (M1)과 성분 (M2)의 쌍방을 포함하고 있고 또한 이들의 질량비가 이하와 같은 것이 바람직하다. 즉, 후자의 경우, 성분 (M2)에 대한 성분 (M1)의 질량비는, 15/85 이상인 것이 바람직하고, 40/60 이상인 것이 보다 바람직하며, 60/40 이상인 것이 더 바람직하다. 이와 같은 구성을 채용하면, 현상 결함수를 더 감소시키는 것이 가능해진다.The mass ratio of the component (M1) to the component (M2) is preferably in the range of 100: 0 to 15:85, more preferably in the range of 100: 0 to 40:60, 40 < / RTI > That is, it is preferable that the solvent comprises only the component (M1), or both the component (M1) and the component (M2), and the mass ratio thereof is as follows. That is, in the latter case, the mass ratio of the component (M1) to the component (M2) is preferably 15/85 or more, more preferably 40/60 or more, and even more preferably 60/40 or more. By employing such a configuration, it becomes possible to further reduce the number of development defects.

또한, 용제가 성분 (M1)과 성분 (M2)의 쌍방을 포함하고 있는 경우, 성분 (M2)에 대한 성분 (M1)의 질량비는, 예를 들면 99/1 이하로 한다.When the solvent contains both of the component (M1) and the component (M2), the mass ratio of the component (M1) to the component (M2) is, for example, 99/1 or less.

상술한 바와 같이, 용제는, 성분 (M1) 및 (M2) 이외의 성분을 더 포함하고 있어도 된다. 이 경우, 성분 (M1) 및 (M2) 이외의 성분의 함유량은, 용제의 전체량에 대하여, 5질량% 내지 30질량%의 범위 내에 있는 것이 바람직하다.As described above, the solvent may further contain components other than the components (M1) and (M2). In this case, the content of the components other than the components (M1) and (M2) is preferably in the range of 5% by mass to 30% by mass with respect to the total amount of the solvent.

감활성광선성 또는 감방사선성 조성물에서 차지하는 용제의 함유량은, 전체 성분의 고형분 농도가 0.5~30질량%가 되도록 정하는 것이 바람직하고, 1~20질량%가 되도록 정하는 것이 보다 바람직하다. 이렇게 하면, 감활성광선성 또는 감방사선성 조성물의 도포성을 더 향상시킬 수 있다.The content of the solvent in the actinic ray-sensitive or radiation-sensitive composition is preferably determined such that the solid content of the whole component is 0.5 to 30 mass%, more preferably 1 to 20 mass%. By doing so, the applicability of the actinic ray-sensitive or radiation-sensitive composition can be further improved.

<염기성 화합물>&Lt; Basic compound >

본 발명의 감활성광선성 또는 감방사선성 조성물은, 노광부터 가열까지의 경시에 따른 성능 변화를 저감시키기 위하여, 염기성 화합물을 함유하는 것이 바람직하다.The actinic ray-sensitive or radiation-sensitive composition of the present invention preferably contains a basic compound in order to reduce the change in performance over time from exposure to heating.

염기성 화합물로서는, 바람직하게는, 하기 식 (A)~(E)로 나타나는 구조를 갖는 화합물을 들 수 있다.The basic compound is preferably a compound having a structure represented by the following formulas (A) to (E).

[화학식 51](51)

Figure pct00051
Figure pct00051

일반식 (A) 및 (E) 중, R200, R201 및 R202는, 동일해도 되고 달라도 되며, 수소 원자, 알킬기(바람직하게는 탄소수 1~20), 사이클로알킬기(바람직하게는 탄소수 3~20) 또는 아릴기(바람직하게는 탄소수 6~20)를 나타내고, 여기에서, R201과 R202는, 서로 결합하여 환을 형성해도 된다.In the general formulas (A) and (E), R 200 , R 201 and R 202 may be the same or different and each represents a hydrogen atom, an alkyl group (preferably having 1 to 20 carbon atoms), a cycloalkyl group 20) or an aryl group (preferably having 6 to 20 carbon atoms), wherein R 201 and R 202 may be bonded to each other to form a ring.

상기 알킬기에 대하여, 치환기를 갖는 알킬기로서는, 탄소수 1~20의 아미노알킬기, 탄소수 1~20의 하이드록시알킬기, 또는 탄소수 1~20의 사이아노알킬기가 바람직하다.As the alkyl group having a substituent for the alkyl group, an aminoalkyl group having 1 to 20 carbon atoms, a hydroxyalkyl group having 1 to 20 carbon atoms, or a cyanoalkyl group having 1 to 20 carbon atoms is preferable.

R203, R204, R205 및 R206은, 동일해도 되고 달라도 되며, 탄소수 1~20개의 알킬기를 나타낸다.R 203 , R 204 , R 205 and R 206 may be the same or different and represent an alkyl group having 1 to 20 carbon atoms.

이들 일반식 (A) 및 (E) 중의 알킬기는, 무치환인 것이 보다 바람직하다.The alkyl groups in these general formulas (A) and (E) are more preferably amorphous.

바람직한 화합물로서, 구아니딘, 아미노피롤리딘, 피라졸, 피라졸린, 피페라진, 아미노모폴린, 아미노알킬모폴린, 피페리딘 등을 들 수 있고, 더 바람직한 화합물로서, 이미다졸 구조, 다이아자바이사이클로 구조, 오늄하이드록사이드 구조, 오늄카복실레이트 구조, 트라이알킬아민 구조, 아닐린 구조 또는 피리딘 구조를 갖는 화합물, 수산기 및/또는 에터 결합을 갖는 알킬아민 유도체, 수산기 및/또는 에터 결합을 갖는 아닐린 유도체 등을 들 수 있다.Preferred examples of the compound include guanidine, aminopyrrolidine, pyrazole, pyrazoline, piperazine, aminomorpholine, aminoalkylmorpholine, piperidine and the like. More preferred compounds include imidazole structure, diazabicyclic An onium hydroxide structure, an onium carboxylate structure, a trialkylamine structure, an aniline structure or a pyridine structure, an alkylamine derivative having a hydroxyl group and / or an ether bond, an aniline derivative having a hydroxyl group and / or an ether bond, etc. .

이미다졸 구조를 갖는 화합물로서는 이미다졸, 2,4,5-트라이페닐이미다졸, 벤즈이미다졸 등을 들 수 있다. 다이아자바이사이클로 구조를 갖는 화합물로서는 1,4-다이아자바이사이클로[2,2,2]옥테인, 1,5-다이아자바이사이클로[4,3,0]노느-5-엔, 1,8-다이아자바이사이클로[5,4,0]운데스-7-엔 등을 들 수 있다. 오늄하이드록사이드 구조를 갖는 화합물로서는 트라이아릴설포늄하이드록사이드, 페나실설포늄하이드록사이드, 2-옥소알킬기를 갖는 설포늄하이드록사이드, 구체적으로는 트라이페닐설포늄하이드록사이드, 트리스(t-뷰틸페닐)설포늄하이드록사이드, 비스(t-뷰틸페닐)아이오도늄하이드록사이드, 페나실싸이오페늄하이드록사이드, 2-옥소프로필싸이오페늄하이드록사이드 등을 들 수 있다. 오늄카복실레이트 구조를 갖는 화합물로서는 오늄하이드록사이드 구조를 갖는 화합물의 음이온부가 카복실레이트가 된 것이며, 예를 들면 아세테이트, 아다만테인-1-카복실레이트, 퍼플루오로알킬카복실레이트 등을 들 수 있다. 트라이알킬아민 구조를 갖는 화합물로서는, 트라이(n-뷰틸)아민, 트라이(n-옥틸)아민 등을 들 수 있다. 아닐린 화합물로서는, 2,6-다이아이소프로필아닐린, N,N-다이메틸아닐린, N,N-다이뷰틸아닐린, N,N-다이헥실아닐린 등을 들 수 있다. 수산기 및/또는 에터 결합을 갖는 알킬아민 유도체로서는, 에탄올아민, 다이에탄올아민, 트라이에탄올아민, 트리스(메톡시에톡시에틸)아민 등을 들 수 있다. 수산기 및/또는 에터 결합을 갖는 아닐린 유도체로서는, N,N-비스(하이드록시에틸)아닐린 등을 들 수 있다.Examples of the compound having an imidazole structure include imidazole, 2,4,5-triphenylimidazole, benzimidazole and the like. Examples of the compound having a diazabicyclo structure include 1,4-diazabicyclo [2,2,2] octane, 1,5-diazabicyclo [4,3,0] Javancyclo [5,4,0] undec-7-ene, and the like. Examples of the compound having an onium hydroxide structure include triarylsulfonium hydroxide, phenacysulfonium hydroxide, sulfonium hydroxide having a 2-oxoalkyl group, specifically, triphenylsulfonium hydroxide, tris (t -Butylphenyl) sulfonium hydroxide, bis (t-butylphenyl) iodonium hydroxide, phenacylthiophenium hydroxide, 2-oxopropylthiophenium hydroxide and the like. As the compound having an onium carboxylate structure, the anion portion of the compound having an onium hydroxide structure is converted to a carboxylate, and examples thereof include acetate, adamantane-1-carboxylate and perfluoroalkyl carboxylate . Examples of the compound having a trialkylamine structure include tri (n-butyl) amine and tri (n-octyl) amine. Examples of the aniline compound include 2,6-diisopropylaniline, N, N-dimethylaniline, N, N-dibutyl aniline and N, N-dibutylaniline. Examples of the alkylamine derivative having a hydroxyl group and / or an ether bond include ethanolamine, diethanolamine, triethanolamine, tris (methoxyethoxyethyl) amine and the like. Examples of the aniline derivative having a hydroxyl group and / or an ether bond include N, N-bis (hydroxyethyl) aniline and the like.

바람직한 염기성 화합물로서, 페녹시기를 갖는 아민 화합물, 페녹시기를 갖는 암모늄염 화합물을 추가로 들 수 있다.Preferred examples of the basic compound include an amine compound having a phenoxy group and an ammonium salt compound having a phenoxy group.

아민 화합물은, 1급, 2급, 3급의 아민 화합물을 사용할 수 있고, 적어도 하나의 알킬기가 질소 원자에 결합되어 있는 아민 화합물이 바람직하다. 아민 화합물은, 3급 아민 화합물인 것이 보다 바람직하다. 아민 화합물은, 적어도 하나의 알킬기(바람직하게는 탄소수 1~20)가 질소 원자에 결합되어 있으면, 알킬기 외에, 사이클로알킬기(바람직하게는 탄소수 3~20) 또는 아릴기(바람직하게는 탄소수 6~12)가 질소 원자에 결합되어 있어도 된다.As the amine compound, primary, secondary, and tertiary amine compounds can be used, and an amine compound in which at least one alkyl group is bonded to a nitrogen atom is preferable. The amine compound is more preferably a tertiary amine compound. When the at least one alkyl group (preferably 1 to 20 carbon atoms) is bonded to the nitrogen atom, the amine compound may contain, in addition to the alkyl group, a cycloalkyl group (preferably having 3 to 20 carbon atoms) or an aryl group ) May be bonded to a nitrogen atom.

또, 아민 화합물은, 알킬쇄 중에, 산소 원자를 갖고, 옥시알킬렌기가 형성되어 있는 것이 바람직하다. 옥시알킬렌기의 수는, 분자 내에 1개 이상, 바람직하게는 3~9개, 더 바람직하게는 4~6개이다. 옥시알킬렌기 중에서도 옥시에틸렌기(-CH2CH2O-) 혹은 옥시프로필렌기(-CH(CH3)CH2O- 혹은 -CH2CH2CH2O-)가 바람직하고, 더 바람직하게는 옥시에틸렌기이다.It is preferable that the amine compound has an oxygen atom in the alkyl chain and an oxyalkylene group. The number of oxyalkylene groups is one or more, preferably 3 to 9, more preferably 4 to 6, in the molecule. Among oxyalkylene groups, an oxyethylene group (-CH 2 CH 2 O-) or an oxypropylene group (-CH (CH 3 ) CH 2 O- or -CH 2 CH 2 CH 2 O-) is preferable, Oxyethylene group.

암모늄염 화합물은, 1급, 2급, 3급, 4급의 암모늄염 화합물을 사용할 수 있고, 적어도 하나의 알킬기가 질소 원자에 결합되어 있는 암모늄염 화합물이 바람직하다. 암모늄염 화합물은, 적어도 하나의 알킬기(바람직하게는 탄소수 1~20)가 질소 원자에 결합되어 있으면, 알킬기 외에, 사이클로알킬기(바람직하게는 탄소수 3~20) 또는 아릴기(바람직하게는 탄소수 6~12)가 질소 원자에 결합되어 있어도 된다.The ammonium salt compound is preferably an ammonium salt compound in which primary, secondary, tertiary or quaternary ammonium salt compounds can be used and at least one alkyl group is bonded to a nitrogen atom. When the at least one alkyl group (preferably 1 to 20 carbon atoms) is bonded to the nitrogen atom, the ammonium salt compound may contain, in addition to the alkyl group, a cycloalkyl group (preferably having 3 to 20 carbon atoms) or an aryl group ) May be bonded to a nitrogen atom.

암모늄염 화합물은, 알킬쇄 중에, 산소 원자를 갖고, 옥시알킬렌기가 형성되어 있는 것이 바람직하다. 옥시알킬렌기의 수는, 분자 내에 1개 이상, 바람직하게는 3~9개, 더 바람직하게는 4~6개이다. 옥시알킬렌기 중에서도 옥시에틸렌기(-CH2CH2O-) 혹은 옥시프로필렌기(-CH(CH3)CH2O- 혹은 -CH2CH2CH2O-)가 바람직하고, 더 바람직하게는 옥시에틸렌기이다.It is preferable that the ammonium salt compound has an oxygen atom and an oxyalkylene group in the alkyl chain. The number of oxyalkylene groups is one or more, preferably 3 to 9, more preferably 4 to 6, in the molecule. Among oxyalkylene groups, an oxyethylene group (-CH 2 CH 2 O-) or an oxypropylene group (-CH (CH 3 ) CH 2 O- or -CH 2 CH 2 CH 2 O-) is preferable, Oxyethylene group.

암모늄염 화합물의 음이온으로서는, 할로젠 원자, 설포네이트, 보레이트, 포스페이트 등을 들 수 있는데, 그 중에서도 할로젠 원자, 설포네이트가 바람직하다. 할로젠 원자로서는 클로라이드, 브로마이드, 아이오다이드가 특히 바람직하고, 설포네이트로서는, 탄소수 1~20의 유기 설포네이트가 특히 바람직하다. 유기 설포네이트로서는, 탄소수 1~20의 알킬설포네이트, 아릴설포네이트를 들 수 있다. 알킬설포네이트의 알킬기는 치환기를 갖고 있어도 되고, 치환기로서는 예를 들면 불소, 염소, 브로민, 알콕시기, 아실기, 아릴기 등을 들 수 있다. 알킬설포네이트로서, 구체적으로는 메테인설포네이트, 에테인설포네이트, 뷰테인설포네이트, 헥세인설포네이트, 옥테인설포네이트, 벤질설포네이트, 트라이플루오로메테인설포네이트, 펜타플루오로에테인설포네이트, 노나플루오로뷰테인설포네이트 등을 들 수 있다. 아릴설포네이트의 아릴기로서는 벤젠환, 나프탈렌환, 안트라센환을 들 수 있다. 벤젠환, 나프탈렌환, 안트라센환은 치환기를 갖고 있어도 되고, 치환기로서는 탄소수 1~6의 직쇄 혹은 분기 알킬기, 탄소수 3~6의 사이클로알킬기가 바람직하다. 직쇄 혹은 분기 알킬기, 사이클로알킬기로서, 구체적으로는, 메틸, 에틸, n-프로필, 아이소프로필, n-뷰틸, i-뷰틸, t-뷰틸, n-헥실, 사이클로헥실 등을 들 수 있다. 다른 치환기로서는 탄소수 1~6의 알콕시기, 할로젠 원자, 사이아노, 나이트로, 아실기, 아실옥시기 등을 들 수 있다.Examples of the anion of the ammonium salt compound include a halogen atom, a sulfonate, a borate, and a phosphate. Among them, a halogen atom and a sulfonate are preferable. The halogen atom is particularly preferably chloride, bromide or iodide, and as the sulfonate, an organic sulfonate having 1 to 20 carbon atoms is particularly preferable. Examples of the organic sulfonate include alkyl sulfonates having 1 to 20 carbon atoms and aryl sulfonates. The alkyl group of the alkyl sulfonate may have a substituent, and examples of the substituent include fluorine, chlorine, bromine, alkoxy group, acyl group, and aryl group. Specific examples of the alkyl sulfonate include methanesulfonate, ethanesulfonate, butainesulfonate, hexanesulfonate, octanesulfonate, benzylsulfonate, trifluoromethanesulfonate, pentafluoroethanesulfonate , Nonafluorobutane sulfonate, and the like. The aryl group of the arylsulfonate includes a benzene ring, a naphthalene ring and an anthracene ring. The benzene ring, naphthalene ring and anthracene ring may have a substituent, and the substituent is preferably a linear or branched alkyl group having 1 to 6 carbon atoms or a cycloalkyl group having 3 to 6 carbon atoms. Specific examples of the linear or branched alkyl group and cycloalkyl group include methyl, ethyl, n-propyl, isopropyl, n-butyl, i-butyl, t-butyl, n-hexyl and cyclohexyl. Examples of other substituents include an alkoxy group having 1 to 6 carbon atoms, a halogen atom, a cyano group, a nitro group, an acyl group, and an acyloxy group.

페녹시기를 갖는 아민 화합물, 페녹시기를 갖는 암모늄염 화합물은, 아민 화합물 또는 암모늄염 화합물의 알킬기의 질소 원자와 반대측의 말단에 페녹시기를 갖는 것이다. 페녹시기는, 치환기를 갖고 있어도 된다. 페녹시기의 치환기로서는, 예를 들면 알킬기, 알콕시기, 할로젠 원자, 사이아노기, 나이트로기, 카복실기, 카복실산 에스터기, 설폰산 에스터기, 아릴기, 아랄킬기, 아실옥시기, 아릴옥시기 등을 들 수 있다. 치환기의 치환위는, 2~6위 중 어느 것이어도 된다. 치환기의 수는, 1~5의 범위에서 어느 것이어도 된다.The amine compound having a phenoxy group or the ammonium salt compound having a phenoxy group has a phenoxy group at the terminal on the opposite side to the nitrogen atom of the alkyl group of the amine compound or the ammonium salt compound. The phenoxy group may have a substituent. Examples of the substituent of the phenoxy group include an alkyl group, an alkoxy group, a halogen atom, a cyano group, a nitro group, a carboxyl group, a carboxylic acid ester group, a sulfonic acid ester group, an aryl group, an aralkyl group, Time and so on. The substitution of the substituent may be any of 2 to 6 above. The number of substituents may be in the range of 1 to 5.

페녹시기와 질소 원자의 사이에, 적어도 1개의 옥시알킬렌기를 갖는 것이 바람직하다. 옥시알킬렌기의 수는, 분자 내에 1개 이상, 바람직하게는 3~9개, 더 바람직하게는 4~6개이다. 옥시알킬렌기 중에서도 옥시에틸렌기(-CH2CH2O-) 혹은 옥시프로필렌기(-CH(CH3)CH2O- 혹은 -CH2CH2CH2O-)가 바람직하고, 더 바람직하게는 옥시에틸렌기이다.It is preferable that at least one oxyalkylene group is present between the phenoxy group and the nitrogen atom. The number of oxyalkylene groups is one or more, preferably 3 to 9, more preferably 4 to 6, in the molecule. Among oxyalkylene groups, an oxyethylene group (-CH 2 CH 2 O-) or an oxypropylene group (-CH (CH 3 ) CH 2 O- or -CH 2 CH 2 CH 2 O-) is preferable, Oxyethylene group.

페녹시기를 갖는 아민 화합물은, 페녹시기를 갖는 1 또는 2급 아민과 할로알킬에터를 가열하여 반응시킨 후, 수산화 나트륨, 수산화 칼륨, 테트라알킬암모늄 등의 강염기의 수용액을 첨가한 후, 아세트산 에틸, 클로로폼 등의 유기 용제로 추출함으로써 얻을 수 있다. 또는, 1 또는 2급 아민과 말단에 페녹시기를 갖는 할로알킬에터를 가열하여 반응시킨 후, 수산화 나트륨, 수산화 칼륨, 테트라알킬암모늄 등의 강염기의 수용액을 첨가한 후, 아세트산 에틸, 클로로폼 등의 유기 용제로 추출함으로써 얻을 수 있다.The amine compound having a phenoxy group can be obtained by heating and reacting a primary or secondary amine having a phenoxy group with a haloalkyl ether and then adding an aqueous solution of a strong base such as sodium hydroxide, potassium hydroxide or tetraalkylammonium, , Chloroform and the like. Alternatively, a reaction may be carried out by heating a primary or secondary amine and a haloalkyl ether having a phenoxy group at the terminal thereof, and then adding an aqueous solution of a strong base such as sodium hydroxide, potassium hydroxide or tetraalkylammonium, Of an organic solvent.

(프로톤 억셉터성 관능기를 갖고, 또한 활성광선 또는 방사선의 조사에 의하여 분해되어 프로톤 억셉터성이 저하, 소실되거나, 또는 프로톤 억셉터성으로부터 산성으로 변화한 화합물을 발생하는 화합물 (PA))(A compound (PA) which has a proton acceptor functional group and which decomposes by irradiation with an actinic ray or radiation to decrease or disappear the proton acceptor property or to generate a compound which changes from proton acceptor property to acidic property)

본 발명에 관한 감활성광선성 또는 감방사선성 조성물은, 염기성 화합물로서, 프로톤 억셉터성 관능기를 갖고, 또한 활성광선 또는 방사선의 조사에 의하여 분해되어 프로톤 억셉터성이 저하, 소실되거나, 또는 프로톤 억셉터성으로부터 산성으로 변화한 화합물을 발생하는 화합물〔이하, 화합물 (PA)라고도 함〕을 더 포함하고 있어도 된다.The actinic ray-sensitive or radiation-sensitive composition according to the present invention is a basic compound which has a proton acceptor functional group and is decomposed by irradiation with an actinic ray or radiation to decrease or disappear the proton acceptor property, (Hereinafter also referred to as compound (PA)) which generates a compound which changes from an acceptor property to an acidic property.

프로톤 억셉터성 관능기란, 프로톤과 정전적으로 상호 작용할 수 있는 기 혹은 전자를 갖는 관능기이며, 예를 들면 환상 폴리에터 등의 매크로사이클릭 구조를 갖는 관능기나, π 공액에 기여하지 않는 비공유 전자쌍을 가진 질소 원자를 갖는 관능기를 의미한다. π 공액에 기여하지 않는 비공유 전자쌍을 갖는 질소 원자란, 예를 들면 하기 일반식에 나타내는 부분 구조를 갖는 질소 원자이다.The proton acceptor functional group is a functional group having a group capable of electrostatically interacting with the proton or an electron, and includes, for example, a functional group having a macrocyclic structure such as a cyclic polyether or a non-conjugated electron pair Means a functional group having a nitrogen atom attached thereto. The nitrogen atom having a non-covalent electron pair not contributing to the pi bond is, for example, a nitrogen atom having a partial structure represented by the following general formula.

[화학식 52](52)

Figure pct00052
Figure pct00052

프로톤 억셉터성 관능기의 바람직한 부분 구조로서, 예를 들면 크라운 에터, 아자크라운 에터, 1~3급 아민, 피리딘, 이미다졸, 피라진 구조 등을 들 수 있다.Preferable partial structures of the proton acceptor functional groups include, for example, crown ethers, azacrown ethers, primary to tertiary amines, pyridine, imidazole and pyrazine structures.

화합물 (PA)는, 활성광선 또는 방사선의 조사에 의하여 분해되어 프로톤 억셉터성이 저하, 소실되거나, 또는 프로톤 억셉터성으로부터 산성으로 변화한 화합물을 발생한다. 여기에서, 프로톤 억셉터성의 저하, 소실, 또는 프로톤 억셉터성으로부터 산성으로의 변화란, 프로톤 억셉터성 관능기에 프로톤이 부가하는 것에 기인하는 프로톤 억셉터성의 변화이며, 구체적으로는, 프로톤 억셉터성 관능기를 갖는 화합물 (PA)와 프로톤으로부터 프로톤 부가체가 생성될 때, 그 화학 평형에 있어서의 평형 상수가 감소하는 것을 의미한다.The compound (PA) is decomposed by irradiation with an actinic ray or radiation to generate a compound in which the proton acceptor property is decreased, disappears, or the proton acceptor property is changed to acidic. Here, the change of the proton acceptor property from the degradation, disappearance, or change from the proton acceptor property to the acid is a change of the proton acceptor property due to the addition of the proton to the proton acceptor functional group. Specifically, Means that the equilibrium constant in the chemical equilibrium is reduced when a proton adduct is produced from a compound (PA) having a functional group and a proton.

화합물 (PA)의 구체예로서는, 예를 들면 하기 화합물을 들 수 있다. 또한, 화합물 (PA)의 구체예로서는, 예를 들면 일본 공개특허공보 2014-41328호의 단락 0421~0428, 일본 공개특허공보 2014-134686호의 단락 0108~0116에 기재된 것을 원용할 수 있고, 이들 내용은 본 명세서에 포함된다.Specific examples of the compound (PA) include, for example, the following compounds. As specific examples of the compound (PA), those described in paragraphs 0421 to 0428 of JP-A No. 2014-41328 and paragraphs 0108 to 0116 of JP-A No. 2014-134686 may be cited. Are included in the specification.

[화학식 53](53)

Figure pct00053
Figure pct00053

[화학식 54](54)

Figure pct00054
Figure pct00054

[화학식 55](55)

Figure pct00055
Figure pct00055

이들 염기성 화합물은, 단독으로 혹은 2종 이상 함께 이용된다.These basic compounds may be used alone or in combination of two or more.

염기성 화합물의 사용량은, 감활성광선성 또는 감방사선성 조성물의 고형분을 기준으로 하여, 통상, 0.001~10질량%, 바람직하게는 0.01~5질량%이다.The amount of the basic compound to be used is usually 0.001 to 10% by mass, preferably 0.01 to 5% by mass, based on the solid content of the actinic ray-sensitive or radiation-sensitive composition.

산발생제와 염기성 화합물의 조성물 중의 사용 비율은, 산발생제/염기성 화합물(몰비)=2.5~300인 것이 바람직하다. 즉, 감도, 해상도의 점에서 몰비가 2.5 이상이 바람직하고, 노광 후 가열 처리까지의 경시에 따른 레지스트 패턴의 굵어짐에 의한 해상도의 저하 억제의 점에서 300 이하가 바람직하다. 산발생제/염기성 화합물(몰비)은, 보다 바람직하게는 5.0~200, 더 바람직하게는 7.0~150이다.The ratio of the acid generator to the basic compound in the composition is preferably from 2.5 to 300 as the acid generator / basic compound (molar ratio). That is, the mole ratio is preferably 2.5 or more in terms of sensitivity and resolution, and is preferably 300 or less from the viewpoint of suppressing the resolution lowering due to the thickening of the resist pattern with time after the post-exposure heating treatment. The acid generator / basic compound (molar ratio) is more preferably 5.0 to 200, and still more preferably 7.0 to 150.

염기성 화합물로서는, 예를 들면 일본 공개특허공보 2013-11833호의 단락 0140~0144에 기재된 화합물(아민 화합물, 아마이드기 함유 화합물, 유레아 화합물, 함질소 복소환 화합물 등)을 이용할 수 있다.As the basic compound, for example, compounds described in paragraphs 0140 to 0144 of Japanese Laid-Open Patent Publication No. 2013-11833 (amine compound, amide group-containing compound, urea compound, nitrogen-nitrogen heterocycle compound, etc.) can be used.

<소수성 수지>&Lt; Hydrophobic resin &

본 발명의 패턴 형성 방법에 이용되는 감활성광선성 또는 감방사선성 조성물은, 상기 수지 (A)와는 별도로 수지 (A)와는 다른 소수성 수지를 갖고 있어도 된다.The actinic ray-sensitive or radiation-sensitive composition used in the pattern forming method of the present invention may have a hydrophobic resin different from the resin (A) separately from the resin (A).

소수성 수지는 막의 표면에 편재하도록 설계되는 것이 바람직하지만, 계면활성제와는 달리, 반드시 분자 내에 친수기를 가질 필요는 없고, 극성/비극성 물질을 균일하게 혼합하는 것에 기여하지 않아도 된다.It is preferable that the hydrophobic resin is designed to be localized on the surface of the membrane. However, unlike the surfactant, it is not necessary to have a hydrophilic group in the molecule and contribute to uniformly mixing the polar / nonpolar material.

소수성 수지를 첨가하는 것의 효과로서, 물에 대한 막 표면의 정적/동적인 접촉각의 제어, 아웃 가스의 억제 등을 들 수 있다.The effects of adding a hydrophobic resin include control of the static / dynamic contact angle of the film surface with water, suppression of outgassing, and the like.

소수성 수지는, 막 표층에 대한 편재화의 관점에서, "불소 원자", "규소 원자", 및 "수지의 측쇄 부분에 함유된 CH3 부분 구조" 중 어느 1종 이상을 갖는 것이 바람직하고, 2종 이상을 갖는 것이 더 바람직하다. 또, 상기 소수성 수지는, 탄소수 5 이상의 탄화 수소기를 함유하는 것이 바람직하다. 이들 기는 수지의 주쇄 중에 갖고 있어도 되고, 측쇄에 치환되어 있어도 된다.The hydrophobic resin preferably has at least one of "fluorine atom", "silicon atom" and "CH 3 partial structure contained in the side chain portion of the resin" from the viewpoint of the unevenness of the surface layer of the film, It is more preferable to have species or more. The hydrophobic resin preferably contains a hydrocarbon group having 5 or more carbon atoms. These groups may be contained in the main chain of the resin, or may be substituted in the side chain.

소수성 수지가, 불소 원자 및/또는 규소 원자를 포함하는 경우, 소수성 수지에 있어서의 상기 불소 원자 및/또는 규소 원자는, 수지의 주쇄 중에 포함되어 있어도 되고, 측쇄 중에 포함되어 있어도 된다.When the hydrophobic resin contains a fluorine atom and / or a silicon atom, the fluorine atom and / or the silicon atom in the hydrophobic resin may be contained in the main chain of the resin or may be contained in the side chain.

소수성 수지가 불소 원자를 포함하고 있는 경우, 불소 원자를 갖는 부분 구조로서, 불소 원자를 갖는 알킬기, 불소 원자를 갖는 사이클로알킬기, 또는 불소 원자를 갖는 아릴기를 갖는 수지인 것이 바람직하다.When the hydrophobic resin contains a fluorine atom, it is preferable that the fluorine atom-containing partial structure is a resin having an alkyl group having a fluorine atom, a cycloalkyl group having a fluorine atom, or an aryl group having a fluorine atom.

불소 원자를 갖는 알킬기(바람직하게는 탄소수 1~10, 보다 바람직하게는 탄소수 1~4)는, 적어도 하나의 수소 원자가 불소 원자로 치환된 직쇄 또는 분기 알킬기이며, 불소 원자 이외의 치환기를 더 갖고 있어도 된다.The alkyl group having a fluorine atom (preferably having 1 to 10 carbon atoms, more preferably 1 to 4 carbon atoms) is a straight chain or branched alkyl group in which at least one hydrogen atom is substituted with a fluorine atom, and may further have a substituent other than a fluorine atom .

불소 원자를 갖는 사이클로알킬기는, 적어도 하나의 수소 원자가 불소 원자로 치환된 단환 또는 다환의 사이클로알킬기이며, 불소 원자 이외의 치환기를 더 갖고 있어도 된다.The cycloalkyl group having a fluorine atom is a monocyclic or polycyclic cycloalkyl group in which at least one hydrogen atom is substituted with a fluorine atom and may further have a substituent other than a fluorine atom.

불소 원자를 갖는 아릴기로서는, 페닐기, 나프틸기 등의 아릴기 중 적어도 하나의 수소 원자가 불소 원자로 치환된 것을 들 수 있고, 불소 원자 이외의 치환기를 더 갖고 있어도 된다.Examples of the aryl group having a fluorine atom include those in which at least one hydrogen atom in an aryl group such as a phenyl group or a naphthyl group is substituted with a fluorine atom and may further have a substituent other than a fluorine atom.

불소 원자 또는 규소 원자를 갖는 반복 단위의 예로서는, US2012/0251948A1의 단락 0519에 예시된 것을 들 수 있다.Examples of the repeating unit having a fluorine atom or a silicon atom include those illustrated in paragraph 0519 of US2012 / 0251948A1.

또, 상기한 바와 같이, 소수성 수지는, 측쇄 부분에 CH3 부분 구조를 포함하는 것도 바람직하다.As described above, it is also preferable that the hydrophobic resin includes a CH 3 partial structure in the side chain portion.

여기에서, 소수성 수지 중의 측쇄 부분이 갖는 CH3 부분 구조에는, 에틸기, 프로필기 등이 갖는 CH3 부분 구조를 포함하는 것이다.Here, in the CH 3 a partial structure having a side chain part of a hydrophobic resin, to include a CH 3 a partial structure having a methyl group, ethyl group, propyl group and the like.

한편, 소수성 수지의 주쇄에 직접 결합하고 있는 메틸기(예를 들면, 메타크릴산 구조를 갖는 반복 단위의 α-메틸기)는, 주쇄의 영향에 의하여 소수성 수지의 표면 편재화에 대한 기여가 작기 때문에, 본 발명에 있어서의 CH3 부분 구조에 포함되지 않는 것으로 한다.On the other hand, the methyl group directly bonded to the main chain of the hydrophobic resin (for example, the? -Methyl group of the repeating unit having a methacrylic acid structure) has a small contribution to the surface unevenization of the hydrophobic resin due to the influence of the main chain, Is not included in the CH 3 partial structure in the present invention.

소수성 수지에 관해서는, 일본 공개특허공보 2014-010245호의 [0348]~[0415]의 기재를 참조할 수 있고, 이들 내용은 본원 명세서에 원용된다.With regard to the hydrophobic resin, reference can be made to the descriptions in [0348] to [0415] of JP-A-2014-010245, the contents of which are incorporated herein by reference.

또한, 소수성 수지로서는 이 외에도 일본 공개특허공보 2011-248019호, 일본 공개특허공보 2010-175859호, 일본 공개특허공보 2012-032544호에 기재된 것도 바람직하게 이용할 수 있다.Further, as the hydrophobic resin, those described in Japanese Patent Laid-Open Publication No. 2011-248019, Japanese Unexamined Patent Publication No. 2010-175859, and Japanese Unexamined Patent Publication No. 2012-032544 can be preferably used.

<계면활성제><Surfactant>

본 발명에 있어서 이용되는 감활성광선성 또는 감방사선성 조성물은, 계면활성제를 더 포함하고 있어도 된다. 계면활성제를 함유함으로써, 파장이 250nm 이하, 특히 220nm 이하의 노광 광원을 사용한 경우에, 양호한 감도 및 해상도로, 밀착성 및 현상 결함이 보다 적은 패턴을 형성하는 것이 가능해진다.The actinic ray-sensitive or radiation-sensitive composition used in the present invention may further contain a surfactant. By containing a surfactant, it becomes possible to form a pattern having less adhesiveness and less development defects with good sensitivity and resolution when an exposure light source having a wavelength of 250 nm or less, particularly 220 nm or less, is used.

계면활성제로서는, 불소계 및/또는 실리콘계 계면활성제를 이용하는 것이 특히 바람직하다.As the surfactant, it is particularly preferable to use a fluorine-based and / or silicon-based surfactant.

불소계 및/또는 실리콘계 계면활성제로서는, 예를 들면 미국 특허출원 공개공보 제2008/0248425호의 [0276]에 기재된 계면활성제를 들 수 있다. 또, 에프톱 EF301 혹은 EF303(신아키타 가세이(주)제); 플루오라드 FC430, 431 혹은 4430(스미토모 3M(주)제); 메가팍 F171, F173, F176, F189, F113, F110, F177, F120 혹은 R08(DIC(주)제); 서프론 S-382, SC101, 102, 103, 104, 105 혹은 106(아사히 글라스(주)제); 트로이졸 S-366(트로이 케미컬(주)제); GF-300 혹은 GF-150(도아 고세이 가가쿠(주)제), 서프론 S-393(세이미 케미컬(주)제); 에프톱 EF121, EF122A, EF122B, RF122C, EF125M, EF135M, EF351, EF352, EF801, EF802 혹은 EF601((주)젬코제); PF636, PF656, PF6320 혹은 PF6520(OMNOVA사제); 또는, FTX-204G, 208G, 218G, 230G, 204D, 208D, 212D, 218D 혹은 222D((주)네오스제)를 이용해도 된다. 또한, 폴리실록세인 폴리머 KP-341(신에쓰 가가쿠 고교(주)제)도, 실리콘계 계면활성제로서 이용할 수 있다.Examples of the fluorine-based and / or silicon-based surfactants include the surfactants described in [0276] of U.S. Patent Application Publication No. 2008/0248425. In addition, FFA TOP EF301 or EF303 (manufactured by Shin-Akita Kasei Co., Ltd.); Fluorad FC430, 431 or 4430 (manufactured by Sumitomo 3M Co., Ltd.); F171, F173, F176, F189, F113, F110, F177, F120 or R08 (manufactured by DIC Corporation); Surflon S-382, SC101, 102, 103, 104, 105 or 106 (manufactured by Asahi Glass Co., Ltd.); Troisol S-366 (manufactured by Troy Chemical); GF-300 or GF-150 (manufactured by Toagosei Chemical Industry Co., Ltd.), Surflon S-393 (manufactured by Seiyaku Chemical Co., Ltd.); EF121, EF122A, EF122B, RF122C, EF125M, EF135M, EF351, EF352, EF801, EF802 or EF601 (Gemco Co., Ltd.); PF636, PF656, PF6320 or PF6520 (manufactured by OMNOVA); Alternatively, FTX-204G, 208G, 218G, 230G, 204D, 208D, 212D, 218D or 222D (manufactured by NEOS) may be used. Polysiloxane polymer KP-341 (manufactured by Shin-Etsu Chemical Co., Ltd.) can also be used as a silicone surfactant.

또, 계면활성제는, 상기에 나타내는 바와 같은 공지의 것 외에, 텔로머리제이션법(텔로머법이라고도 함) 또는 올리고머리제이션법(올리고머법이라고도 함)에 의하여 제조된 플루오로 지방족 화합물을 이용하여 합성해도 된다. 구체적으로는, 이 플루오로 지방족 화합물로부터 유도된 플루오로 지방족기를 구비한 중합체를, 계면활성제로서 이용해도 된다. 이 플루오로 지방족 화합물은, 예를 들면 일본 공개특허공보 2002-90991호에 기재된 방법에 의하여 합성할 수 있다.The surfactant can be synthesized using a fluoroaliphatic compound produced by the telomerization method (also referred to as the telomer method) or the oligomerization method (also referred to as the oligomer method) in addition to the publicly known ones as described above You can. Specifically, a polymer having a fluoroaliphatic group derived from the fluoroaliphatic compound may be used as a surfactant. This fluoroaliphatic compound can be synthesized, for example, by the method described in JP-A-2002-90991.

또, 미국 특허출원 공개공보 제2008/0248425호의 [0280]에 기재되어 있는 불소계 및/또는 실리콘계 이외의 계면활성제를 사용해도 된다.Also, surfactants other than the fluorine-based and / or silicon-based surfactants described in [0280] of U.S. Patent Application Publication No. 2008/0248425 may be used.

이들 계면활성제는, 1종류를 단독으로 이용해도 되고, 2종류 이상을 조합하여 이용해도 된다.These surfactants may be used singly or in combination of two or more kinds.

본 발명에 있어서 이용되는 감활성광선성 또는 감방사선성 조성물이 계면활성제를 포함하고 있는 경우, 그 함유량은, 조성물의 전체 고형분을 기준으로 하여, 바람직하게는 0~2질량%, 보다 바람직하게는 0.0001~2질량%, 더 바람직하게는 0.0005~1질량%이다.When the actinic ray-sensitive or radiation-sensitive composition used in the present invention contains a surfactant, the content thereof is preferably 0 to 2% by mass, more preferably 0 to 2% by mass, based on the total solid content of the composition 0.0001 to 2% by mass, and more preferably 0.0005 to 1% by mass.

<그 외의 첨가제><Other additives>

본 발명에 있어서 이용되는 감활성광선성 또는 감방사선성 조성물은, 용해 저지 화합물, 염료, 가소제, 광증감제, 광흡수제, 및/또는 현상액에 대한 용해성을 촉진시키는 화합물(예를 들면, 분자량 1000 이하의 페놀 화합물, 또는 카복시기를 포함한 지환족 혹은 지방족 화합물)을 더 포함하고 있어도 된다.The actinic ray-sensitive or radiation-sensitive composition used in the present invention may be a compound which promotes solubility in a dissolution inhibiting compound, a dye, a plasticizer, a photosensitizer, a light absorber, and / or a developer (for example, Or an alicyclic or aliphatic compound containing a carboxy group) may be further contained.

본 발명에 있어서 이용되는 감활성광선성 또는 감방사선성 조성물은, 용해 저지 화합물을 더 포함하고 있어도 된다. 여기에서 "용해 저지 화합물"이란, 산의 작용에 의하여 분해되어 현상액 중에서의 용해도가 감소하는, 분자량 3000 이하의 화합물이다.The actinic ray-sensitive or radiation-sensitive composition used in the present invention may further contain a dissolution inhibiting compound. The "dissolution inhibiting compound" is a compound having a molecular weight of 3,000 or less, which is decomposed by the action of an acid to decrease the solubility in a developing solution.

본 발명의 현상액은, 비화학 증폭계의 레지스트 조성물에도 적합하게 적용할 수 있다.The developer of the present invention can be suitably applied also to non-chemically amplified resist compositions.

비화학 증폭계의 레지스트 조성물로서는, 예를 들면As the non-chemically amplified resist composition, for example,

g선, h선, i선, KrF, ArF, EB 혹은 EUV 등의 조사에 의하여 주쇄가 절단되어, 분자량이 저하됨으로써 용해성이 변화하는 레지스트 재료(예를 들면 일본 공개특허공보 2013-210411호 [0025]~[0029], [0056]이나 미국 특허공보 2015/0008211호 [0032]~[0036], [0063]에 기재된 α-클로로아크릴산 에스터계 화합물과 α-메틸스타이렌계 화합물의 공중합체를 주성분으로 하는 레지스트 재료 등),(for example, Japanese Unexamined Patent Application Publication No. 2013-210411 [0025] Patent Document 1) discloses a resist material whose main chain is broken by irradiation with g line, h line, i line, KrF, ArF, EB or EUV and the molecular weight is lowered to change the solubility As a main component, a copolymer of an? -Chloroacrylic acid ester compound and an? -Methylstyrene-based compound described in U.S. Patent Nos. 2015/0008211 [0032] to [0033] and [0063] And the like),

g선, h선, i선, KrF, ArF, EB 혹은 EUV 등에 의하여 발생한 실란올 축합 반응을 수반하는 하이드로젠실세스퀴옥세인(HSQ), 염소 치환한 칼릭사렌,Hydrogen silsesquioxane (HSQ) accompanied by a silanol condensation reaction generated by g line, h line, i line, KrF, ArF, EB or EUV, chlorine-

g선, h선, i선, KrF, ArF, EB 혹은 EUV 등의 광에 대하여 흡수를 갖는 금속 착체(마그네슘, 크로뮴, 망가니즈, 철, 코발트, 니켈, 구리, 아연, 은, 카드뮴, 인듐, 주석, 안티모니, 세슘, 지르코늄, 하프늄 등의 착체이며, 타이타늄, 지르코늄, 하프늄이 패턴 형성성의 관점에서 바람직함)를 포함하고, 배위자 탈리나 산발생제와 병용하여 배위자 교환 과정을 수반하는 레지스트 재료(일본 공개특허공보 2015-075500호 [0017]~[0033], [0037]~[0047], 일본 공개특허공보 2012-185485호 [0017]~[0032], [0043]~[0044], 미국 특허공보 2012/0208125호 [0042]~[0051], [0066] 등에 기재된 레지스트 재료) 등을 들 수 있다.metal complexes (such as magnesium, chromium, manganese, iron, cobalt, nickel, copper, zinc, silver, cadmium, indium, tin, and the like) having absorption for light such as g line, h line, i line, KrF, ArF, EB, Zirconium, and hafnium are preferred from the viewpoint of pattern formation property), and are used in combination with a ligand elimination or an acid generator to form a resist material accompanied by a ligand exchange process (JP-A-2015-075500 [0017] to [0033], [0037] to [0047], JP-A-2012-185485 [0017] - [0032], [0043] - [0044] And resist materials described in Patent Publications 2012/0208125 [0042] to [0051], [0066] and the like).

또, 레지스트 조성물로서는, 일본 공개특허공보 2008-83384호의 [0010]~[0062], [0129]~[0165]에 기재된 레지스트 조성물도 이용할 수 있다.As the resist composition, the resist compositions described in [0010] to [0062], [0129] to [0165] of JP-A No. 2008-83384 may also be used.

이하, 본 발명의 패턴 형성 방법이 갖는 각 공정에 대하여 설명한다.Hereinafter, each step of the pattern forming method of the present invention will be described.

<공정 (1)>&Lt; Process (1) >

공정 (1)은, 상기 감활성광선성 또는 감방사선성 조성물을 이용하여 막을 형성하는 공정이며, 예를 들면 다음의 방법에 의하여 행할 수 있다.The step (1) is a step of forming a film using the above-mentioned actinic ray-sensitive or radiation-sensitive composition, and can be carried out, for example, by the following method.

감활성광선성 또는 감방사선성 조성물을 이용하여 기판 상에 막을 형성하기 위해서는, 상기 수지 (A) 및 화합물 (B)를 용제 (C)에 용해시켜 감활성광선성 또는 감방사선성 조성물을 조제하고, 필요에 따라 필터 여과한 후, 기판 상에 도포한다. 필터로서는, 포어 사이즈 0.1μm 이하, 보다 바람직하게는 0.05μm 이하, 더 바람직하게는 0.03μm 이하의 폴리테트라플루오로에틸렌제, 폴리에틸렌제, 나일론제인 것이 바람직하다.In order to form a film on the substrate using the active ray-sensitive or radiation-sensitive composition, the resin (A) and the compound (B) are dissolved in the solvent (C) to prepare an actinic ray- or radiation- , Filtered as necessary, and then coated on a substrate. The filter is preferably made of polytetrafluoroethylene, polyethylene or nylon having a pore size of 0.1 mu m or less, more preferably 0.05 mu m or less, and even more preferably 0.03 mu m or less.

본 발명의 패턴 형성 방법에서는, 기판 상에 상기 감활성광선성 또는 감방사선성 조성물을 이용하여 막(감활성광선성 또는 감방사선성막이고, 전형적으로는 레지스트막이며, 화학 증폭형의 레지스트막인 것이 바람직함)을 형성한다. 상기 감활성광선성 또는 감방사선성막 상에 톱 코트 조성물을 이용하여 톱 코트층을 형성해도 된다. 이 막의 막두께는, 일반적으로는 200nm 이하이며, 바람직하게는 10~100nm이다. 톱 코트층의 막두께는, 바람직하게는 10~200nm, 더 바람직하게는 20~100nm, 특히 바람직하게는 40~80nm이다.In the pattern forming method of the present invention, a resist film (a resist film, typically a sensitized actinic ray or radiation-sensitive film, and a chemically amplified resist film Is preferable). The topcoat layer may be formed using the topcoat composition on the active ray-sensitive or radiation-sensitive film. The film thickness of this film is generally 200 nm or less, preferably 10 to 100 nm. The film thickness of the top coat layer is preferably 10 to 200 nm, more preferably 20 to 100 nm, particularly preferably 40 to 80 nm.

예를 들면 선폭 20nm 이하의 1:1 라인 앤드 스페이스 패턴을 해상시키기 위해서는, 형성되는 막의 막두께가 50nm 이하인 것이 바람직하다. 막두께가 50nm 이하이면, 후술하는 현상 공정을 적용했을 때에, 패턴 도괴가 보다 일어나기 어려워져, 보다 우수한 해상 성능이 얻어진다.For example, in order to resolve a 1: 1 line and space pattern having a line width of 20 nm or less, the film thickness of the formed film is preferably 50 nm or less. When the film thickness is 50 nm or less, it is more difficult for the occurrence of pattern collapse to occur when applying the developing process, which will be described later, to obtain better resolution performance.

막두께의 범위로서 보다 바람직하게는, 15nm에서 45nm의 범위이다. 막두께가 15nm 이상이면, 충분한 에칭 내성이 얻어진다. 막두께의 범위로서 더 바람직하게는, 15nm에서 40nm이다. 막두께가 이 범위에 있으면, 에칭 내성과 보다 우수한 해상 성능을 동시에 만족시킬 수 있다.The film thickness is more preferably in the range of 15 nm to 45 nm. When the film thickness is 15 nm or more, sufficient etching resistance is obtained. The range of the film thickness is more preferably from 15 nm to 40 nm. When the film thickness is in this range, both the etching resistance and the better resolution performance can be satisfied at the same time.

막을 형성하는 방법으로서는, 예를 들면 감활성광선성 또는 감방사선성 조성물을 정밀 집적 회로 소자의 제조에 사용되는 기판(예: 실리콘/이산화 실리콘 피복) 상에 스피너, 코터 등의 적당한 도포 방법에 의하여 도포, 건조시켜, 막을 형성한다. 또한, 막의 하층에, 미리 공지의 각종 하지막(무기막, 유기막, 반사 방지막)을 도설(塗設)할 수도 있다.As a method for forming the film, for example, an actinic ray-sensitive or radiation-sensitive composition is coated on a substrate (for example, silicon / silicon dioxide coating) used in the production of a precision integrated circuit device by a suitable coating method such as a spinner or a coater Coating, and drying to form a film. Furthermore, various known base films (inorganic film, organic film, antireflection film) may be formed on the lower layer of the film.

기판 상에 감활성광선성 또는 감방사선성 조성물을 도포하는 방법으로서는, 스핀 도포가 바람직하고, 그 회전수는 1000~3000rpm이 바람직하다.As a method of applying the actinic ray-sensitive or radiation-sensitive composition on the substrate, spin coating is preferable, and the number of revolutions is preferably 1000 to 3000 rpm.

또한, 본 발명의 패턴 형성 방법에 있어서는, 막의 상층에, 상기 막의 형성 방법과 동일한 수단에 의하여 톱 코트 조성물을 도포, 건조시켜, 톱 코트층을 형성할 수 있다. 톱 코트는, 감활성광선성 또는 감방사선성 조성물로 이루어지는 막과 혼합되지 않고, 또한 막 상층에 균일하게 도포할 수 있는 것이 바람직하다. 톱 코트층의 형성 전에는, 막을 건조시키는 것이 바람직하다.Further, in the pattern forming method of the present invention, the top coat composition may be applied to the upper layer of the film by the same means as the above-mentioned film forming method and dried to form the top coat layer. It is preferable that the topcoat is not mixed with the film made of the actinic ray-sensitive or radiation-sensitive composition and can be uniformly applied to the upper layer of the film. It is preferable to dry the film before forming the top coat layer.

또, 톱 코트는, 에터 결합, 싸이오에터 결합, 하이드록실기, 싸이올기, 카보닐 결합 및 에스터 결합으로 이루어지는 군으로부터 선택되는 기 또는 결합을 적어도 하나 포함하는 화합물을 포함하는 것이 바람직하지만, 이들은 특별히 한정되지 않고, 종래 공지의 톱 코트를, 종래 공지의 방법에 의하여 형성할 수 있으며, 예를 들면 일본 공개특허공보 2014-059543호의 단락 0072~0082의 기재에 근거하여 톱 코트를 형성할 수 있다.The topcoat preferably contains a compound containing at least one group or bond selected from the group consisting of ether bonding, thioether bonding, hydroxyl group, thiol group, carbonyl bonding and ester bonding, A topcoat conventionally known can be formed by a conventionally known method and a topcoat can be formed based on the description in paragraphs 0072 to 0082 of Japanese Laid-Open Patent Publication No. 2014-059543 .

현상 공정에 있어서, 예를 들면 일본 공개특허공보 2013-61648호에 기재된 염기성 화합물을 함유하는 톱 코트를 막 상에 형성하는 것이 바람직하다. 톱 코트가 포함할 수 있는 염기성 화합물의 구체적인 예는 상술한 염기성 화합물과 동일하다.In the development process, it is preferable to form a topcoat containing a basic compound described in, for example, JP-A-2013-61648 on a film. Specific examples of the basic compound that can be included in the topcoat are the same as the above-mentioned basic compounds.

감활성광선성 또는 감방사선성 조성물로 이루어지는 막 및 톱 코트층의 건조 방법으로서는, 가열하여 건조시키는 방법이 일반적으로 이용된다. 가열은 통상의 노광·현상기에 구비되어 있는 수단으로 행할 수 있으며, 핫플레이트 등을 이용하여 행해도 된다. 가열 온도는 80~150℃에서 행하는 것이 바람직하고, 80~140℃에서 행하는 것이 보다 바람직하며, 80~130℃에서 행하는 것이 더 바람직하다. 가열 시간은 30~1000초가 바람직하고, 60~800초가 보다 바람직하며, 60~600초가 더 바람직하다.As a drying method of the film and the top coat layer made of the active ray-sensitive or radiation-sensitive composition, a method of heating and drying is generally used. The heating may be performed by a means provided in a conventional exposure and development apparatus, or may be performed using a hot plate or the like. The heating temperature is preferably 80 to 150 占 폚, more preferably 80 to 140 占 폚, and more preferably 80 to 130 占 폚. The heating time is preferably 30 to 1000 seconds, more preferably 60 to 800 seconds, and still more preferably 60 to 600 seconds.

<공정 (2)>&Lt; Process (2) >

공정 (2)는, 막을 노광하는 공정이며, 예를 들면 다음의 방법에 의하여 행할 수 있다.Step (2) is a step of exposing a film, and can be performed, for example, by the following method.

상기와 같이 하여 형성한 막에, 소정의 마스크를 통하여 활성광선 또는 방사선을 조사한다. 또한, 전자빔의 조사에서는, 마스크를 통하지 않는 묘화(직묘)가 일반적이다.The film formed as described above is irradiated with an actinic ray or radiation through a predetermined mask. In addition, in the irradiation of an electron beam, drawing (direct writing) without a mask is generally used.

활성광선 또는 방사선으로서는 특별히 한정되지 않지만, 예를 들면 KrF 엑시머 레이저, ArF 엑시머 레이저, 극자외선(EUV, Extreme Ultra Violet), 전자선(EB, Electron Beam) 등이며, 극자외선 또는 전자선이 특히 바람직하다. 노광은 액침 노광이어도 된다.Examples of the actinic ray or radiation include KrF excimer laser, ArF excimer laser, EUV (Extreme Ultra Violet), EB (Electron Beam), and the like. Extreme ultraviolet rays or electron beams are particularly preferable. The exposure may be liquid immersion exposure.

<베이크><Bake>

본 발명의 패턴 형성 방법에 있어서는, 노광 후, 현상을 행하기 전에 베이크(가열)를 행하는 것이 바람직하다. 베이크에 의하여 노광부의 반응이 촉진되어, 감도나 패턴 형상이 보다 양호해진다.In the pattern forming method of the present invention, it is preferable to perform baking (heating) after exposure and before development. The reaction of the exposed portion is promoted by the baking, and the sensitivity and the pattern shape become better.

가열 온도는 80~150℃가 바람직하고, 80~140℃가 보다 바람직하며, 80~130℃가 더 바람직하다.The heating temperature is preferably 80 to 150 占 폚, more preferably 80 to 140 占 폚, and even more preferably 80 to 130 占 폚.

가열 시간은 30~1000초가 바람직하고, 60~800초가 보다 바람직하며, 60~600초가 더 바람직하다.The heating time is preferably 30 to 1000 seconds, more preferably 60 to 800 seconds, and still more preferably 60 to 600 seconds.

가열은 통상의 노광·현상기에 구비되어 있는 수단으로 행할 수 있으며, 핫플레이트 등을 이용하여 행해도 된다.The heating may be performed by a means provided in a conventional exposure and development apparatus, or may be performed using a hot plate or the like.

<공정 (3)>&Lt; Process (3) >

공정 (3)은, 공정 (2)에서 노광된 막을 유기 용제를 포함하는 현상액에 의하여 현상하는 공정이다.Step (3) is a step of developing the exposed film in step (2) by a developing solution containing an organic solvent.

[현상액][developer]

본 발명에서 이용되는 현상액은 유기 용제를 함유한다. 유기 용제를 함유하는 현상액을, "유기계 현상액"이라고도 한다. 유기계 현상액 중의 유기 용제의 함유량은, 현상액의 전체량에 대하여, 50질량%보다 크고 100질량% 이하인 것이 바람직하며, 70질량% 이상 100질량% 이하인 것이 보다 바람직하고, 90질량% 이상 100질량% 이하인 것이 더 바람직하며, 95질량% 이상 100질량% 이하인 것이 특히 바람직하다.The developer used in the present invention contains an organic solvent. A developer containing an organic solvent is also referred to as an "organic developer ". The content of the organic solvent in the organic developer is preferably more than 50 mass% and not more than 100 mass%, more preferably not less than 70 mass% nor more than 100 mass%, more preferably not less than 90 mass% nor more than 100 mass% , And particularly preferably 95 mass% or more and 100 mass% or less.

현상액에 함유되는 유기 용제로서는 특별히 한정되지 않지만, 에스터계 용제, 케톤계 용제, 알코올계 용제, 에터계 용제, 아마이드계 용제, 및 탄화 수소계 용제로 이루어지는 군으로부터 선택되는 적어도 1종의 유기 용제가 바람직하다.The organic solvent contained in the developing solution is not particularly limited, but at least one organic solvent selected from the group consisting of an ester solvent, a ketone solvent, an alcohol solvent, an ether solvent, an amide solvent, and a hydrocarbon hydrocarbon solvent desirable.

에스터계 용제란 분자 내에 에스터 결합을 갖는 용제를 말하고, 케톤계 용제란 분자 내에 케톤기를 갖는 용제를 말하며, 알코올계 용제란 분자 내에 알코올성 수산기를 갖는 용제를 말하고, 아마이드계 용제란 분자 내에 아마이드 결합을 갖는 용제를 말하며, 에터계 용제란 분자 내에 에터 결합을 갖는 용제를 말한다. 이들 중에는, 1분자 내에 상기 관능기를 복수 종 갖는 용제도 존재하는데, 그 경우는, 그 용제가 갖는 관능기를 포함하는 모든 용제종에 적합한 것으로 한다. 예를 들면, 다이에틸렌글라이콜모노메틸에터는, 상기 분류 중의, 알코올계 용제, 에터계 용제 모두에 적합한 것으로 한다.An ester solvent refers to a solvent having an ester bond in a molecule, and a ketone solvent refers to a solvent having a ketone group in a molecule. An alcohol solvent refers to a solvent having an alcoholic hydroxyl group in a molecule. An amide solvent means an amide bond Refers to a solvent having an ether bond in a molecule. Among them, there is a solvent having a plurality of the above-mentioned functional groups in one molecule, and in this case, it is suitable for all the solvent species including the functional group possessed by the solvent. For example, the diethylene glycol monomethyl ether is suitable for both the alcohol-based solvent and the ether-based solvent in the above-mentioned classification.

에스터계 용제로서는, 예를 들면 아세트산 메틸, 아세트산 에틸, 아세트산 뷰틸, 아세트산 아이소뷰틸, 아세트산 펜틸, 아세트산 프로필, 아세트산 아이소프로필, 아세트산 아밀(아세트산 펜틸), 아세트산 아이소아밀(아세트산 아이소펜틸, 아세트산 3-메틸뷰틸), 아세트산 2-메틸뷰틸, 아세트산 1-메틸뷰틸, 아세트산 헥실, 아세트산 아이소헥실, 아세트산 헵틸, 아세트산 옥틸, 메톡시아세트산 에틸, 에톡시아세트산 에틸, 프로필렌글라이콜모노메틸에터아세테이트(PGMEA; 별명 1-메톡시-2-아세톡시프로페인), 에틸렌글라이콜모노에틸에터아세테이트, 에틸렌글라이콜모노프로필에터아세테이트, 에틸렌글라이콜모노뷰틸에터아세테이트, 에틸렌글라이콜모노페닐에터아세테이트, 다이에틸렌글라이콜모노메틸에터아세테이트, 다이에틸렌글라이콜모노프로필에터아세테이트, 다이에틸렌글라이콜모노에틸에터아세테이트, 다이에틸렌글라이콜모노페닐에터아세테이트, 다이에틸렌글라이콜모노뷰틸에터아세테이트, 다이에틸렌글라이콜모노에틸에터아세테이트, 2-메톡시뷰틸아세테이트, 3-메톡시뷰틸아세테이트, 4-메톡시뷰틸아세테이트, 3-메틸-3-메톡시뷰틸아세테이트, 3-에틸-3-메톡시뷰틸아세테이트, 프로필렌글라이콜모노에틸에터아세테이트, 프로필렌글라이콜모노프로필에터아세테이트, 2-에톡시뷰틸아세테이트, 4-에톡시뷰틸아세테이트, 4-프로폭시뷰틸아세테이트, 2-메톡시펜틸아세테이트, 3-메톡시펜틸아세테이트, 4-메톡시펜틸아세테이트, 2-메틸-3-메톡시펜틸아세테이트, 3-메틸-3-메톡시펜틸아세테이트, 3-메틸-4-메톡시펜틸아세테이트, 4-메틸-4-메톡시펜틸아세테이트, 프로필렌글라이콜다이아세테이트, 폼산 메틸, 폼산 에틸, 폼산 뷰틸, 폼산 프로필, 락트산 에틸, 락트산 뷰틸, 락트산 프로필, 탄산 에틸, 탄산 프로필, 탄산 뷰틸, 피루브산 메틸, 피루브산 에틸, 피루브산 프로필, 피루브산 뷰틸, 아세토아세트산 메틸, 아세토아세트산 에틸, 프로피온산 메틸, 프로피온산 에틸, 프로피온산 프로필, 프로피온산 아이소프로필, 프로피온산 뷰틸, 프로피온산 펜틸, 프로피온산 헥실, 프로피온산 헵틸, 뷰탄산 뷰틸, 뷰탄산 아이소뷰틸, 뷰탄산 펜틸, 뷰탄산 헥실, 아이소뷰탄산 아이소뷰틸, 펜탄산 프로필, 펜탄산 아이소프로필, 펜탄산 뷰틸, 펜탄산 펜틸, 헥산산 에틸, 헥산산 프로필, 헥산산 뷰틸, 헥산산 아이소뷰틸, 헵탄산 메틸, 헵탄산 에틸, 헵탄산 프로필, 아세트산 사이클로헥실, 아세트산 사이클로헵틸, 아세트산 2-에틸헥실, 프로피온산 사이클로펜틸, 2-하이드록시프로피온산 메틸, 2-하이드록시프로피온산 에틸, 메틸-3-메톡시프로피오네이트, 에틸-3-메톡시프로피오네이트, 에틸-3-에톡시프로피오네이트, 프로필-3-메톡시프로피오네이트 등을 들 수 있다. 이들 중에서도, 아세트산 뷰틸, 아세트산 아밀, 아세트산 아이소아밀, 아세트산 2-메틸뷰틸, 아세트산 1-메틸뷰틸, 아세트산 헥실, 프로피온산 펜틸, 프로피온산 헥실, 프로피온산 헵틸, 뷰탄산 뷰틸이 바람직하게 이용되고, 아세트산 아이소아밀이 특히 바람직하게 이용된다.Examples of the ester solvents include methyl acetate, ethyl acetate, butyl acetate, isobutyl acetate, pentyl acetate, propyl acetate, isopropyl acetate, amyl acetate (pentyl acetate), isoamyl acetate (isopentyl acetate, Butyl acetate, 2-methylbutyl acetate, 1-methylbutyl acetate, hexyl acetate, isohexyl acetate, heptyl acetate, octyl acetate, ethyl methoxyacetate, ethyl ethoxyacetate, propylene glycol monomethylether acetate (PGMEA; Methoxy-2-acetoxypropane), ethylene glycol monoethyl ether acetate, ethylene glycol monopropyl ether acetate, ethylene glycol monobutyl ether acetate, ethylene glycol monophenyl ether Ether acetate, diethylene glycol monomethyl ether acetate, diethylene glycol monopro ester Ether acetate, diethylene glycol monoethyl ether acetate, diethylene glycol monophenyl ether acetate, diethylene glycol monobutyl ether acetate, diethylene glycol monoethyl ether acetate, 2- Methoxybutyl acetate, 3-methoxybutylacetate, 3-methoxybutylacetate, 3-methoxybutylacetate, 3-methyl-3-methoxybutylacetate, Propyleneglycol monopropyl ether acetate, 2-ethoxybutyl acetate, 4-ethoxybutyl acetate, 4-propoxybutyl acetate, 2-methoxypentyl acetate, 3-methoxypentyl acetate, 4-methoxypentyl Methoxypentyl acetate, 3-methyl-4-methoxypentyl acetate, 4-methyl-4-methoxypentyl acetate, propylene glycol Diaceta And examples thereof include methyl formate, ethyl formate, propyl formate, propyl formate, ethyl lactate, butyl lactate, propyl lactate, ethyl carbonate, propyl carbonate, butyl carbonate, methyl pyruvate, ethyl pyruvate, butyl pyruvate, methyl acetoacetate Ethyl propionate, methyl propionate, ethyl propionate, propyl propionate, isopropyl propionate, butyl propionate, pentyl propionate, hexyl propionate, heptyl propionate, butyl butyrate, butyl isobutanoate, pentyl butyrate, hexyl butyrate, isobutanoate, Propyl propionate, propyl pentanoate, propyl pentanoate, isopropyl pentanoate, butyl pentanoate, pentyl pentanoate, ethyl hexanoate, propyl hexanoate, butyl hexanoate, isobutyl hexanoate, methyl heptanoate, ethyl heptanoate, Acetic acid cycloheptyl, acetic acid 2-ethylhexyl, propionic acid cyclopene Methyl-3-methoxypropionate, ethyl-3-ethoxypropionate, propyl-3-hydroxypropionate, Methoxy propionate, and the like. Of these, butyl acetate, amyl acetate, isoamyl acetate, 2-methylbutyl acetate, 1-methylbutyl acetate, pentyl acetate, pentyl propylate, hexyl propionate, heptyl propionate and butyl butyrate are preferably used, And is particularly preferably used.

케톤계 용제로서는, 예를 들면 1-옥탄온, 2-옥탄온, 1-노난온, 2-노난온, 아세톤, 2-헵탄온(메틸아밀케톤), 4-헵탄온, 1-헥산온, 2-헥산온, 사이클로헥산온, 메틸사이클로헥산온, 페닐아세톤, 메틸에틸케톤, 아세틸아세톤, 아세톤일아세톤, 아이오논, 다이아세톤일알코올, 아세틸카비놀, 아세토페논, 메틸나프틸케톤, 아이소포론, 프로필렌카보네이트, γ-뷰티로락톤 등을 들 수 있고, 그 중에서도 2-헵탄온이 바람직하다.Examples of the ketone-based solvent include aliphatic ketones such as 1-octanone, 2-octanone, 1-nonanone, 2-nonanone, acetone, 2-heptanone (methylamyl ketone) But are not limited to, acetone, methyl ethyl ketone, acetone acetone, acetone diacetone, diacetone diol, acetylcarbinol, acetophenone, methylnaphthyl ketone, isophorone , Propylene carbonate,? -Butyrolactone, and the like, among which 2-heptanone is preferable.

또, 케톤계 용제는, 분기 알킬기를 갖고 있어도 된다. 분기 알킬기를 갖는 환식 지방족 케톤계 용제로서는, 예를 들면 2-아이소프로필사이클로헥산온, 3-아이소프로필사이클로헥산온, 4-아이소프로필사이클로헥산온, 2-아이소프로필사이클로헵탄온, 3-아이소프로필사이클로헵탄온, 4-아이소프로필사이클로헵탄온, 2-아이소프로필사이클로옥탄온을 들 수 있다.The ketone solvent may have a branched alkyl group. Examples of the cyclic aliphatic ketone solvent having a branched alkyl group include 2-isopropylcyclohexanone, 3-isopropylcyclohexanone, 4-isopropylcyclohexanone, 2-isopropylcycloheptanone, Cycloheptanone, 4-isopropylcycloheptanone and 2-isopropylcyclooctanone.

분기 알킬기를 갖는 비환식 지방족 케톤계 용제로서는, 예를 들면 다이아이소헥실케톤, 메틸아이소펜틸케톤, 에틸아이소펜틸케톤, 프로필아이소펜틸케톤, 다이아이소펜틸케톤, 메틸아이소뷰틸케톤, 에틸아이소뷰틸케톤, 프로필아이소뷰틸케톤, 다이아이소뷰틸케톤, 다이아이소프로필케톤, 에틸아이소프로필케톤, 메틸아이소프로필케톤 등을 들 수 있고, 특히 바람직하게는, 다이아이소뷰틸케톤이다.Examples of the alicyclic ketone solvent having a branched alkyl group include diisobutylketone, methylisopentylketone, ethylisopentylketone, propylisopentylketone, diisopentylketone, methylisobutylketone, ethylisobutylketone, Propyl isobutyl ketone, diisobutyl ketone, diisopropyl ketone, ethyl isopropyl ketone, and methyl isopropyl ketone. Particularly preferred is diisobutyl ketone.

분기 알킬기를 갖는 환식 지방족 에터계 용제로서는, 예를 들면 사이클로펜틸아이소프로필에터, 사이클로펜틸 sec-뷰틸에터, 사이클로펜틸 tert-뷰틸에터, 사이클로헥실아이소프로필에터, 사이클로헥실 sec-뷰틸에터, 사이클로헥실 tert-뷰틸에터를 들 수 있다.Examples of the cyclic aliphatic ether solvent having a branched alkyl group include cyclopentyl isopropyl ether, cyclopentyl sec-butyl ether, cyclopentyl tert-butyl ether, cyclohexyl isopropyl ether, cyclohexyl sec- Butylhexyl ether, and cyclohexyl tert-butyl ether.

분기 알킬기를 갖는 비환식 지방족 에터계 용제로서는, 예를 들면 다이아이소헥실에터, 메틸아이소펜틸에터, 에틸아이소펜틸에터, 프로필아이소펜틸에터, 다이아이소펜틸에터, 메틸아이소뷰틸에터, 에틸아이소뷰틸에터, 프로필아이소뷰틸에터, 다이아이소뷰틸에터, 다이아이소프로필에터, 에틸아이소프로필에터, 메틸아이소프로필에터 등을 들 수 있고, 특히 바람직하게는, 다이아이소뷰틸에터 또는 다이아이소펜틸에터이다.Examples of the non-cyclic aliphatic ether-based solvent having a branched alkyl group include diisobutyl ether, methyl isopentyl ether, ethyl isopentyl ether, propyl isopentyl ether, diisopentyl ether, , Ethyl isobutyl ether, propyl isobutyl ether, diisobutyl ether, diisopropyl ether, ethyl isopropyl ether, methyl isopropyl ether and the like, and particularly preferably diisobutyl Ether or diisopentyl ether.

알코올계 용제로서는, 예를 들면 메탄올, 에탄올, 1-프로판올, 아이소프로판올, 1-뷰탄올, 2-뷰탄올, 3-메틸-1-뷰탄올, tert-뷰틸알코올, 1-펜탄올, 2-펜탄올, 1-헥산올, 1-헵탄올, 1-옥탄올, 1-데칸올, 2-헥산올, 2-헵탄올, 2-옥탄올, 3-헥산올, 3-헵탄올, 3-옥탄올, 4-옥탄올, 3-메틸-3-펜탄올, 사이클로펜탄올, 2,3-다이메틸-2-뷰탄올, 3,3-다이메틸-2-뷰탄올, 2-메틸-2-펜탄올, 2-메틸-3-펜탄올, 3-메틸-2-펜탄올, 3-메틸-3-펜탄올, 4-메틸-2-펜탄올, 4-메틸-3-펜탄올, 사이클로헥산올, 5-메틸-2-헥산올, 4-메틸-2-헥산올, 4,5-다이메틸-2-헥산올, 6-메틸-2-헵탄올, 7-메틸-2-옥탄올, 8-메틸-2-노난올, 9-메틸-2-데칸올, 3-메톡시-1-뷰탄올 등의 알코올(1가의 알코올)이나, 에틸렌글라이콜, 다이에틸렌글라이콜, 트라이에틸렌글라이콜 등의 글라이콜계 용제나, 에틸렌글라이콜모노메틸에터, 프로필렌글라이콜모노메틸에터(PGME; 별명 1-메톡시-2-프로판올), 다이에틸렌글라이콜모노메틸에터, 트라이에틸렌글라이콜모노에틸에터, 메톡시메틸뷰탄올, 에틸렌글라이콜모노에틸에터, 에틸렌글라이콜모노프로필에터, 에틸렌글라이콜모노뷰틸에터, 프로필렌글라이콜모노에틸에터, 프로필렌글라이콜모노프로필에터, 프로필렌글라이콜모노뷰틸에터, 프로필렌글라이콜모노페닐에터 등의 수산기를 함유하는 글라이콜에터계 용제 등을 들 수 있다. 이들 중에서도 글라이콜에터계 용제를 이용하는 것이 바람직하다.Examples of the alcoholic solvent include aliphatic alcohols such as methanol, ethanol, 1-propanol, isopropanol, 1-butanol, 2-butanol, Butanol, 3-heptanol, 3-heptanol, 1-heptanol, 1-heptanol, 2-heptanol, Octanol, 3-methyl-3-pentanol, cyclopentanol, 2,3-dimethyl-2-butanol, 3,3- Methyl-3-pentanol, 4-methyl-2-pentanol, 4-methyl-3-pentanol, cyclohexane, Hexanol, 5-methyl-2-hexanol, 4-methyl-2-hexanol, 4,5-dimethyl-2-hexanol, (Monohydric alcohols) such as 8-methyl-2-nonanol, 9-methyl-2-decanol and 3-methoxy-1-butanol, ethylene glycol, diethylene glycol, tri Glycols such as ethylene glycol, ethylene glycol monomethyl ether, Methoxy-2-propanol), diethylene glycol monomethyl ether, triethylene glycol monoethyl ether, methoxymethyl butanol, ethylene glycol (ethylene glycol) Ethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, propylene glycol monoethyl ether, propylene glycol monopropyl ether, propylene glycol monobutyl ether , Glycol ether type solvents containing hydroxyl groups such as propylene glycol monophenyl ether, and the like. Among them, it is preferable to use a glycol ether solvent.

에터계 용제로서는, 예를 들면 상기 수산기를 함유하는 글라이콜에터계 용제 외에, 프로필렌글라이콜다이메틸에터, 프로필렌글라이콜다이에틸에터, 다이에틸렌글라이콜다이메틸에터, 다이에틸렌글라이콜다이에틸에터 등의 수산기를 함유하지 않는 글라이콜에터계 용제, 아니솔, 펜에톨 등의 방향족 에터 용제, 다이옥세인, 테트라하이드로퓨란, 테트라하이드로피란, 퍼플루오로-2-뷰틸테트라하이드로퓨란, 퍼플루오로테트라하이드로퓨란, 1,4-다이옥세인 등을 들 수 있다. 바람직하게는, 글라이콜에터계 용제, 또는 아니솔 등의 방향족 에터 용제를 이용한다.Examples of the ether-based solvent include, in addition to the above glycol ether type solvent containing a hydroxyl group, propylene glycol dimethyl ether, propylene glycol diethyl ether, diethylene glycol dimethyl ether, di Glycol ether solvents such as ethylene glycol diethylether, aromatic ether solvents such as anisole and phenol, dioxane, tetrahydrofuran, tetrahydropyran, perfluoro-2 -Butyltetrahydrofuran, perfluorotetrahydrofuran, 1,4-dioxane, and the like. Preferably, a glycol ether solvent or an aromatic ether solvent such as anisole is used.

아마이드계 용제로서는, 예를 들면 N-메틸-2-피롤리돈, N,N-다이메틸아세트아마이드, N,N-다이메틸폼아마이드, 헥사메틸포스포릭 트라이아마이드, 1,3-다이메틸-2-이미다졸리딘온 등을 사용할 수 있다.Examples of the amide solvent include N-methyl-2-pyrrolidone, N, N-dimethylacetamide, N, N-dimethylformamide, hexamethylphosphoric triamide, 2-imidazolidinone and the like can be used.

탄화 수소계 용제로서는, 예를 들면 펜테인, 헥세인, 옥테인, 노네인, 데케인, 도데케인, 운데케인, 헥사데케인, 2,2,4-트라이메틸펜테인, 2,2,3-트라이메틸헥세인, 퍼플루오로헥세인, 퍼플루오로헵테인 등의 지방족 탄화 수소계 용제, 톨루엔, 자일렌, 에틸벤젠, 프로필벤젠, 1-메틸프로필벤젠, 2-메틸프로필벤젠, 다이메틸벤젠, 다이에틸벤젠, 에틸메틸벤젠, 트라이메틸벤젠, 에틸다이메틸벤젠, 다이프로필벤젠 등의 방향족 탄화 수소계 용제, 옥텐, 노넨, 데센, 운데센, 도데센, 헥사데센 등의 불포화 탄화 수소계 용제를 들 수 있다.Examples of the hydrocarbon solvents include pentane, hexane, octane, nonene, decane, dodecane, undecane, hexadecane, 2,2,4-trimethylpentane, 2,2,3 Aliphatic hydrocarbon solvents such as trimethyl hexane, perfluorohexane and perfluoroheptane; aliphatic hydrocarbon solvents such as toluene, xylene, ethylbenzene, propylbenzene, 1-methylpropylbenzene, Aromatic hydrocarbon solvents such as benzene, diethylbenzene, ethylmethylbenzene, trimethylbenzene, ethyldimethylbenzene and dipropylbenzene; aromatic hydrocarbon solvents such as octane, n-heptene, undecene, undecene, dodecene and hexadecene; Solvent.

불포화 탄화 수소 용제가 갖는 이중 결합, 삼중 결합은 복수여도 되고, 탄화 수소쇄의 어느 위치에 가져도 된다. 이중 결합을 갖는 것에 의한 Cis, trans체가 혼합되어도 된다.The unsaturated hydrocarbon solvent may have a plurality of double bonds or triple bonds and may be located at any position of the hydrocarbon hydrocarbon chain. Cis and trans isomers may be mixed by having double bonds.

현상액에, 다른 용제를 함유하는 경우, 다른 용제의 함유량은 현상액의 전체 질량에 대하여 40질량% 이하인 것이 바람직하고, 보다 바람직하게는 20질량% 이하이며, 더 바람직하게는 10질량% 이하이고, 특히 바람직하게는 5질량% 이하이다. 다른 용제의 함유량을 40질량% 이하로 함으로써, 패턴 도괴 성능을 더 양호하게 할 수 있다.When the developing solution contains another solvent, the content of the other solvent is preferably 40 mass% or less, more preferably 20 mass% or less, further preferably 10 mass% or less, relative to the total mass of the developing solution, Preferably not more than 5% by mass. By setting the content of the other solvent to 40 mass% or less, the pattern ingot performance can be further improved.

현상액은, 계면활성제를 함유하는 것이 바람직하다. 이로써, 막에 대한 습윤성이 향상되고, 현상성이 향상되어, 이물의 발생이 억제되는 경향이 있다.The developer preferably contains a surfactant. As a result, the wettability to the film is improved, the developability is improved, and the generation of foreign matter tends to be suppressed.

계면활성제로서는, 후술하는 감활성광선성 또는 감방사선성 조성물에 이용되는 계면활성제와 동일한 것을 이용할 수 있다.As the surfactant, the same surfactants as those used in a sensitizing actinic ray or radiation-sensitive composition described later can be used.

현상액이 계면활성제를 함유하는 경우, 계면활성제의 함유량은, 현상액의 전체 질량에 대하여, 0.001~5질량%인 것이 바람직하고, 보다 바람직하게는 0.005~2질량%이며, 더 바람직하게는 0.01~0.5질량%이다.When the developer contains a surfactant, the content of the surfactant is preferably 0.001 to 5 mass%, more preferably 0.005 to 2 mass%, and even more preferably 0.01 to 0.5 mass%, based on the total mass of the developer. Mass%.

현상액은, 산화 방지제를 함유하는 것이 바람직하다. 이로써, 경시적인 산화제의 발생을 억제할 수 있어, 산화제의 함유량을 보다 저하시킬 수 있다.The developer preferably contains an antioxidant. As a result, the generation of the oxidizing agent over time can be suppressed, and the content of the oxidizing agent can be further reduced.

산화 방지제로서는, 공지의 것을 사용할 수 있는데, 반도체 용도에 이용하는 경우, 아민계 산화 방지제, 페놀계 산화 방지제가 바람직하게 이용된다.As the antioxidant, known antioxidants can be used. When used for semiconductor applications, an amine antioxidant and a phenol antioxidant are preferably used.

아민계 산화 방지제로서는, 예를 들면 1-나프틸아민, 페닐-1-나프틸아민, p-옥틸페닐-1-나프틸아민, p-노닐페닐-1-나프틸아민, p-도데실페닐-1-나프틸아민, 페닐-2-나프틸아민 등의 나프틸아민계 산화 방지제; N,N'-다이아이소프로필-p-페닐렌다이아민, N,N'-다이아이소뷰틸-p-페닐렌다이아민, N,N'-다이페닐-p-페닐렌다이아민, N,N'-다이-β-나프틸-p-페닐렌다이아민, N-페닐-N'-아이소프로필-p-페닐렌다이아민, N-사이클로헥실-N'-페닐-p-페닐렌다이아민, N-1,3-다이메틸뷰틸-N'-페닐-p-페닐렌다이아민, 다이옥틸-p-페닐렌다이아민, 페닐헥실-p-페닐렌다이아민, 페닐옥틸-p-페닐렌다이아민 등의 페닐렌다이아민계 산화 방지제; 다이피리딜아민, 다이페닐아민, p,p'-다이-n-뷰틸다이페닐아민, p,p'-다이-t-뷰틸다이페닐아민, p,p'-다이-t-펜틸다이페닐아민, p,p'-다이옥틸다이페닐아민, p,p'-다이노닐다이페닐아민, p,p'-다이데실다이페닐아민, p,p'-다이도데실다이페닐아민, p,p'-다이스타이릴다이페닐아민, p,p'-다이메톡시다이페닐아민, 4,4'-비스(4-α,α-다이메틸벤조일)다이페닐아민, p-아이소프로폭시다이페닐아민, 다이피리딜아민 등의 다이페닐아민계 산화 방지제; 페노싸이아진, N-메틸페노싸이아진, N-에틸페노싸이아진, 3,7-다이옥틸페노싸이아진, 페노싸이아진카복실산 에스터, 페노셀레나진 등의 페노싸이아진계 산화 방지제를 들 수 있다.Examples of the amine antioxidant include 1-naphthylamine, phenyl-1-naphthylamine, p-octylphenyl-1-naphthylamine, p-nonylphenyl-1-naphthylamine, Naphthylamine-based antioxidants such as -1-naphthylamine and phenyl-2-naphthylamine; N, N'-diisopropyl-p-phenylenediamine, N, N'-diisopropyl-p-phenylenediamine, N, Phenyl-N'-isopropyl-p-phenylenediamine, N-cyclohexyl-N'-phenyl-p-phenylenediamine, N-1,3-dimethylbutyl-N'-phenyl-p-phenylenediamine, dioctyl-p-phenylenediamine, phenylhexyl- Phenylene diamine-based antioxidants such as benzene and benzene; P'-di-tert-butyldiphenylamine, p, p'-di-tert-butyldiphenylamine, p, p'-dodecyldiphenylamine, p, p'-dodecyldiphenylamine, p, p'-dodecyldiphenylamine, p, Thiirlyldiphenylamine, p, p'-dimethoxydiphenylamine, 4,4'-bis (4 -?,? - dimethylbenzoyl) diphenylamine, p-isopropoxydiphenylamine, Diphenylamine antioxidants such as diallylamine; Phenothiazine antioxidants such as phenothiazine, N-methylphenothiazine, N-ethylphenothiazine, 3,7-dioctylphenothiazine, phenothiazinecarboxylic acid ester, and phenoscelenazine.

페놀계 산화 방지제로서는, 예를 들면, 2,6-다이터셔리뷰틸페놀(이하, 터셔리뷰틸을 t-뷰틸이라고 약기함), 2,6-다이-t-뷰틸-p-크레졸, 2,6-다이-t-뷰틸-4-메틸페놀, 2,6-다이-t-뷰틸-4-에틸페놀, 2,4-다이메틸-6-t-뷰틸페놀, 4,4'-메틸렌비스(2,6-다이-t-뷰틸페놀), 4,4'-비스(2,6-다이-t-뷰틸페놀), 4,4'-비스(2-메틸-6-t-뷰틸페놀), 2,2'-메틸렌비스(4-메틸-6-t-뷰틸페놀), 2,2'-메틸렌비스(4-에틸-6-t-뷰틸페놀), 4,4'-뷰틸리덴비스(3-메틸-6-t-뷰틸페놀), 4,4'-아이소프로필리덴비스(2,6-다이-t-뷰틸페놀), 2,2'-메틸렌비스(4-메틸-6-사이클로헥실페놀), 2,2'-메틸렌비스(4-메틸-6-노닐페놀), 2,2'-아이소뷰틸리덴비스(4,6-다이메틸페놀), 2,6-비스(2'-하이드록시-3'-t-뷰틸-5'-메틸벤질)-4-메틸페놀, 3-t-뷰틸-4-하이드록시아니솔, 2-t-뷰틸-4-하이드록시아니솔, 3-(4-하이드록시-3,5-다이-t-뷰틸페닐)프로피온산 옥틸, 3-(4-하이드록시-3,5-다이-t-뷰틸페닐)프로피온산 스테아릴, 3-(4-하이드록시-3,5-다이-t-뷰틸페닐)프로피온산 올레일, 3-(4-하이드록시-3,5-다이-t-뷰틸페닐)프로피온산 도데실, 3-(4-하이드록시-3,5-다이-t-뷰틸페닐)프로피온산 데실, 3-(4-하이드록시-3,5-다이-t-뷰틸페닐)프로피온산 옥틸, 테트라키스{3-(4-하이드록시-3,5-다이-t-뷰틸페닐)프로피온일옥시메틸}메테인, 3-(4-하이드록시-3,5-다이-t-뷰틸페닐)프로피온산 글리세린모노에스터, 3-(4-하이드록시-3,5-다이-t-뷰틸페닐)프로피온산과 글리세린모노올레일에터의 에스터, 3-(4-하이드록시-3,5-다이-t-뷰틸페닐)프로피온산 뷰틸렌글라이콜다이에스터, 3-(4-하이드록시-3,5-다이-t-뷰틸페닐)프로피온산 싸이오다이글라이콜다이에스터, 4,4'-싸이오비스(3-메틸-6-t-뷰틸페놀), 4,4'-싸이오비스(2-메틸-6-t-뷰틸페놀), 2,2'-싸이오비스(4-메틸-6-t-뷰틸페놀), 2,6-다이-t-뷰틸-α-다이메틸아미노-p-크레졸, 2,6-다이-t-뷰틸-4-(N,N'-다이메틸아미노메틸페놀), 비스(3,5-다이-t-뷰틸-4-하이드록시벤질)설파이드, 트리스{(3,5-다이-t-뷰틸-4-하이드록시페닐)프로피온일-옥시에틸}아이소사이아누레이트, 트리스(3,5-다이-t-뷰틸-4-하이드록시페닐)아이소사이아누레이트, 1,3,5-트리스(3,5-다이-t-뷰틸-4-하이드록시벤질)아이소사이아누레이트, 비스{2-메틸-4-(3-n-알킬싸이오프로피온일옥시)-5-t-뷰틸페닐}설파이드, 1,3,5-트리스(4-t-뷰틸-3-하이드록시-2,6-다이메틸벤질)아이소사이아누레이트, 테트라프탈로일-다이(2,6-다이메틸-4-t-뷰틸-3-하이드록시벤질설파이드), 6-(4-하이드록시-3,5-다이-t-뷰틸아닐리노)-2,4-비스(옥틸싸이오)-1,3,5-트라이아진, 2,2-싸이오-{다이에틸-비스-3-(3,5-다이-t-뷰틸-4-하이드록시페닐)}프로피오네이트, N,N'-헥사메틸렌비스(3,5-다이-t-뷰틸-4-하이드록시-하이드로신나메이트), 3,9-비스〔1,1-다이메틸-2-{β-(3-t-뷰틸-4-하이드록시-5-메틸페닐)프로피온일옥시}에틸〕-2,4,8,10-테트라옥사스파이로[5,5]운데케인, 1,1,3-트리스(2-메틸-4-하이드록시-5-t-뷰틸페닐)뷰테인, 1,3,5-트라이메틸-2,4,6-트리스(3,5-다이-t-뷰틸-4-하이드록시벤질)벤젠, 비스{3,3'-비스-(4'-하이드록시-3'-t-뷰틸페닐)뷰티릭 애시드}글라이콜에스터 등을 들 수 있다.Examples of the phenol-based antioxidant include 2,6-di-tert-butylphenol (hereinafter referred to as t-butyl butyl), 2,6-di- Di-t-butyl-4-methylphenol, 2,6-di-tert-butyl-4-ethylphenol, 2,4-dimethyl- Bis (2,6-di-tert-butylphenol), 4,4'-bis (2,6- Butylphenol), 2,2'-methylenebis (4-methyl-6-t-butylphenol), 2,2'- (2-methyl-6-t-butylphenol), 4,4'-isopropylidenebis (2,6- Hexylphenol), 2,2'-methylenebis (4-methyl-6-nonylphenol), 2,2'-isobutylidenebis (4,6-dimethylphenol), 2,6- 3-tert-butyl-5'-methylbenzyl) -4-methylphenol, 3-t-butyl- - (4-hydroxy-3,5-di-tert-butylphenyl) propion (3-hydroxy-3,5-di-tert-butylphenyl) propionic acid oleyl, 3 (4-hydroxy- 3- (4-hydroxy-3,5-di-tert-butylphenyl) propionate, 3- (4-hydroxy-3,5- (4-hydroxy-3,5-di-tert-butylphenyl) propionyloxymethyl} methane, 3- (4-hydroxy- Dihydroxy-3,5-di-tert-butylphenyl) propionic acid glycerin monoester, 3- (4-hydroxy-3,5-di-tert- butylphenyl) propionic acid and an ester of glycerin monooleylether, 3- (4-hydroxy-3,5-di-tert-butylphenyl) propionic acid butyleneglycol diester, 3- (3-methyl-6-t-butylphenol), 4,4'-thiobis (2-methyl-6-t-butylphenol), 2,2'- Di-t-butyl-4- (N, N-di-tert-butylphenol), 2,6- (3,5-di-t-butyl-4-hydroxybenzyl) sulfide, tris {(3,5- (3,5-di-t-butyl-4-hydroxyphenyl) isocyanurate, 1,3,5-tris (3,5-di-tert- butyl (3-n-alkylthiopropionyloxy) -5-t-butylphenyl} sulfide, 1,3,5-tris (4-hydroxybenzyl) isocyanurate, 4-tert-butyl-3-hydroxy-2,6-dimethylbenzyl) isocyanurate, tetrapyralyl-di (2,6- ), 6- (4-hydroxy-3,5-di-t-butylanilino) -2,4-bis (octylthio) -1,3,5-triazine, 2,2- (Diethyl-bis-3- (3,5-di-tert-butyl-4-hydroxyphenyl) (3,5-di-tert-butyl-4-hydroxy-hydrocinnamate), 3,9-bis [1,1-dimethyl-2- { - (3-t-butyl-4-hydroxy-5-methylphenyl) propionyloxy} ethyl] -2,4,8,10-tetraoxaspiro [5,5] undecane, Tris (2-methyl-4-hydroxy-5-t-butylphenyl) butane, 1,3,5-trimethyl-2,4,6-tris (3,5- Hydroxybenzyl) benzene, bis {3,3'-bis- (4'-hydroxy-3'-t-butylphenyl) butyric acid} glycol ester.

산화 방지제의 함유량은, 특별히 한정되지 않지만, 현상액의 전체 질량에 대하여, 0.0001~1질량%가 바람직하고, 0.0001~0.1질량%가 보다 바람직하며, 0.0001~0.01질량%가 더 바람직하다. 0.0001질량% 이상이면 보다 우수한 산화 방지 효과가 얻어지고, 1질량% 이하이면, 현상 잔사를 억제할 수 있는 경향이 있다.The content of the antioxidant is not particularly limited, but is preferably 0.0001 to 1% by mass, more preferably 0.0001 to 0.1% by mass, and still more preferably 0.0001 to 0.01% by mass based on the total mass of the developer. When it is 0.0001 mass% or more, a better antioxidation effect is obtained, and when it is 1 mass% or less, development residue tends to be suppressed.

현상액은, 염기성 화합물을 함유하는 것이 바람직하다. 염기성 화합물의 구체예로서는, 이후에 설명하는 감활성광선성 또는 감방사선성 조성물이 포함할 수 있는 염기성 화합물로서 예시하는 화합물을 들 수 있다.The developer preferably contains a basic compound. Specific examples of the basic compound include compounds exemplified as basic compounds that can be included in the actinic ray-sensitive or radiation-sensitive composition described below.

현상액에 포함될 수 있는 염기성 화합물 중에서도, 함질소 화합물을 바람직하게 이용할 수 있다.Of the basic compounds that can be included in the developing solution, nitrogen-containing compounds are preferably used.

현상 방법으로서는, 예를 들면 현상액이 채워진 조(槽) 중에 기판을 일정 시간 침지하는 방법(딥법), 기판 표면에 현상액을 표면 장력에 의하여 융기시켜 일정 시간 정지시킴으로써 현상하는 방법(퍼들법), 기판 표면에 현상액을 분무하는 방법(스프레이법), 일정 속도로 회전하고 있는 기판 상에 일정 속도로 현상액 토출 노즐을 스캔하면서 현상액을 계속 토출하는 방법(다이나믹 디스펜스법) 등을 적용할 수 있다.Examples of the developing method include a method (dip method) in which the substrate is immersed in a tank filled with a developing solution for a predetermined time (dip method), a method in which the developing solution is raised by surface tension on the substrate surface for a predetermined period of time A method of spraying a developer on the surface (spray method), a method of continuously discharging a developer while scanning a developer discharge nozzle at a constant speed on a substrate rotating at a constant speed (dynamic dispensing method), and the like.

또, 현상을 행하는 공정 후에, 다른 용매에 치환하면서, 현상을 정지시키는 공정을 실시해도 된다.After the step of developing, the step of stopping development may be performed while replacing the solvent with another solvent.

현상 시간은 미노광부의 수지가 충분히 용해되는 시간이면 특별히 제한은 없고, 통상은 10~300초이며, 바람직하게는 20~120초이다.The developing time is not particularly limited as long as the resin of the unexposed portion is sufficiently dissolved, usually 10 to 300 seconds, preferably 20 to 120 seconds.

현상액의 온도는 0~50℃가 바람직하고, 15~35℃가 보다 바람직하다.The temperature of the developing solution is preferably 0 to 50 캜, more preferably 15 to 35 캜.

현상액으로서는, 상술한 현상액을 이용하는 것이 바람직하다.As the developer, it is preferable to use the developer described above.

현상 공정에서 이용되는 현상액으로서는, 상술한 현상액을 이용한 현상에 더하여, 알칼리 현상액에 의한 현상(이른바 이중 현상)을 행해도 된다.As the developer used in the development process, in addition to the development using the developer described above, development (so-called double development) with an alkali developer may be performed.

<린스 공정><Rinse process>

린스 공정은, 현상 공정 후에 린스액에 의하여 세정(린스)하는 공정이다.The rinsing step is a step of rinsing (rinsing) with a rinsing liquid after the developing step.

린스 공정에 있어서는, 현상을 행한 웨이퍼를 상술한 린스액을 이용하여 세정 처리한다.In the rinsing process, the developed wafer is cleaned using the rinsing solution described above.

세정 처리의 방법은 특별히 한정되지 않지만, 예를 들면 일정 속도로 회전하고 있는 기판 상에 린스액을 계속 토출하는 방법(회전 토출법), 린스액이 채워진 조 중에 기판을 일정 시간 침지하는 방법(딥법), 기판 표면에 린스액을 분무하는 방법(스프레이법) 등을 적용할 수 있으며, 이 중에서도 회전 토출 방법으로 세정 처리를 행하고, 세정 후에 기판을 2000rpm~4000rpm의 회전수로 회전시켜, 린스액을 기판 상으로부터 제거하는 것이 바람직하다.The method of the rinsing treatment is not particularly limited. For example, a method of continuously discharging the rinsing liquid on a substrate rotating at a constant speed (rotary discharge method), a method of immersing the substrate in a tank filled with the rinsing liquid for a predetermined time ), A method of spraying a rinsing liquid onto the surface of the substrate (spray method), and the like. Among them, a cleaning process is carried out by a rotary discharge method, the substrate is rotated at a rotation number of 2000 rpm to 4000 rpm, It is preferable to remove it from the substrate.

린스 시간에는 특별히 제한은 없지만, 바람직하게는 10초~300초이고, 보다 바람직하게는 10초~180초이며, 가장 바람직하게는 20초~120초이다.The rinsing time is not particularly limited, but is preferably 10 seconds to 300 seconds, more preferably 10 seconds to 180 seconds, and most preferably 20 seconds to 120 seconds.

린스액의 온도는 0~50℃가 바람직하고, 15~35℃가 더 바람직하다.The temperature of the rinsing liquid is preferably 0 to 50 캜, more preferably 15 to 35 캜.

또, 현상 처리 또는 린스 처리 후에, 패턴 상에 부착되어 있는 현상액 또는 린스액을 초임계 유체에 의하여 제거하는 처리를 행할 수 있다.After the developing treatment or the rinsing treatment, the developer or rinsing liquid adhering to the pattern can be removed by supercritical fluid.

또한, 현상 처리 또는 린스 처리 또는 초임계 유체에 의한 처리 후, 패턴 중에 잔존하는 용제를 제거하기 위하여 가열 처리를 행할 수 있다. 가열 온도는, 양호한 레지스트 패턴이 얻어지는 한 특별히 한정되는 것은 아니고, 통상 40~160℃이다. 가열 온도는 50~150℃가 바람직하고, 50~110℃가 가장 바람직하다. 가열 시간에 관해서는 양호한 레지스트 패턴이 얻어지는 한 특별히 한정되지 않지만, 통상 15~300초이며, 바람직하게는, 15~180초이다.Further, after the developing treatment or the rinsing treatment or the treatment with the supercritical fluid, a heat treatment may be performed to remove the solvent remaining in the pattern. The heating temperature is not particularly limited as long as a good resist pattern can be obtained, and is usually 40 to 160 ° C. The heating temperature is preferably 50 to 150 ° C, and most preferably 50 to 110 ° C. The heating time is not particularly limited as long as a good resist pattern can be obtained, but is usually 15 to 300 seconds, preferably 15 to 180 seconds.

린스액으로서는, 유기 용제를 포함하는 린스액을 이용하는 것이 바람직하고, 유기 용제로서는, 상술한 현상액에 함유되는 유기 용제(바람직하게는 에스터계 용제, 케톤계 용제, 알코올계 용제, 에터계 용제, 아마이드계 용제, 및 탄화 수소계 용제로 이루어지는 군으로부터 선택되는 적어도 1종의 유기 용제)와 동일하다.As the rinsing liquid, it is preferable to use a rinsing liquid containing an organic solvent. As the organic solvent, an organic solvent (preferably, an ester solvent, a ketone solvent, an alcohol solvent, an ether solvent, Based solvent, at least one organic solvent selected from the group consisting of a solvent, a hydrocarbon solvent and a hydrocarbon hydrocarbon solvent).

린스액이 포함하는 유기 용제는, 탄화 수소계 용제가 바람직하다.The organic solvent to be contained in the rinsing liquid is preferably a hydrocarbon hydrocarbon solvent.

린스액에 포함되는 유기 용제로서는, 노광 공정에 있어서 EUV광(Extreme Ultra Violet) 또는 EB(Electron Beam)를 이용하는 경우, 상기의 유기 용제 중에서도 탄화 수소계 용제를 이용하는 것이 바람직하고, 지방족 탄화 수소계 용제를 이용하는 것이 보다 바람직하다. 린스액에 이용되는 지방족 탄화 수소계 용제로서는, 그 효과가 보다 향상된다는 관점에서, 탄소수 5 이상의 지방족 탄화 수소계 용제(예를 들면, 펜테인, 헥세인, 옥테인, 데케인, 운데케인, 도데케인, 헥사데케인 등)가 바람직하고, 탄소 원자수가 8 이상인 지방족 탄화 수소계 용제가 바람직하며, 탄소 원자수가 10 이상인 지방족 탄화 수소계 용제가 보다 바람직하다.As the organic solvent contained in the rinsing liquid, when EUV light (Extreme Ultra Violet) or EB (Electron Beam) is used in the exposure process, a hydrocarbon hydrocarbon solvent is preferably used among the above organic solvents, and an aliphatic hydrocarbon solvent Is more preferable. As the aliphatic hydrocarbon solvents for use in the rinsing liquid, aliphatic hydrocarbon solvents having 5 or more carbon atoms (for example, pentane, hexane, octane, decane, undecane, dodecane, Ketene, hexadecane, etc.), and aliphatic hydrocarbon solvents having 8 or more carbon atoms are preferable, and aliphatic hydrocarbon solvents having 10 or more carbon atoms are more preferable.

또한, 상기 지방족 탄화 수소계 용제의 탄소 원자수의 상한값은 특별히 한정되지 않지만, 예를 들면 16 이하를 들 수 있으며, 14 이하가 바람직하고, 12 이하가 보다 바람직하다.The upper limit value of the number of carbon atoms of the aliphatic hydrocarbon group-containing solvent is not particularly limited, but may be, for example, 16 or less, preferably 14 or less, and more preferably 12 or less.

상기 지방족 탄화 수소계 용제 중에서도, 특히 바람직하게는, 데케인, 운데케인, 아이소데케인, 도데케인이며, 더 바람직하게는 운데케인이다.Among the above-mentioned aliphatic hydrocarbon solvents, particularly preferred are decane, undecane, isodecane and dodecane, and more preferably undecane.

또한, 린스액에 포함되는 탄화 수소계 용제로서 불포화 탄화 수소계 용제도 이용할 수 있고, 예를 들면 옥텐, 노넨, 데센, 운데센, 도데센, 헥사데센 등의 불포화 탄화 수소계 용제를 들 수 있다. 불포화 탄화 수소 용제가 갖는 이중 결합, 삼중 결합의 수는 특별히 한정되지 않고, 또 탄화 수소쇄의 어느 위치에 가져도 된다. 또, 불포화 탄화 수소 용제가 이중 결합을 갖는 경우에는, cis체 및 trans체가 혼재하고 있어도 된다.As the hydrocarbon-based solvent contained in the rinsing liquid, unsaturated hydrocarbon-based solvents may also be used, and examples thereof include unsaturated hydrocarbon solvents such as octene, nonene, decene, undecene, dodecene and hexadecene . The number of double bonds and triple bonds of the unsaturated hydrocarbon solvent is not particularly limited and may be any position of the hydrocarbon hydrocarbon chain. When the unsaturated hydrocarbon solvent has a double bond, a cis form and a trans form may be mixed.

이와 같이 린스액에 포함되는 유기 용제로서, 탄화 수소계 용제(특히 지방족 탄화 수소계 용제)를 이용함으로써, 현상 후에 막에 약간 흡수되어 있던 현상액이 씻겨나가, 팽윤이 보다 억제되어, 패턴 도괴가 억제된다는 효과가 더 발휘된다.By using a hydrocarbon hydrocarbon solvent (particularly, an aliphatic hydrocarbon solvent solvent) as the organic solvent contained in the rinse liquid, the developer that has been slightly absorbed in the film after development is washed away, the swelling is further suppressed, The effect is further exerted.

또, 린스액에 포함되는 유기 용제로서, 상기 에스터계 용제 및 상기 탄화 수소계 용제의 혼합 용제, 또는 상기 케톤계 용제 및 상기 탄화 수소 용제의 혼합 용제를 이용해도 된다. 상기와 같은 혼합 용제로 하는 경우에는, 탄화 수소 용제를 주성분으로 하는 것이 바람직하다.As the organic solvent contained in the rinsing liquid, a mixed solvent of the ester solvent and the hydrocarbon solvent, or a mixed solvent of the ketone solvent and the hydrocarbon solvent may be used. When such a mixed solvent is used, it is preferable to use a hydrocarbon solvent as a main component.

에스터계 용제와 탄화 수소계 용제를 조합하여 이용하는 경우에는, 에스터계 용제로서 아세트산 뷰틸, 아세트산 아이소아밀을 이용하는 것이 바람직하다. 또, 탄화 수소계 용제로서는, 상기 효과가 더 발휘된다는 점에서, 포화 탄화 수소 용제(예를 들면, 데케인, 도데케인, 운데케인, 헥사데케인 등)를 이용하는 것이 바람직하다.When an ester solvent and a hydrocarbon hydrocarbon solvent are used in combination, it is preferable to use butyl acetate or isoamyl acetate as the ester solvent. As the hydrocarbon solvent, it is preferable to use a saturated hydrocarbon solvent (for example, decane, dodecane, undecane, hexadecane, etc.) in view of the above-mentioned effect.

케톤계 용제와 탄화 수소계 용제를 조합하여 이용하는 경우에는, 케톤계 용제로서 2-헵탄온을 이용하는 것이 바람직하다. 또, 탄화 수소계 용제로서는, 상기 효과가 더 발휘된다는 점에서, 포화 탄화 수소 용제(예를 들면, 데케인, 도데케인, 운데케인, 헥사데케인 등)를 이용하는 것이 바람직하다.When a ketone solvent and a hydrocarbon hydrocarbon solvent are used in combination, it is preferable to use 2-heptanone as the ketone solvent. As the hydrocarbon solvent, it is preferable to use a saturated hydrocarbon solvent (for example, decane, dodecane, undecane, hexadecane, etc.) in view of the above-mentioned effect.

또, 에스터계 용제와 탄화 수소계 용제를 조합하여 이용하는 경우, 케톤계 용제와 탄화 수소계 용제를 조합하여 이용하는 경우에는, 탄화 수소계 용제로서 불포화 탄화 수소계 용제도 이용할 수 있고, 예를 들면 옥텐, 노넨, 데센, 운데센, 도데센, 헥사데센 등의 불포화 탄화 수소계 용제를 들 수 있다. 불포화 탄화 수소 용제가 갖는 이중 결합, 삼중 결합의 수는 특별히 한정되지 않고, 또 탄화 수소쇄의 어느 위치에 가져도 된다.When an ester solvent and a hydrocarbon hydrocarbon solvent are used in combination, when a ketone solvent and a hydrocarbon hydrocarbon solvent are used in combination, an unsaturated hydrocarbon solvent may be used as the hydrocarbon hydrocarbon solvent. For example, , Unsaturated hydrocarbon solvents such as nonene, decene, undecene, dodecene and hexadecene. The number of double bonds and triple bonds of the unsaturated hydrocarbon solvent is not particularly limited and may be any position of the hydrocarbon hydrocarbon chain.

또, 불포화 탄화 수소 용제가 이중 결합을 갖는 경우에는, cis체 및 trans체가 혼재하고 있어도 된다.When the unsaturated hydrocarbon solvent has a double bond, a cis form and a trans form may be mixed.

또한, 린스액에 포함되는 유기 용제로서는, 현상 후의 잔사 저감에 특히 유효하다는 관점에서, 상기 에스터계 용제 및 상기 케톤계 용제로 이루어지는 군으로부터 선택되는 적어도 1종을 이용하는 양태여도 된다.The organic solvent to be contained in the rinsing liquid may be at least one selected from the group consisting of the ester solvent and the ketone solvent from the viewpoint of being particularly effective in reducing residues after development.

린스액이, 에스터계 용제 및 케톤계 용제로 이루어지는 군으로부터 선택되는 적어도 1종을 함유하는 경우, 아세트산 뷰틸, 아세트산 아이소펜틸(아세트산 아이소아밀), 아세트산 n-펜틸, 3-에톡시프로피온산 에틸(EEP, 에틸-3-에톡시프로피오네이트), 및 2-헵탄온으로 이루어지는 군으로부터 선택되는 적어도 1종의 용제를 주성분으로서 함유하는 것이 바람직하고, 아세트산 뷰틸 및 2-헵탄온으로 이루어지는 군으로부터 선택되는 적어도 1종의 용제를 주성분으로서 함유하는 것이 특히 바람직하다.When the rinse liquid contains at least one selected from the group consisting of an ester solvent and a ketone solvent, the solvent is preferably selected from the group consisting of butyl acetate, isopentyl acetate (isoamyl acetate), n-pentyl acetate, ethyl 3-ethoxypropionate , Ethyl-3-ethoxypropionate), and 2-heptanone as a main component, and is preferably selected from the group consisting of butyl acetate and 2-heptanone It is particularly preferable to contain at least one kind of solvent as a main component.

또, 린스액이, 에스터계 용제 및 케톤계 용제로 이루어지는 군으로부터 선택되는 적어도 1종을 함유하는 경우, 에스터계 용제, 글라이콜에터계 용제, 케톤계 용제, 알코올계 용제로 이루어지는 군으로부터 선택되는 용제를 부성분으로서 함유하는 것이 바람직하고, 그 중에서도, 프로필렌글라이콜모노메틸에터아세테이트(PGMEA), 프로필렌글라이콜모노메틸에터(PGME), 아세트산 에틸, 락트산 에틸, 3-메톡시프로피온산 메틸, 사이클로헥산온, 메틸에틸케톤, γ-뷰티로락톤, 프로판올, 3-메톡시-1-뷰탄올, N-메틸피롤리돈, 프로필렌카보네이트로 이루어지는 군으로부터 선택되는 용제가 바람직하다.When the rinse liquid contains at least one kind selected from the group consisting of an ester type solvent and a ketone type solvent, it is preferably selected from the group consisting of an ester type solvent, a glycol ether type solvent, a ketone type solvent and an alcohol type solvent (PGMEA), propylene glycol monomethyl ether (PGME), ethyl acetate, ethyl lactate, 3-methoxypropionic acid (PGME), and the like. A solvent selected from the group consisting of methyl, cyclohexanone, methyl ethyl ketone,? -Butyrolactone, propanol, 3-methoxy-1-butanol, N-methylpyrrolidone and propylene carbonate is preferable.

이 중에서도, 유기 용제로서 에스터계 용제를 이용하는 경우에는, 상기 효과가 더 발휘된다는 점에서, 2종 이상의 에스터계 용제를 이용하는 것이 바람직하다. 이 경우의 구체예로서는, 에스터계 용제(바람직하게는 아세트산 뷰틸)를 주성분으로서, 이것과는 화학 구조가 다른 에스터계 용제(바람직하게는, 프로필렌글라이콜모노메틸에터아세테이트(PGMEA))를 부성분으로서 이용하는 것을 들 수 있다.Among these, in the case of using an ester solvent as the organic solvent, it is preferable to use two or more kinds of ester solvents because the above effects can be further exerted. As specific examples of such a case, an ester solvent (preferably propylene glycol monomethyl ether acetate (PGMEA)) having a chemical structure different from that of the ester solvent (preferably, butyl acetate) as a main component is used as a subcomponent And the like.

또, 유기 용제로서 에스터계 용제를 이용하는 경우에는, 상기 효과가 더 발휘된다는 점에서, 에스터계 용제(1종 또는 2종 이상)에 더하여, 글라이콜에터계 용제를 이용해도 된다. 이 경우의 구체예로서는, 에스터계 용제(바람직하게는, 아세트산 뷰틸)를 주성분으로서, 글라이콜에터계 용제(바람직하게는 프로필렌글라이콜모노메틸에터(PGME))를 부성분으로서 이용하는 것을 들 수 있다.In the case of using an ester solvent as the organic solvent, a glycol ether solvent may be used in addition to the ester solvent (one or more kinds) in view of the above effect being further exerted. As a specific example of this case, a glycol ether solvent (preferably propylene glycol monomethyl ether (PGME)) is used as a subcomponent with an ester type solvent (preferably, butyl acetate) as a main component have.

유기 용제로서 케톤계 용제를 이용하는 경우에는, 상기 효과가 더 발휘된다는 점에서, 케톤계 용제(1종 또는 2종 이상)에 더하여, 에스터계 용제 및/또는 글라이콜에터계 용제를 이용해도 된다. 이 경우의 구체예로서는, 케톤계 용제(바람직하게는 2-헵탄온)를 주성분으로서, 에스터계 용제(바람직하게는, 프로필렌글라이콜모노메틸에터아세테이트(PGMEA)) 및/또는 글라이콜에터계 용제(바람직하게는 프로필렌글라이콜모노메틸에터(PGME))를 부성분으로서 이용하는 것을 들 수 있다.In the case of using a ketone solvent as the organic solvent, an ester solvent and / or a glycol ether solvent may be used in addition to the ketone solvent (one or more) . As specific examples of such a case, it is preferable to use a ketone solvent (preferably 2-heptanone) as a main component and an ester solvent (preferably propylene glycol monomethyl ether acetate (PGMEA)) and / Based solvent (preferably propylene glycol monomethyl ether (PGME)) is used as a subcomponent.

여기에서, 상기의 "주성분"이란, 유기 용제의 전체 질량에 대한 함유량이, 50~100질량%인 것을 말하며, 바람직하게는 70~100질량%, 보다 바람직하게는 80~100질량%, 더 바람직하게는 90~100질량%, 특히 바람직하게는 95~100질량%인 것을 말한다.The term "main component" as used herein means that the content of the organic solvent with respect to the total mass is 50 to 100 mass%, preferably 70 to 100 mass%, more preferably 80 to 100 mass% By mass is 90 to 100% by mass, and particularly preferably 95 to 100% by mass.

또, 부성분을 함유하는 경우에는, 부성분의 함유량은, 주성분의 전체 질량(100질량%)에 대하여, 0.1~20질량%인 것이 바람직하고, 0.5~10질량%인 것이 보다 바람직하며, 1~5질량%인 것이 더 바람직하다.When the subcomponent is contained, the content of the subcomponent is preferably 0.1 to 20 mass%, more preferably 0.5 to 10 mass%, and more preferably 1 to 5 mass% with respect to the total mass of the main component (100 mass% More preferably, it is in mass%.

린스액의 증기압은, 20℃에 있어서 0.05kPa 이상, 5kPa 이하가 바람직하고, 0.1kPa 이상, 5kPa 이하가 더 바람직하며, 0.12kPa 이상, 3kPa 이하가 가장 바람직하다. 린스액이 복수의 용제의 혼합 용제인 경우는 전체로서의 증기압이 상기 범위인 것이 바람직하다. 린스액의 증기압을 0.05kPa 이상, 5kPa 이하로 함으로써, 웨이퍼면 내의 온도 균일성이 향상되고, 나아가서는 린스액의 침투에 기인한 팽윤이 억제되어, 웨이퍼면 내의 치수 균일성이 양호해진다.The vapor pressure of the rinsing liquid at 20 캜 is preferably 0.05 kPa or more and 5 kPa or less, more preferably 0.1 kPa or more and 5 kPa or less, most preferably 0.12 kPa or more and 3 kPa or less. When the rinse liquid is a mixed solvent of a plurality of solvents, the vapor pressure as a whole is preferably in the above range. By adjusting the vapor pressure of the rinsing liquid to 0.05 kPa or more and 5 kPa or less, temperature uniformity in the wafer surface is improved, swelling due to infiltration of the rinsing liquid is suppressed, and dimensional uniformity within the wafer surface is improved.

린스액은, 계면활성제를 함유해도 된다. 린스액이 계면활성제를 함유함으로써, 막에 대한 습윤성이 향상되고, 린스성이 향상되어, 이물의 발생이 억제되는 경향이 있다.The rinsing liquid may contain a surfactant. When the rinsing liquid contains a surfactant, wettability to the film is improved, rinsing property is improved, and generation of foreign matter tends to be suppressed.

계면활성제로서는, 후술하는 감활성광선성 또는 감방사선성 조성물에 이용되는 계면활성제와 동일한 것을 이용할 수 있다.As the surfactant, the same surfactants as those used in a sensitizing actinic ray or radiation-sensitive composition described later can be used.

린스액이 계면활성제를 함유하는 경우, 계면활성제의 함유량은, 린스액의 전체 질량에 대하여, 0.001~5질량%가 바람직하고, 보다 바람직하게는 0.005~2질량%이며, 더 바람직하게는 0.01~0.5질량%이다.When the rinsing liquid contains a surfactant, the content of the surfactant is preferably 0.001 to 5 mass%, more preferably 0.005 to 2 mass%, and still more preferably 0.01 to 5 mass%, based on the total mass of the rinsing liquid. 0.5% by mass.

린스액은 산화 방지제를 함유해도 된다. 린스액이 함유해도 되는 산화 방지제로서는, 상술한 현상액이 함유해도 되는 산화 방지제와 동일하다.The rinse liquid may contain an antioxidant. The antioxidant that may be contained in the rinse liquid is the same as the antioxidant that may be contained in the developer.

린스액이 산화 방지제를 함유하는 경우, 산화 방지제의 함유량은, 특별히 한정되지 않지만, 린스액의 전체 질량에 대하여, 0.0001~1질량%가 바람직하고, 0.0001~0.1질량%가 보다 바람직하며, 0.0001~0.01질량%가 더 바람직하다.When the rinse liquid contains an antioxidant, the content of the antioxidant is not particularly limited, but it is preferably 0.0001 to 1 mass%, more preferably 0.0001 to 0.1 mass%, and most preferably 0.0001 to 0.1 mass% based on the total mass of the rinse liquid. 0.01% by mass is more preferable.

본 발명의 패턴 형성 방법에 이용되는 감활성광선성 또는 감방사선성 조성물, 및 각종 재료(예를 들면, 용제, 현상액, 린스액, 반사 방지막 형성용 조성물, 톱 코트 형성용 조성물 등)는, 금속, 할로젠을 포함하는 금속염, 산, 알칼리 등의 불순물을 포함하지 않는 것이 바람직하다. 이들 재료에 포함되는 불순물의 함유량으로서는, 1ppm 이하가 바람직하고, 1ppb 이하가 보다 바람직하며, 100ppt 이하가 더 바람직하고, 10ppt 이하가 특히 바람직하며, 실질적으로 포함하지 않는 것(측정 장치의 검출 한계 이하인 것)이 가장 바람직하다.(For example, a solvent, a developer, a rinsing liquid, a composition for forming an antireflection film, a composition for forming a top coat, etc.) used in the pattern forming method of the present invention may be a metal , A metal salt containing halogen, an acid, an alkali, and the like. The content of the impurities contained in these materials is preferably 1 ppm or less, more preferably 1 ppb or less, more preferably 100 ppt or less, particularly preferably 10 ppt or less, and substantially no content Is most preferable.

각종 재료로부터 금속 등의 불순물을 제거하는 방법으로서는, 예를 들면 필터를 이용한 여과를 들 수 있다. 필터 구멍 직경으로서는, 포어 사이즈 10nm 이하가 바람직하고, 5nm 이하가 보다 바람직하며, 3nm 이하가 더 바람직하다. 필터의 재질로서는, 폴리테트라플루오로에틸렌제, 폴리에틸렌제, 나일론제의 필터가 바람직하다. 필터는, 이들 재질과 이온 교환 미디어를 조합한 복합 재료여도 된다. 필터는, 유기 용제로 미리 세정한 것을 이용해도 된다. 필터 여과 공정에서는, 복수 종류의 필터를 직렬 또는 병렬로 접속하여 이용해도 된다. 복수 종류의 필터를 사용하는 경우는, 구멍 직경 및/또는 재질이 다른 필터를 조합하여 사용해도 된다. 또, 각종 재료를 복수 회 여과해도 되고, 복수 회 여과하는 공정이 순환 여과 공정이어도 된다.Examples of a method for removing impurities such as metals from various materials include filtration using a filter. The filter hole diameter is preferably 10 nm or less in pore size, more preferably 5 nm or less, and further preferably 3 nm or less. As the material of the filter, a filter made of polytetrafluoroethylene, polyethylene or nylon is preferable. The filter may be a composite material obtained by combining these materials with an ion exchange medium. The filter may be previously washed with an organic solvent. In the filter filtering step, a plurality of types of filters may be connected in series or in parallel. When a plurality of types of filters are used, filters having different hole diameters and / or different materials may be used in combination. In addition, the various materials may be filtered a plurality of times, and the step of filtering a plurality of times may be a circulating filtration step.

또, 각종 재료에 포함되는 금속 등의 불순물을 저감시키는 방법으로서는, 각종 재료를 구성하는 원료로서 금속 함유량이 적은 원료를 선택하거나, 각종 재료를 구성하는 원료에 대하여 필터 여과를 행하거나, 장치 내를 테프론(등록 상표)으로 라이닝하는 등 하여 컨테미네이션을 가능한 한 억제한 조건하에서 증류를 행하는 등의 방법을 들 수 있다. 각종 재료를 구성하는 원료에 대하여 행하는 필터 여과에 있어서의 바람직한 조건은, 상기한 조건과 동일하다.As a method for reducing impurities such as metals contained in various materials, it is possible to select a raw material having a small metal content as a raw material constituting various materials, filter the raw materials constituting various materials, Or ligning with Teflon (registered trademark) to perform distillation under the condition of suppressing contamination as much as possible. Preferable conditions for filter filtration performed on raw materials constituting various materials are the same as those described above.

필터 여과 외에, 흡착재에 의한 불순물의 제거를 행해도 되고, 필터 여과와 흡착재를 조합하여 사용해도 된다. 흡착재로서는, 공지의 흡착재를 이용할 수 있고, 예를 들면 실리카젤, 제올라이트 등의 무기계 흡착재, 활성탄 등의 유기계 흡착재를 사용할 수 있다.In addition to filter filtration, impurities may be removed by the adsorbent, or a combination of filter filtration and adsorbent may be used. As the adsorbent, known adsorbents can be used. For example, inorganic adsorbents such as silica gel and zeolite, and organic adsorbents such as activated carbon can be used.

<수용 용기><Storage container>

현상액 및 린스액에 사용할 수 있는 유기 용제("유기계 처리액"이라고도 함)로서는, 수용부를 갖는, 화학 증폭형 또는 비화학 증폭형 막의 패터닝용 유기계 처리액의 수용 용기에 보존된 것을 사용하는 것이 바람직하다. 이 수용 용기로서는, 예를 들면 수용부의, 유기계 처리액에 접촉하는 내벽이, 폴리에틸렌 수지, 폴리프로필렌 수지, 및 폴리에틸렌-폴리프로필렌 수지 중 어느 것과도 다른 수지, 또는 방청·금속 용출 방지 처리가 실시된 금속으로 형성된, 막의 패터닝용 유기계 처리액의 수용 용기인 것이 바람직하다. 이 수용 용기의 상기 수용부에, 막의 패터닝용 유기계 처리액으로서 사용될 예정인 유기 용제를 수용하고, 막의 패터닝 시에 있어서, 상기 수용부로부터 배출된 것을 사용할 수 있다.As the organic solvent (also referred to as "organic-based treatment solution") that can be used for the developer and rinse solution, it is preferable to use a product stored in a holding container for an organic processing solution for patterning of a chemically amplified or non- Do. As the accommodation container, for example, the inner wall in contact with the organic-based treatment liquid in the accommodation portion is made of a resin different from any one of polyethylene resin, polypropylene resin and polyethylene-polypropylene resin, It is preferable that the container is a container for an organic processing solution for patterning a film formed of a metal. An organic solvent to be used as an organic processing solution for patterning a film may be accommodated in the containing portion of the container and discharged from the accommodating portion at the time of patterning of the film.

상기의 수용 용기가, 또한 상기 수용부를 밀폐하기 위한 시일부를 갖고 있는 경우, 이 시일부도, 폴리에틸렌 수지, 폴리프로필렌 수지, 및 폴리에틸렌-폴리프로필렌 수지로 이루어지는 군으로부터 선택되는 1종 이상의 수지와는 다른 수지, 또는 방청·금속 용출 방지 처리가 실시된 금속으로 형성되는 것이 바람직하다.In the case where the accommodating container has a sealing portion for sealing the accommodating portion, the sealing portion may also be made of a resin different from at least one resin selected from the group consisting of a polyethylene resin, a polypropylene resin, and a polyethylene-polypropylene resin , Or a metal subjected to anticorrosion and metal leaching prevention treatment.

여기에서, 시일부란, 수용부와 외기를 차단 가능한 부재를 의미하고, 패킹이나 O링 등을 적합하게 들 수 있다.Here, the sealing portion means a member capable of blocking the receiving portion and the outside air, and a packing, an O-ring, and the like are suitably used.

폴리에틸렌 수지, 폴리프로필렌 수지, 및 폴리에틸렌-폴리프로필렌 수지로 이루어지는 군으로부터 선택되는 1종 이상의 수지와는 다른 수지는, 퍼플루오로 수지인 것이 바람직하다.The resin different from at least one resin selected from the group consisting of a polyethylene resin, a polypropylene resin, and a polyethylene-polypropylene resin is preferably a perfluororesin.

퍼플루오로 수지로서는, 사불화 에틸렌 수지(PTFE), 사불화 에틸렌·퍼플루오로알킬바이닐에터 공중합체(PFA), 사불화 에틸렌-육불화 프로필렌 공중합 수지(FEP), 사불화 에틸렌-에틸렌 공중합체 수지(ETFE), 삼불화 염화 에틸렌-에틸렌 공중합 수지(ECTFE), 불화 바이닐리덴 수지(PVDF), 삼불화 염화 에틸렌 공중합 수지(PCTFE), 불화 바이닐 수지(PVF) 등을 들 수 있다.Examples of the perfluororesin include polytetrafluoroethylene resin (PTFE), tetrafluoroethylene-perfluoroalkylvinylether copolymer (PFA), tetrafluoroethylene-hexafluoropropylene copolymer resin (FEP), tetrafluoroethylene- (ETFE), trifluoroethylene-ethylene copolymer resin (ECTFE), fluorinated vinylidene resin (PVDF), trifluoroethylene chloride copolymer resin (PCTFE), and vinyl fluoride resin (PVF).

특히 바람직한 퍼플루오로 수지로서는, 사불화 에틸렌 수지, 사불화 에틸렌·퍼플루오로알킬바이닐에터 공중합체, 사불화 에틸렌-육불화 프로필렌 공중합 수지를 들 수 있다.Particularly preferred perfluororesins include tetrafluoroethylene resins, tetrafluoroethylene-perfluoroalkyl vinyl ether copolymers and tetrafluoroethylene-hexafluoropropylene copolymer resins.

방청·금속 용출 방지 처리가 실시된 금속에 있어서의 금속으로서는, 탄소강, 합금강, 니켈크로뮴강, 니켈크로뮴몰리브데넘강, 크로뮴강, 크로뮴몰리브데넘강, 망가니즈강 등을 들 수 있다.Examples of the metal in the metal subjected to the rust prevention and metal elution prevention treatment include carbon steel, alloy steel, nickel chromium steel, nickel chromium molybdenum steel, chromium steel, chromium molybdenum steel, manganese steel and the like.

방청·금속 용출 방지 처리로서는, 피막 기술을 적용하는 것이 바람직하다.As the anti-rusting and metal leaching prevention treatment, it is preferable to apply the coating technique.

피막 기술에는, 금속 피복(각종 도금), 무기 피복(각종 화성 처리, 유리, 콘크리트, 세라믹스 등) 및 유기 피복(방청유, 도료, 고무, 플라스틱)의 3종으로 크게 구별되어 있다.The coating technology is largely classified into three types of metal coating (various plating), inorganic coating (various chemical treatment, glass, concrete, ceramics, etc.) and organic coating (rust preventive oil, paint, rubber and plastic).

바람직한 피막 기술로서는, 방청유, 방청제, 부식 억제제, 킬레이트 화합물, 가박성(可剝性) 플라스틱, 라이닝제에 의한 표면 처리를 들 수 있다.Preferable coating techniques include surface treatment with anti-corrosive oil, rust inhibitor, corrosion inhibitor, chelate compound, flexible plastic and lining agent.

그 중에서도, 각종 크로뮴산염, 아질산염, 규산염, 인산염, 올레산, 다이머산, 나프텐산 등의 카복실산, 카복실산 금속 비누, 설폰산염, 아민염, 에스터(고급 지방산의 글리세린에스터나 인산 에스터) 등의 부식 억제제, 에틸렌다이아민테트라아세트산, 글루콘산, 나이트릴로트라이아세트산, 하이드록시에틸에틸렌다이아민 삼아세트산, 다이에틸렌트라이아민 오아세트산 등의 킬레이트 화합물 및 불소 수지 라이닝이 바람직하다. 특히 바람직한 것은, 인산염 처리와 불소 수지 라이닝이다.Among them, corrosion inhibitors such as various chromium salts, nitrites, silicates, phosphates, carboxylic acids such as oleic acid, dimer acid and naphthenic acid, carboxylic acid metal soaps, sulfonates, amine salts and esters (glycerine ester or phosphate ester of higher fatty acid) Chelate compounds such as ethylenediaminetetraacetic acid, gluconic acid, nitrilotriacetic acid, hydroxyethylethylenediamine triacetic acid, and diethylenetriamine o acetic acid, and fluorine resin lining are preferable. Especially preferred are phosphating and fluororesin lining.

또, 직접적인 피복 처리와 비교하여, 직접, 녹을 방지하는 것은 아니지만, 피복 처리에 의한 방청 기간의 연장으로 이어지는 처리 방법으로서, 방청 처리에 착수하기 전의 단계인 "전처리"를 채용하는 것도 바람직하다.It is also preferable to adopt a "pretreatment" step prior to starting the anti-corrosive treatment as a treatment method that leads to an extension of the anti-corrosive period by the coating treatment, although it does not directly prevent the rusting as compared with the direct coating treatment.

이와 같은 전처리의 구체예로서는, 금속 표면에 존재하는 염화물이나 황산염 등의 다양한 부식 인자를, 세정이나 연마에 의하여 제거하는 처리를 적합하게 들 수 있다.As a specific example of such a pretreatment, a treatment for removing various corrosion factors such as chloride and sulfate present on the metal surface by washing or polishing is suitably used.

수용 용기로서는 구체적으로 이하를 들 수 있다.Specific examples of the container include the following.

·Entegris사제 Fluoro Pure PFA 복합 드럼(접액 내면; PFA 수지 라이닝)· Fluoro Pure PFA composite drum manufactured by Entegris Inc. (Inside surface: PFA resin lining)

·JFE사제 강제(鋼製) 드럼캔(접액 내면; 인산 아연 피막)· Drum can made of steel (made of steel) (inner surface of contact liquid; zinc phosphate coating)

또, 본 발명에 있어서 이용할 수 있는 수용 용기로서는, 일본 공개특허공보 평11-021393호 [0013]~[0030], 및 일본 공개특허공보 평10-45961호 [0012]~[0024]에 기재된 용기도 들 수 있다.Examples of the container for use in the present invention include containers described in Japanese Laid-Open Patent Publication Nos. 11-021393 to 0030 and Japanese Laid-Open Patent Publication Nos. 10-45961 to 0012 .

본 발명의 유기계 처리액은, 정전기의 대전, 계속해서 발생하는 정전기 방전에 따른 약액 배관이나 각종 부품(필터, O-링, 튜브 등)의 고장을 방지하기 위하여, 도전성의 화합물을 첨가해도 된다. 도전성의 화합물로서는 특별히 제한되지 않지만, 예를 들면 메탄올을 들 수 있다. 첨가량은 특별히 제한되지 않지만, 바람직한 현상 특성을 유지하는 관점에서, 10질량% 이하가 바람직하고, 더 바람직하게는, 5질량% 이하이다. 약액 배관의 부재에 관해서는, SUS(스테인리스강), 혹은 대전 방지 처리가 실시된 폴리에틸렌, 폴리프로필렌, 또는 불소 수지(폴리테트라플루오로에틸렌, 퍼플루오로알콕시 수지 등)로 피막된 각종 배관을 이용할 수 있다. 필터나 O-링에 관해서도 마찬가지로 대전 방지 처리가 실시된 폴리에틸렌, 폴리프로필렌, 또는 불소 수지(폴리테트라플루오로에틸렌, 퍼플루오로알콕시 수지 등)를 이용할 수 있다.The organic-based treatment liquid of the present invention may be added with a conductive compound in order to prevent the failure of the chemical liquid pipe and various parts (filter, O-ring, tube, etc.) caused by static electricity charging and subsequent electrostatic discharge. The conductive compound is not particularly limited, and examples thereof include methanol. The addition amount is not particularly limited, but is preferably not more than 10% by mass, more preferably not more than 5% by mass from the viewpoint of maintaining desirable developing characteristics. As for the member of the chemical liquid pipe, various pipes coated with SUS (stainless steel) or polyethylene, polypropylene, or fluorine resin (polytetrafluoroethylene, perfluoroalkoxy resin, etc.) subjected to antistatic treatment may be used . As for the filter and the O-ring, it is also possible to use polyethylene, polypropylene or fluororesin (polytetrafluoroethylene, perfluoroalkoxy resin, etc.) subjected to antistatic treatment.

또한, 일반적으로 현상액 및 린스액은, 사용 후에 배관을 통하여 폐액(廢液) 탱크에 수용된다. 그때, 린스액으로서 탄화 수소계 용매를 사용하는 경우에는, 현상액 중에 용해된 레지스트가 석출되어, 웨이퍼 배면이나, 배관 측면 등에 부착되는 것을 방지하기 위하여, 다시, 레지스트가 용해되는 용매를 배관에 통과시키는 방법이 있다. 배관에 통과시키는 방법으로서는, 린스액에서의 세정 후에 기판의 배면이나 측면 등을 레지스트가 용해되는 용매로 세정하여 흘려보내는 방법이나, 레지스트에 접촉시키지 않고 레지스트가 용해되는 용제를 배관을 통과하도록 흘려보내는 방법을 들 수 있다.In general, the developer and the rinsing liquid are accommodated in a waste liquid tank through piping after use. At this time, in the case of using a hydrocarbon solvent as the rinsing liquid, in order to prevent the resist dissolved in the developer from depositing and adhering to the back surface of the wafer or the side surface of the pipe, the solvent through which the resist is dissolved is again passed through the pipe There is a way. As a method of passing through the pipe, there are a method in which the back surface or the side surface of the substrate is cleaned and washed with a solvent in which the resist is dissolved after the cleaning in the rinsing liquid and a method in which a solvent in which the resist is dissolved, Method.

배관에 통과시키는 용제로서는, 레지스트를 용해할 수 있는 것이면 특별히 한정되지 않고, 예를 들면 상술한 유기 용매를 들 수 있으며, 프로필렌글라이콜모노메틸에터아세테이트(PGMEA), 프로필렌글라이콜모노에틸에터아세테이트, 프로필렌글라이콜모노프로필에터아세테이트, 프로필렌글라이콜모노뷰틸에터아세테이트, 프로필렌글라이콜모노메틸에터프로피오네이트, 프로필렌글라이콜모노에틸에터프로피오네이트, 에틸렌글라이콜모노메틸에터아세테이트, 에틸렌글라이콜모노에틸에터아세테이트, 프로필렌글라이콜모노메틸에터(PGME), 프로필렌글라이콜모노에틸에터, 프로필렌글라이콜모노프로필에터, 프로필렌글라이콜모노뷰틸에터, 에틸렌글라이콜모노메틸에터, 에틸렌글라이콜모노에틸에터, 2-헵탄온, 락트산 에틸, 1-프로판올, 아세톤 등을 이용할 수 있다. 그 중에서도 바람직하게는, PGMEA, PGME, 사이클로헥산온을 이용할 수 있다.The solvent to be passed through the pipe is not particularly limited as long as it can dissolve the resist, and examples thereof include the above-mentioned organic solvents, and propylene glycol monomethyl ether acetate (PGMEA), propylene glycol monoethyl Ether acetate, propylene glycol monopropyl ether acetate, propylene glycol monobutyl ether acetate, propylene glycol monomethyl ether propionate, propylene glycol monoethyl ether propionate, ethylene glycol monoethyl ether acetate, Ethylene glycol monoethyl ether acetate, propylene glycol monomethyl ether (PGME), propylene glycol monoethyl ether, propylene glycol monopropyl ether, propylene glycol monomethyl ether acetate, Ethylene glycol monoethyl ether, ethylene glycol monoethyl ether, 2-heptanone, ethyl lactate, 1-propanol, acetone and the like, Can be used. Among them, PGMEA, PGME and cyclohexanone can be preferably used.

본 발명의 패턴 형성 방법에 의하여 얻어지는 패턴을 마스크로서 이용하고, 적절히 에칭 처리 및 이온 주입 등을 행하여, 반도체 미세 회로, 임프린트용 몰드 구조체, 포토마스크 등을 제조할 수 있다.A semiconductor fine circuit, an imprint mold structure, a photomask, or the like can be manufactured by using the pattern obtained by the pattern forming method of the present invention as a mask and appropriately performing an etching treatment and an ion implantation.

상기 방법에 의하여 형성된 패턴은, DSA(Directed Self-Assembly)에 있어서의 가이드 패턴 형성(예를 들면, ACS Nano Vol. 4 No. 8 Page 4815-4823 참조)에도 이용할 수 있다. 또, 상기 방법에 의하여 형성된 패턴은, 예를 들면 일본 공개특허공보 평3-270227 및 일본 공개특허공보 2013-164509호에 개시된 스페이서 프로세스의 심재(코어)로서 사용할 수 있다.The pattern formed by the above method can also be used for guiding pattern formation in DSA (Directed Self-Assembly) (see, for example, ACS Nano Vol. 4 No. 8 Page 4815-4823). The pattern formed by the above method can be used as a core (core) of a spacer process disclosed in, for example, Japanese Unexamined Patent Application Publication No. 3-270227 and Japanese Unexamined Patent Publication No. 2013-164509.

또한, 본 발명의 조성물을 이용하여 임프린트용 몰드를 제작하는 경우의 프로세스에 대해서는, 예를 들면 일본 특허공보 제4109085호, 일본 공개특허공보 2008-162101호, 및 "나노 임프린트의 기초와 기술 개발·응용 전개 -나노 임프린트의 기판 기술과 최신 기술 전개- 편집: 히라이 요시히코(프론티어 슛판)"에 기재되어 있다.The process for producing the imprint mold using the composition of the present invention is described in, for example, Japanese Patent Publication No. 4109085, Japanese Laid-Open Patent Publication No. 2008-162101, and " Application Development - Nanoimprint Technology and Latest Technologies - Editing: Yoshihiko Hirai (Frontier Shotpan) ".

본 발명의 패턴 형성 방법을 이용하여 제조되는 포토마스크는, ArF 엑시머 레이저 등에서 이용되는 광투과형 마스크여도 되고, EUV광을 광원으로 하는 반사계 리소그래피에서 이용되는 광반사형 마스크여도 된다.The photomask manufactured using the pattern formation method of the present invention may be a light transmission type mask used in an ArF excimer laser or the like or a light reflection type mask used in reflection type lithography using EUV light as a light source.

또, 본 발명은, 상기한 본 발명의 패턴 형성 방법을 포함하는, 전자 디바이스의 제조 방법, 및 이 제조 방법에 의하여 제조된 전자 디바이스에도 관한 것이다.The present invention also relates to a manufacturing method of an electronic device including the above-described pattern forming method of the present invention, and an electronic device manufactured by the manufacturing method.

본 발명의 전자 디바이스의 제조 방법에 의하여 제조되는 전자 디바이스는, 전기 전자 기기(가전, OA(Office Appliance)·미디어 관련 기기, 광학용 기기 및 통신 기기 등)에, 적합하게 탑재되는 것이다.The electronic device manufactured by the method of manufacturing an electronic device of the present invention is suitably mounted on an electric / electronic appliance (appliance, OA (Office Appliance), media related equipment, optical equipment, communication equipment, etc.).

<레지스트 조성물>&Lt; Resist composition &

본 발명은, 상기 일반식 (4) 또는 (4a)로 나타나는 반복 단위를 갖는 수지를 포함하는 레지스트 조성물에도 관한 것이다. 본 발명의 레지스트 조성물에 있어서의 일반식 (4) 또는 (4a)로 나타나는 반복 단위, 및 이 반복 단위를 갖는 수지의 구체예 및 바람직한 범위는 상술한 바와 같다.The present invention also relates to a resist composition comprising a resin having a repeating unit represented by the general formula (4) or (4a). The repeating unit represented by the general formula (4) or (4a) in the resist composition of the present invention, and specific examples and preferred ranges of the resin having the repeating unit are as described above.

레지스트 조성물은, 상술한 감활성광선성 또는 감방사선성 수지 조성물의 바람직한 양태이며, 상술한 감활성광선성 또는 감방사선성 수지 조성물에 있어서 설명한, 각 성분 및 그 함유량과, 그 외의 사항을 레지스트 조성물에 있어서도 참조할 수 있다.The resist composition is a preferred embodiment of the above-mentioned actinic ray-sensitive or radiation-sensitive resin composition. The components and contents thereof described in the aforementioned actinic ray-sensitive or radiation-sensitive resin composition, And the like.

실시예Example

이하, 본 발명을 실시예에 의하여 더 구체적으로 설명하지만, 본 발명은 그 주지를 벗어나지 않는 한, 이하의 실시예에 한정되는 것은 아니다. 또한, 특별히 설명이 없는 한, "부", "%"는 질량 기준이다.Hereinafter, the present invention will be described more concretely with reference to Examples, but the present invention is not limited to the following Examples unless it is beyond the scope of the present invention. Unless otherwise stated, "part" and "%" are based on mass.

<수지 A-1의 합성><Synthesis of Resin A-1>

《모노머 (a1)의 합성》&Quot; Synthesis of monomer (a1) &quot;

(중간체 a1-1의 합성)(Synthesis of intermediate a1-1)

4-아미노페놀 30g을 테트라하이드로퓨란 250ml에 용해시키고, 이 용액에 무수 말레산 27g을 과도하게 발열되지 않도록 주의하여 첨가하여, 실온에서 3시간 교반했다. 반응 후, 용매를 증류 제거하여, 얻어진 조(粗)결정을 아세트산 에틸로 세정함으로써, 중간체 (a1-1) 56g을 얻었다.30 g of 4-aminophenol was dissolved in 250 ml of tetrahydrofuran, 27 g of maleic anhydride was carefully added to this solution so that excessive heat was not generated, and the mixture was stirred at room temperature for 3 hours. After the reaction, the solvent was distilled off, and the obtained crude crystals were washed with ethyl acetate to obtain 56 g of intermediate (a1-1).

1H-NMR(nuclear magnetic resonance)(DMSO(Dimethyl sulfoxide)-d6: ppm)δ: 13.67(br), 10.38(s), 9.35(s), 7.43(d), 6.74(d), 6.48(d), 6.30(d) 1 H-NMR (nuclear magnetic resonance) (DMSO (dimethyl sulfoxide) -d 6: ppm)?: 13.67 (br), 10.38 (s), 9.35 (s), 7.43 (d), 6.74 ), 6.30 (d)

(모노머 (a1)의 합성)(Synthesis of monomer (a1)) [

중간체 (a1-1) 56g을 톨루엔 240ml에 현탁하고, 파라톨루엔설폰산 3.7g과 N,N-다이메틸폼아마이드 28ml를 첨가하여, 딘·스타크관을 구비하여, 5시간 가열 환류시켰다. 실온까지 방랭 후, 물 3000ml에 이 반응액을 첨가하여, 분체를 여과했다. 실리카젤 컬럼 크로마토그래피(용리액:아세트산 에틸/n-헥세인=1/1)로 정제 후, 아이소프로판올로 재결정을 행하여, 모노머 (a1) 22g을 얻었다.56 g of the intermediate (a1-1) was suspended in 240 ml of toluene, 3.7 g of para-toluenesulfonic acid and 28 ml of N, N-dimethylformamide were added, and the mixture was heated under reflux for 5 hours. After cooling to room temperature, the reaction solution was added to 3000 ml of water, and the powder was filtered. The residue was purified by silica gel column chromatography (eluent: ethyl acetate / n-hexane = 1/1) and recrystallized from isopropanol to obtain 22 g of monomer (a1).

1H-NMR(DMSO-d6: ppm)δ: 9.68(s), 7.13(s), 7.08(d), 6.83(d) 1 H-NMR (DMSO-d6 : ppm) δ: 9.68 (s), 7.13 (s), 7.08 (d), 6.83 (d)

[화학식 56](56)

Figure pct00056
Figure pct00056

《수지 A-1의 합성》&Quot; Synthesis of Resin A-1 &

5.1g의 모노머 (a1)과, 2.0g의 모노머 (c1)과, t-뷰틸메타크릴레이트 7.7g과, 0.48g의 중합 개시제 V-601(와코 준야쿠 고교(주)제)을, 54.5g의 사이클로헥산온에 용해시켰다. 반응 용기 중에 29.3g의 사이클로헥산온을 넣고, 질소 가스 분위기하, 85℃의 계중에 4시간 동안 적하했다. 반응 용액을 2시간에 걸쳐 가열 교반한 후, 이것을 실온까지 방랭했다. 상기 반응 용액을, 990g의, n-헵테인 및 아세트산 에틸의 혼합 용액(n-헵테인/아세트산 에틸=9/1(질량비)) 중에 적하하고, 폴리머를 침전시켜, 여과했다. 300g의, n-헵테인 및 아세트산 에틸의 혼합 용액(n-헵테인/아세트산 에틸=9/1(질량비))을 이용하여, 여과한 고체의 세정을 행했다. 얻어진 폴리머를 사이클로헥산온 82g에 용해시키고, 960g의, 메탄올 및 물의 혼합 용액(메탄올/물=4/6(질량비)) 중에 적하하여, 폴리머를 침전시켜, 여과했다. 290g의, 메탄올 및 물의 혼합 용액(메탄올/물=4/6(질량비))을 이용하여, 여과한 고체의 세정을 행했다. 그 후, 세정 후의 고체를 감압 건조에 제공하여, 12.3g의 수지 (A-1)을 얻었다. GPC에 의한 중량 평균 분자량은 12900, 분자량 분산도(Mw/Mn)는 1.70이었다., 5.0 g of monomer (a1), 2.0 g of monomer (c1), t-butyl methacrylate of 7.7 g and 0.48 g of polymerization initiator V-601 (manufactured by Wako Pure Chemical Industries, Ltd.) Of cyclohexanone. 29.3 g of cyclohexanone was added to the reaction vessel, and the mixture was dropped in a nitrogen gas atmosphere at 85 캜 for 4 hours. The reaction solution was heated and stirred for 2 hours, and then cooled to room temperature. The reaction solution was added dropwise to 990 g of a mixed solution of n-heptane and ethyl acetate (n-heptane / ethyl acetate = 9/1 (mass ratio)), and the polymer was precipitated and filtered. The filtered solid was washed with 300 g of a mixed solution of n-heptane and ethyl acetate (n-heptane / ethyl acetate = 9/1 (mass ratio)). The obtained polymer was dissolved in 82 g of cyclohexanone and 960 g of the polymer was added dropwise to a mixed solution of methanol and water (methanol / water = 4/6 (by mass ratio)) to precipitate the polymer. 290 g of the filtered solid was washed using a mixed solution of methanol and water (methanol / water = 4/6 (mass ratio)). Thereafter, the washed solid was subjected to reduced-pressure drying to obtain 12.3 g of Resin (A-1). The weight-average molecular weight by GPC was 12900 and the molecular weight dispersion (Mw / Mn) was 1.70.

1H-NMR(DMSO-d6: ppm)δ: 9.80, 7.15-6.71, 4.76-4.26, 2.93-0.79(피크는 모두 폭이 넓음) 1 H-NMR (DMSO-d6 : ppm) δ: 9.80, 7.15-6.71, 4.76-4.26, 2.93-0.79 ( both the peak width is broad)

[화학식 57](57)

Figure pct00057
Figure pct00057

이용하는 모노머를 변경한 것 이외에는, 상기 수지 A-1의 합성예와 동일한 방법으로, 하기 구조를 갖는 수지를 합성했다. 수지의 조성비(몰비)는, 1H-NMR 또는 13C-NMR 측정에 의하여 산출했다. 수지의 중량 평균 분자량(Mw: 폴리스타이렌 환산), 분산도(Mw/Mn)는 GPC(용매: THF) 측정에 의하여 산출했다. 또한, 하기 표 1에는, 각 수지에 대하여, 반복 단위의 조성비(몰비), 중량 평균 분자량, 분산도, 반복 단위의 ClogP값을 나타냈다. 또, 하기 표 1에 기재된 ClogP값은 각 수지에 포함되는 반복 단위 중 가장 왼쪽에 기재된 반복 단위의 ClogP값이다.A resin having the following structure was synthesized in the same manner as in Synthesis Example of Resin A-1 except that the monomer used was changed. The composition ratio (molar ratio) of the resin was calculated by 1 H-NMR or 13 C-NMR measurement. The weight average molecular weight (Mw: in terms of polystyrene) and the degree of dispersion (Mw / Mn) of the resin were calculated by GPC (solvent: THF) measurement. In Table 1, the composition ratio (molar ratio), the weight average molecular weight, the degree of dispersion, and the ClogP value of the repeating units are shown for each resin. The ClogP values shown in Table 1 below are the ClogP values of the recurring units listed at the leftmost of the repeating units contained in each resin.

[화학식 58](58)

Figure pct00058
Figure pct00058

[화학식 59][Chemical Formula 59]

Figure pct00059
Figure pct00059

[화학식 60](60)

Figure pct00060
Figure pct00060

[화학식 61](61)

Figure pct00061
Figure pct00061

[표 1][Table 1]

Figure pct00062
Figure pct00062

<산발생제 (B)>&Lt; Acid generating agent (B) >

산발생제로서는, 이하의 것을 이용했다.The following acid generators were used.

[화학식 62](62)

Figure pct00063
Figure pct00063

<염기성 화합물>&Lt; Basic compound >

염기성 화합물로서는, 이하의 것을 이용했다.As the basic compound, the following compounds were used.

[화학식 63](63)

Figure pct00064
Figure pct00064

[화학식 64]&Lt; EMI ID =

Figure pct00065
Figure pct00065

<용제 (C)>&Lt; Solvent (C) >

용제로서는, 이하의 것을 이용했다.As the solvent, the following were used.

C1: 프로필렌글라이콜모노메틸에터아세테이트C1: Propylene glycol monomethyl ether acetate

C2: 프로필렌글라이콜모노메틸에터C2: propylene glycol monomethyl ether

C3: 락트산 에틸C3: Ethyl lactate

C4: 사이클로헥산온C4: cyclohexanone

<현상액>&Lt; Developer >

현상액으로서는, 이하의 것을 이용했다.As the developer, the following were used.

SG-1: 아니솔SG-1: Anisole

SG-2: 메틸아밀케톤SG-2: methyl amyl ketone

SG-3: 아세트산 아이소아밀SG-3: isoamyl acetate

<린스액><Rinse liquid>

린스액으로서는, 이하의 것을 이용했다.As the rinsing liquid, the following were used.

R-1: 운데케인R-1: undecane

R-2: 아이소데케인R-2: Isodecane

R-3: 데케인R-3: decane

R-4: 4-메틸-2-펜탄올R-4: 4-methyl-2-pentanol

<가교제><Cross-linking agent>

가교제로서는, 이하의 것을 이용했다.As the crosslinking agent, the following were used.

[화학식 65](65)

Figure pct00066
Figure pct00066

<레지스트 조성물>&Lt; Resist composition &

하기 표 2~4에 나타내는 각 성분을, 표 2~4에 나타내는 용제에 용해시켰다. 이것을 0.03μm의 포어 사이즈를 갖는 폴리에틸렌 필터를 이용하여 여과하여, 레지스트 조성물을 얻었다.The components shown in Tables 2 to 4 below were dissolved in the solvents shown in Tables 2 to 4. This was filtered using a polyethylene filter having a pore size of 0.03 mu m to obtain a resist composition.

[표 2][Table 2]

Figure pct00067
Figure pct00067

[표 3][Table 3]

Figure pct00068
Figure pct00068

[표 4][Table 4]

Figure pct00069
Figure pct00069

<EUV 노광 평가 라인 앤드 스페이스 패턴><EUV exposure evaluation line and space pattern>

레지스트 조성물을 이용하여, 이하의 조작에 의하여 레지스트 패턴을 형성했다.Using a resist composition, a resist pattern was formed by the following procedure.

〔레지스트 조성물의 도포 및 도포 후 베이크(PB)〕[Application of resist composition and baking after application (PB)]

HMDS(헥사메틸다이실라제인) 처리를 행한 4인치 실리콘 웨이퍼 상에, 레지스트 조성물을 도포하고, 120℃에서 60초간 베이크하여, 막두께 40nm의 레지스트막을 형성했다.A resist composition was applied on a 4-inch silicon wafer subjected to HMDS (hexamethyldisilazane) treatment and baked at 120 캜 for 60 seconds to form a resist film having a thickness of 40 nm.

1인치는 25.4mm이다.One inch is 25.4 mm.

〔노광〕[Exposure]

레지스트막을 형성한 웨이퍼에, NA(렌즈 개구수, Numerical Aperture) 0.3, 다이폴 조명으로 EUV 노광을 행했다. 구체적으로는, 선폭 50nm의 1:1 라인 앤드 스페이스 패턴을 형성하기 위한 패턴이 포함된 마스크를 통하여, 노광량을 변경하여 EUV 노광을 행했다.The wafer having the resist film formed thereon was subjected to EUV exposure with NA (lens numerical aperture) 0.3, dipole illumination. Specifically, EUV exposure was performed by changing the exposure dose through a mask containing a pattern for forming a 1: 1 line and space pattern having a line width of 50 nm.

〔노광 후 베이크(PEB)〕[Post-exposure baking (PEB)]

노광 후, 웨이퍼를 EUV 노광 장치로부터 취출하여, 즉시, 표 5에 나타내는 온도의 조건에서 60초간 베이크했다.After the exposure, the wafer was taken out of the EUV exposure apparatus and immediately baked for 60 seconds under the conditions shown in Table 5.

〔현상〕〔phenomenon〕

그 후, 샤워형 현상 장치(ACTES(주)제 ADE3000S)를 이용하여, 50회전(rpm)으로 웨이퍼를 회전시키면서 표 5에 기재된 현상액(23℃)을, 200mL/분의 유량으로, 소정 시간 스프레이 토출하여 현상을 행했다.Thereafter, the developing solution (23 DEG C) shown in Table 5 was sprayed at a flow rate of 200 mL / min for a predetermined time while rotating the wafer at 50 rpm using a shower type developing device (ADE3000S manufactured by ACTES Co., Ltd.) And development was carried out.

〔린스〕〔Rinse〕

그 후, 50회전(rpm)으로 웨이퍼를 회전시키면서 표 5에 기재된 린스액(23℃)을, 200mL/분의 유량으로, 소정 시간 스프레이 토출하여 린스 처리를 행했다.Thereafter, the rinsing solution (23 DEG C) described in Table 5 was sprayed and discharged at a flow rate of 200 mL / minute for a predetermined time while rotating the wafer at 50 rpm, and rinsing treatment was performed.

마지막으로, 2500회전(rpm)으로 120초간 고속 회전시켜 웨이퍼를 건조시켰다.Finally, the wafer was dried at a high rotation speed of 2500 rpm for 120 seconds.

레지스트 패턴의 평가Evaluation of resist pattern

얻어진 레지스트 패턴에 대하여 하기 방법으로, 감도, 해상력을 평가했다. 레지스트 패턴은, 주사형 전자 현미경((주)히타치 세이사쿠쇼제 S-9380II)을 이용하여 관찰했다. 결과를 하기 표 5에 나타낸다.The obtained resist pattern was evaluated for sensitivity and resolving power by the following method. The resist pattern was observed using a scanning electron microscope (S-9380II, manufactured by Hitachi, Ltd.). The results are shown in Table 5 below.

(감도)(Sensitivity)

선폭 50nm의 1:1 라인 앤드 스페이스 패턴을 해상할 때의 최적 노광량을 감도(Eop1)로 했다. 이 값이 작을수록 성능이 양호한 것을 나타낸다.The optimum exposure amount at the time of resolving the 1: 1 line-and-space pattern having a line width of 50 nm was determined as the sensitivity (Eop1). The smaller this value is, the better the performance is.

(해상력)(definition)

상기 Eop1에 있어서, 마스크를 변경함으로써 하프 피치 사이즈가 다른 라인 앤드 스페이스 패턴을 제작했다. 그때, 분리되어 있는 1:1의 라인 앤드 스페이스 패턴이 얻어지는 최소의 하프 피치 사이즈를 해상력으로 했다. 이 값이 작을수록 성능이 양호한 것을 나타낸다.In Eop1, a line and space pattern having a different half pitch size was produced by changing the mask. At this time, the minimum half-pitch size at which a 1: 1 line-and-space pattern that is separated is obtained is defined as a resolution. The smaller this value is, the better the performance is.

[표 5][Table 5]

Figure pct00070
Figure pct00070

<EUV 노광 평가 도트 패턴><EUV Exposure Evaluation Dot Pattern>

레지스트 조성물을 이용하여, 이하의 조작에 의하여 레지스트 패턴을 형성했다.Using a resist composition, a resist pattern was formed by the following procedure.

〔레지스트 조성물의 도포 및 도포 후 베이크(PB)〕[Application of resist composition and baking after application (PB)]

HMDS(헥사메틸다이실라제인) 처리를 행한 4인치 실리콘 웨이퍼 상에, 레지스트 조성물을 도포하고, 120℃에서 60초간 베이크하여, 막두께 40nm의 레지스트막을 형성했다.A resist composition was applied on a 4-inch silicon wafer subjected to HMDS (hexamethyldisilazane) treatment and baked at 120 캜 for 60 seconds to form a resist film having a thickness of 40 nm.

1인치는 25.4mm이다.One inch is 25.4 mm.

〔노광〕[Exposure]

레지스트막을 형성한 웨이퍼에, NA(렌즈 개구수, Numerical Aperture) 0.3, 다이폴 조명으로 EUV 노광을 행했다. 구체적으로는, 직경 30nm의 도트를 피치 100nm로 형성하기 위한 패턴이 포함된 마스크를 통하여, 노광량을 변경하여 EUV 노광을 행했다.The wafer having the resist film formed thereon was subjected to EUV exposure with NA (lens numerical aperture) 0.3, dipole illumination. Specifically, EUV exposure was performed by changing the exposure dose through a mask containing a pattern for forming dots having a diameter of 30 nm at a pitch of 100 nm.

〔노광 후 베이크(PEB)〕[Post-exposure baking (PEB)]

노광 후, 웨이퍼를 EUV 노광 장치로부터 취출하여, 즉시, 표 6에 나타내는 온도의 조건에서 60초간 베이크했다.After the exposure, the wafer was taken out from the EUV exposure apparatus and immediately baked for 60 seconds under the temperature conditions shown in Table 6. [

〔현상〕〔phenomenon〕

그 후, 샤워형 현상 장치(ACTES(주)제 ADE3000S)를 이용하여, 50회전(rpm)으로 웨이퍼를 회전시키면서 표 6에 기재된 현상액(23℃)을, 200mL/분의 유량으로, 소정 시간 스프레이 토출하여 현상을 행했다.Thereafter, the developing solution (23 DEG C) shown in Table 6 was sprayed at a flow rate of 200 mL / minute for a predetermined time while rotating the wafer at 50 rpm using a shower type developing device (ADE3000S manufactured by ACTES Co., Ltd.) And development was carried out.

〔린스〕〔Rinse〕

그 후, 50회전(rpm)으로 웨이퍼를 회전시키면서 표 6에 기재된 린스액(23℃)을, 200mL/분의 유량으로, 소정 시간 스프레이 토출하여 린스 처리를 행했다.Thereafter, the rinse solution (23 DEG C) described in Table 6 was sprayed and discharged at a flow rate of 200 mL / minute for a predetermined time while rotating the wafer at 50 rpm to perform a rinse treatment.

마지막으로, 2500회전(rpm)으로 120초간 고속 회전시켜 웨이퍼를 건조시켰다.Finally, the wafer was dried at a high rotation speed of 2500 rpm for 120 seconds.

레지스트 패턴의 평가Evaluation of resist pattern

얻어진 레지스트 패턴에 대하여 하기 방법으로, 해상력을 평가했다. 레지스트 패턴은, 주사형 전자 현미경((주)히타치 세이사쿠쇼제 S-9380II)을 이용하여 관찰했다. 결과를 하기 표 6에 나타낸다.The resolution of the obtained resist pattern was evaluated by the following method. The resist pattern was observed using a scanning electron microscope (S-9380II, manufactured by Hitachi, Ltd.). The results are shown in Table 6 below.

(감도)(Sensitivity)

직경 30nm의 도트를 해상할 때의 최적 노광량을 감도(Eop2)로 했다.The optimum exposure amount when resolving a dot having a diameter of 30 nm was determined as the sensitivity (Eop2).

(해상력)(definition)

상기 Eop2에 있어서, 마스크를 변경함으로써, 피치(도트와 도트의 간격)를 100nm로 유지한 상태에서, 도트 직경을 30nm부터 작게 하여, 분리되어 있는 도트 패턴의 한계 최소 직경을 해상력으로 했다. 이 값이 작을수록 성능이 양호한 것을 나타낸다.In Eop2, by changing the mask, the dot diameter was reduced from 30 nm in a state where the pitch (interval between dots and dots) was maintained at 100 nm, and the marginal minimum diameter of the separated dot patterns was taken as the resolution. The smaller this value is, the better the performance is.

[표 6][Table 6]

Figure pct00071
Figure pct00071

<EB 노광 평가 라인 앤드 스페이스 패턴><EB exposure evaluation line and space pattern>

레지스트 조성물을 이용하여, 이하의 조작에 의하여 레지스트 패턴을 형성했다.Using a resist composition, a resist pattern was formed by the following procedure.

〔레지스트 조성물의 도포 및 도포 후 베이크(PB)〕[Application of resist composition and baking after application (PB)]

6인치 실리콘 웨이퍼 상에 유기막 DUV44(Brewer Science사제)를 도포하고, 200℃에서 60초간 베이크하여, 막두께 60nm의 유기막을 형성했다. 그 위에 레지스트 조성물을 도포하고, 120℃에서 60초간 베이크하여, 막두께 40nm의 레지스트막을 형성했다.An organic film DUV44 (manufactured by Brewer Science) was applied on a 6-inch silicon wafer and baked at 200 DEG C for 60 seconds to form an organic film having a film thickness of 60 nm. A resist composition was applied thereon and baked at 120 DEG C for 60 seconds to form a resist film having a thickness of 40 nm.

〔노광〕[Exposure]

레지스트막을 형성한 웨이퍼에, 전자선 조사 장치((주)JEOL제 JBX6000FS/E; 가속 전압 50keV)를 이용하여, EB 노광을 행했다. 구체적으로는, 선폭 50nm의 1:1 라인 앤드 스페이스 패턴(길이 방향 0.12mm, 묘화 개수 20개)을, 노광량을 변경하여 노광했다.The wafer on which the resist film was formed was subjected to EB exposure using an electron beam irradiation apparatus (JEOL JBX6000FS / E; acceleration voltage: 50 keV). Specifically, a 1: 1 line and space pattern having a line width of 50 nm (0.12 mm in the longitudinal direction and 20 drawing lines) was exposed by changing the exposure amount.

〔노광 후 베이크(PEB)〕[Post-exposure baking (PEB)]

노광 후, 웨이퍼를 전자선 조사 장치로부터 취출하여, 즉시, 표 7에 나타내는 온도에서 60초의 조건으로 핫플레이트 상에서 가열했다.After exposure, the wafer was taken out of the electron beam irradiating apparatus and immediately heated on a hot plate under the conditions shown in Table 7 for 60 seconds.

〔현상〕〔phenomenon〕

샤워형 현상 장치(ACTES(주)제 ADE3000S)를 이용하여, 50회전(rpm)으로 웨이퍼를 회전시키면서 표 7에 기재된 현상액(23℃)을, 200mL/분의 유량으로, 소정 시간 스프레이 토출하여 현상을 행했다.(23 ° C) shown in Table 7 was sprayed and discharged at a flow rate of 200 mL / minute for a predetermined time while rotating the wafer at 50 rpm using a shower type developing device (ADE3000S manufactured by ACTES Co., Ltd.) .

〔린스〕〔Rinse〕

그 후, 50회전(rpm)으로 웨이퍼를 회전시키면서 표 7에 기재된 린스액(23℃)을, 200mL/분의 유량으로, 소정 시간 스프레이 토출하여 린스 처리를 행했다.Thereafter, the rinsing solution (23 DEG C) described in Table 7 was sprayed and discharged at a flow rate of 200 mL / minute for a predetermined time while rotating the wafer at 50 rpm, and rinsing treatment was performed.

마지막으로, 2500회전(rpm)으로 120초간 고속 회전시켜 웨이퍼를 건조시켰다.Finally, the wafer was dried at a high rotation speed of 2500 rpm for 120 seconds.

상술한 "EUV 노광 평가 라인 앤드 스페이스 패턴"과 동일한 방법으로 레지스트 패턴의 평가를 행했다. 결과는 표 7에 나타낸다. 또한, 해상력은, 묘화에 의하여 하프 피치 사이즈가 다른 라인 앤드 스페이스 패턴을 제작하여 평가했다.The evaluation of the resist pattern was carried out in the same manner as the above-mentioned "EUV exposure evaluation line and space pattern ". The results are shown in Table 7. The resolution was evaluated by producing line and space patterns having different half pitch sizes by drawing.

[표 7][Table 7]

Figure pct00072
Figure pct00072

<EB 노광 평가 도트 패턴>&Lt; EB exposure evaluation dot pattern >

레지스트 조성물을 이용하여, 이하의 조작에 의하여 레지스트 패턴을 형성했다.Using a resist composition, a resist pattern was formed by the following procedure.

〔레지스트 조성물의 도포 및 도포 후 베이크(PB)〕[Application of resist composition and baking after application (PB)]

6인치 실리콘 웨이퍼 상에 유기막 DUV44(Brewer Science사제)를 도포하고, 200℃에서 60초간 베이크하여, 막두께 60nm의 유기막을 형성했다. 그 위에 레지스트 조성물을 도포하고, 120℃에서 60초간 베이크하여, 막두께 40nm의 레지스트막을 형성했다.An organic film DUV44 (manufactured by Brewer Science) was applied on a 6-inch silicon wafer and baked at 200 DEG C for 60 seconds to form an organic film having a film thickness of 60 nm. A resist composition was applied thereon and baked at 120 DEG C for 60 seconds to form a resist film having a thickness of 40 nm.

〔노광〕[Exposure]

레지스트막을 형성한 웨이퍼에, 전자선 조사 장치((주)JEOL제 JBX6000FS/E; 가속 전압 50keV)를 이용하여, EB 노광을 행했다. 구체적으로는, 직경 30nm의 도트를 피치 100nm로 형성하도록, 노광량을 변경하여 EB 노광을 행했다.The wafer on which the resist film was formed was subjected to EB exposure using an electron beam irradiation apparatus (JEOL JBX6000FS / E; acceleration voltage: 50 keV). Specifically, EB exposure was performed by changing the exposure amount so that a dot having a diameter of 30 nm was formed at a pitch of 100 nm.

〔노광 후 베이크(PEB)〕[Post-exposure baking (PEB)]

노광 후, 웨이퍼를 전자선 조사 장치로부터 취출하여, 즉시, 표 8에 나타내는 온도에서 60초의 조건으로 핫플레이트 상에서 가열했다.After exposure, the wafer was taken out of the electron beam irradiating apparatus and immediately heated on a hot plate under the conditions shown in Table 8 for 60 seconds.

〔현상〕〔phenomenon〕

샤워형 현상 장치(ACTES(주)제 ADE3000S)를 이용하여, 50회전(rpm)으로 웨이퍼를 회전시키면서 표 8에 기재된 현상액(23℃)을, 200mL/분의 유량으로, 소정 시간 스프레이 토출하여 현상을 행했다.(23 ° C) shown in Table 8 was sprayed and discharged at a flow rate of 200 mL / minute for a predetermined time while rotating the wafer at 50 rpm using a shower type developing apparatus (ADE3000S manufactured by ACTES Co., Ltd.) .

〔린스〕〔Rinse〕

그 후, 50회전(rpm)으로 웨이퍼를 회전시키면서 표 8에 기재된 린스액(23℃)을, 200mL/분의 유량으로, 소정 시간 스프레이 토출하여 린스 처리를 행했다.Thereafter, the rinsing solution (23 DEG C) shown in Table 8 was sprayed and discharged at a flow rate of 200 mL / minute for a predetermined time while rotating the wafer at 50 rpm, and rinsing treatment was performed.

마지막으로, 2500회전(rpm)으로 120초간 고속 회전시켜 웨이퍼를 건조시켰다.Finally, the wafer was dried at a high rotation speed of 2500 rpm for 120 seconds.

상술한 "EUV 노광 평가 도트 패턴"과 동일한 방법으로 레지스트 패턴의 평가를 행했다. 결과는 표 8에 나타낸다. 또한, 해상력은, 도트 직경을 30nm부터 작게 하도록 묘화하여 평가했다.The evaluation of the resist pattern was carried out in the same manner as the above-mentioned "EUV exposure evaluation dot pattern ". The results are shown in Table 8. The resolving power was evaluated by drawing the dot diameter so as to be smaller than 30 nm.

[표 8][Table 8]

Figure pct00073
Figure pct00073

상기 표 5~8에 나타내는 바와 같이, 본 발명의 패턴 형성 방법에 의하여 형성된 패턴은, 감도 및 해상력이 우수한 것이 되었다.As shown in Tables 5 to 8, the pattern formed by the pattern forming method of the present invention was excellent in sensitivity and resolution.

Claims (18)

(A) 하기 일반식 (1)로 나타나며, ClogP값이 2.2 이하인 반복 단위를 함유하고, 산의 작용에 의하여 유기 용제를 포함하는 현상액에 대한 용해도가 감소하는 수지와, (B) 활성광선 또는 방사선의 조사에 의하여 산을 발생하는 화합물과, (C) 용제를 함유하는 감활성광선성 또는 감방사선성 수지 조성물을 이용하여 막을 형성하는 공정 (1),
상기 막을 활성광선 또는 방사선을 이용하여 노광하는 공정 (2), 및
상기 공정 (2)에 있어서 노광된 막을 유기 용제를 포함하는 현상액을 이용하여 현상하여, 네거티브형의 패턴을 형성하는 공정 (3)을 갖는, 패턴 형성 방법.
[화학식 1]
Figure pct00074

일반식 (1) 중, R1은 수소 원자, 알킬기, 또는 할로젠 원자를 나타내고, R2 및 R3은 각각 독립적으로, 수소 원자, 알킬기 또는 카복실기를 나타내며, L은 단결합 또는 2가의 연결기를 나타낸다. R2 또는 R3과 L은, 서로 연결되어 환을 형성해도 되고, 그 경우는 R2 및 R3 중 어느 한쪽이 2가의 연결기를 나타내며, L은 3가의 연결기를 나타낸다. Ar은 방향족기를 나타낸다. R4는 치환기를 나타내고, n은 0 이상의 정수를 나타낸다. R4가 복수 존재하는 경우, 복수의 R4는 동일해도 되고 달라도 된다.
(A) a resin represented by the following general formula (1) and containing a repeating unit having a ClogP value of 2.2 or less and having a reduced solubility in a developer containing an organic solvent by the action of an acid; (B) (1) a step of forming a film by using an actinic ray-sensitive or radiation-sensitive resin composition containing a compound capable of generating an acid upon irradiation with (C) a solvent,
A step (2) of exposing the film using an actinic ray or radiation, and
And a step (3) of developing the exposed film in the step (2) using a developing solution containing an organic solvent to form a negative type pattern.
[Chemical Formula 1]
Figure pct00074

In formula (1), R 1 represents a hydrogen atom, an alkyl group or a halogen atom, R 2 and R 3 each independently represent a hydrogen atom, an alkyl group or a carboxyl group, L represents a single bond or a divalent linking group . R 2 or R 3 and L may be connected to each other to form a ring. In this case, either R 2 or R 3 represents a divalent linking group, and L represents a trivalent linking group. Ar represents an aromatic group. R 4 represents a substituent, and n represents an integer of 0 or more. When R 4 is present a plurality, the plurality of R 4 may be the same and different.
청구항 1에 있어서,
상기 수지 (A)가 산분해성기를 갖는 반복 단위를 포함하는, 패턴 형성 방법.
The method according to claim 1,
Wherein the resin (A) comprises a repeating unit having an acid-decomposable group.
청구항 1 또는 청구항 2에 있어서,
상기 일반식 (1) 중의 R4 중 적어도 하나가 하이드록실기인, 패턴 형성 방법.
The method according to claim 1 or 2,
Wherein at least one of R 4 in the general formula (1) is a hydroxyl group.
청구항 1 내지 청구항 3 중 어느 한 항에 있어서,
상기 일반식 (1)이 하기 일반식 (2)로 나타나는, 패턴 형성 방법.
[화학식 2]
Figure pct00075

일반식 (2) 중, R1은 수소 원자, 알킬기, 또는 할로젠 원자를 나타내고, R2 및 R3은 각각 독립적으로, 수소 원자, 알킬기 또는 카복실기를 나타내며, L은 단결합 또는 2가의 연결기를 나타낸다. R2 또는 R3과 L은, 서로 연결되어 환을 형성해도 되고, 그 경우는 R2 및 R3 중 어느 한쪽이 2가의 연결기를 나타내며, L은 3가의 연결기를 나타낸다. R4는 치환기를 나타내고, n2는 0~4의 정수를 나타낸다. R4가 복수 존재하는 경우, 복수의 R4는 동일해도 되고 달라도 된다.
The method according to any one of claims 1 to 3,
Wherein the general formula (1) is represented by the following general formula (2).
(2)
Figure pct00075

In formula (2), R 1 represents a hydrogen atom, an alkyl group or a halogen atom, R 2 and R 3 each independently represent a hydrogen atom, an alkyl group or a carboxyl group, L represents a single bond or a divalent linking group . R 2 or R 3 and L may be connected to each other to form a ring. In this case, either R 2 or R 3 represents a divalent linking group, and L represents a trivalent linking group. R 4 represents a substituent, and n 2 represents an integer of 0 to 4. When R 4 is present a plurality, the plurality of R 4 may be the same and different.
청구항 1 내지 청구항 4 중 어느 한 항에 있어서,
상기 일반식 (1)이 하기 일반식 (3)으로 나타나는, 패턴 형성 방법.
[화학식 3]
Figure pct00076

일반식 (3) 중, R1은 수소 원자, 알킬기, 또는 할로젠 원자를 나타내고, L은 단결합 또는 2가의 연결기를 나타낸다. R4는 치환기를 나타낸다. n2는 0~4의 정수를 나타낸다. R4가 복수 존재하는 경우, 복수의 R4는 동일해도 되고 달라도 된다.
The method according to any one of claims 1 to 4,
Wherein the general formula (1) is represented by the following general formula (3).
(3)
Figure pct00076

In the general formula (3), R 1 represents a hydrogen atom, an alkyl group, or a halogen atom, and L represents a single bond or a divalent linking group. R 4 represents a substituent. n 2 represents an integer of 0 to 4; When R 4 is present a plurality, the plurality of R 4 may be the same and different.
청구항 1 내지 청구항 4 중 어느 한 항에 있어서,
상기 일반식 (1)이 하기 일반식 (4)로 나타나는, 패턴 형성 방법.
[화학식 4]
Figure pct00077

일반식 (4) 중, A는 단결합 또는 2가의 연결기를 나타낸다. R4는 치환기를 나타낸다. n3은 0~4의 정수를 나타낸다. R4가 복수 존재하는 경우, 복수의 R4는 동일해도 되고 달라도 된다.
The method according to any one of claims 1 to 4,
Wherein the general formula (1) is represented by the following general formula (4).
[Chemical Formula 4]
Figure pct00077

In the general formula (4), A represents a single bond or a divalent linking group. R 4 represents a substituent. n 3 represents an integer of 0 to 4; When R 4 is present a plurality, the plurality of R 4 may be the same and different.
청구항 6에 있어서,
상기 일반식 (4)가 하기 일반식 (4a)로 나타나는, 패턴 형성 방법.
[화학식 5]
Figure pct00078

일반식 (4a) 중, R4는 치환기를 나타낸다. p는 0~4의 정수를 나타내고, n3은 0~4의 정수를 나타낸다. R4가 복수 존재하는 경우, 복수의 R4는 동일해도 되고 달라도 된다.
The method of claim 6,
Wherein the general formula (4) is represented by the following general formula (4a).
[Chemical Formula 5]
Figure pct00078

In the general formula (4a), R 4 represents a substituent. p represents an integer of 0 to 4, and n 3 represents an integer of 0 to 4. When R 4 is present a plurality, the plurality of R 4 may be the same and different.
청구항 1 내지 청구항 7 중 어느 한 항에 있어서,
상기 R4가, 하이드록실기, 하이드록시알킬기, 카복실기, 설폰산기, 알킬기, 알콕시기, 아실기, 하기 일반식 (N1)로 나타나는 기, 하기 일반식 (N2)로 나타나는 기, 하기 일반식 (S1)로 나타나는 기, 또는 하기 일반식 (S2)로 나타나는 기를 나타내는, 패턴 형성 방법.
[화학식 6]
Figure pct00079

일반식 (N1) 중, RN1 및 RN2는 각각 독립적으로, 수소 원자 또는 치환기를 나타낸다. *는 벤젠환에 결합하는 결합손을 나타낸다.
일반식 (N2) 중, RN3은 치환기를 나타내고, RN4는 수소 원자 또는 치환기를 나타낸다. *는 벤젠환에 결합하는 결합손을 나타낸다.
[화학식 7]
Figure pct00080

일반식 (S1) 중, RS1은 치환기를 나타낸다. *는 벤젠환에 결합하는 결합손을 나타낸다.
일반식 (S2) 중, RS4는 치환기를 나타내고, RS5는 수소 원자 또는 치환기를 나타낸다. *는 벤젠환에 결합하는 결합손을 나타낸다.
The method according to any one of claims 1 to 7,
Wherein R 4 is a group represented by the following formula (N1), a group represented by the following formula (N2), a group represented by the following formula A group represented by the following formula (S1), or a group represented by the following formula (S2).
[Chemical Formula 6]
Figure pct00079

In the general formula (N1), R N1 and R N2 each independently represent a hydrogen atom or a substituent. * Represents the bonding hands bonding to the benzene ring.
In the general formula (N2), R N3 represents a substituent and R N4 represents a hydrogen atom or a substituent. * Represents the bonding hands bonding to the benzene ring.
(7)
Figure pct00080

In the general formula (S1), R S1 represents a substituent. * Represents the bonding hands bonding to the benzene ring.
In the general formula (S2), R S4 represents a substituent, and R S5 represents a hydrogen atom or a substituent. * Represents the bonding hands bonding to the benzene ring.
청구항 1 내지 청구항 8 중 어느 한 항에 있어서,
상기 R4가, 하이드록실기, 하이드록시메틸기, 카복실기, 하기 일반식 (S1)로 나타나는 기, 또는 하기 일반식 (S2)로 나타나는 기인, 패턴 형성 방법.
Figure pct00081

일반식 (S1) 중, RS1은 치환기를 나타낸다. *는 벤젠환에 결합하는 결합손을 나타낸다.
일반식 (S2) 중, RS4는 치환기를 나타내고, RS5는 수소 원자 또는 치환기를 나타낸다. *는 벤젠환에 결합하는 결합손을 나타낸다.
The method according to any one of claims 1 to 8,
Wherein R 4 is a group represented by a hydroxyl group, a hydroxymethyl group, a carboxyl group, a group represented by the following formula (S1), or a group represented by the following formula (S2).
Figure pct00081

In the general formula (S1), R S1 represents a substituent. * Represents the bonding hands bonding to the benzene ring.
In the general formula (S2), R S4 represents a substituent, and R S5 represents a hydrogen atom or a substituent. * Represents the bonding hands bonding to the benzene ring.
청구항 4 또는 청구항 5에 있어서,
상기 n2가 1 또는 2인, 패턴 형성 방법.
The method according to claim 4 or 5,
And n &lt; 2 &gt; is 1 or 2.
청구항 6 또는 청구항 7에 있어서,
상기 n3이 0~2의 정수인, 패턴 형성 방법.
The method according to claim 6 or 7,
And n 3 is an integer of 0 to 2.
청구항 1 내지 청구항 11 중 어느 한 항에 있어서,
상기 화합물 (B)가 설포늄염인, 패턴 형성 방법.
The method according to any one of claims 1 to 11,
Wherein the compound (B) is a sulfonium salt.
청구항 12에 있어서,
상기 화합물 (B)는, 발생하는 산의 체적이 130Å3 이상 2000Å3 이하인, 패턴 형성 방법.
The method of claim 12,
The compound (B) is the volume of the acid generated 130Å 2000Å 3 or less than 3, the pattern forming method.
청구항 1 내지 청구항 13 중 어느 한 항에 있어서,
상기 수지 (A)가 락톤기를 갖는 반복 단위를 더 포함하는, 패턴 형성 방법.
The method according to any one of claims 1 to 13,
Wherein the resin (A) further comprises a repeating unit having a lactone group.
청구항 1 내지 청구항 14 중 어느 한 항에 기재된 패턴 형성 방법을 포함하는 전자 디바이스의 제조 방법.A method of manufacturing an electronic device, comprising the pattern forming method according to any one of claims 1 to 14. 하기 일반식 (4)로 나타나는 반복 단위를 갖는 수지를 포함하는 레지스트 조성물.
[화학식 8]
Figure pct00082

일반식 (4) 중, A는 단결합 또는 2가의 연결기를 나타낸다. R4는 치환기를 나타낸다. n3은 0~4의 정수를 나타낸다. R4가 복수 존재하는 경우, 복수의 R4는 동일해도 되고 달라도 된다.
A resist composition comprising a resin having a repeating unit represented by the following general formula (4).
[Chemical Formula 8]
Figure pct00082

In the general formula (4), A represents a single bond or a divalent linking group. R 4 represents a substituent. n 3 represents an integer of 0 to 4; When R 4 is present a plurality, the plurality of R 4 may be the same and different.
청구항 16에 있어서,
상기 일반식 (4)가 하기 일반식 (4a)로 나타나는, 레지스트 조성물.
[화학식 9]
Figure pct00083

일반식 (4a) 중, R4는 치환기를 나타낸다. p는 0~4의 정수를 나타내고, n3은 0~4의 정수를 나타낸다. R4가 복수 존재하는 경우, 복수의 R4는 동일해도 되고 달라도 된다.
18. The method of claim 16,
Wherein the general formula (4) is represented by the following general formula (4a).
[Chemical Formula 9]
Figure pct00083

In the general formula (4a), R 4 represents a substituent. p represents an integer of 0 to 4, and n 3 represents an integer of 0 to 4. When R 4 is present a plurality, the plurality of R 4 may be the same and different.
청구항 16 또는 청구항 17에 있어서,
상기 R4가, 하이드록실기, 하이드록시알킬기, 카복실기, 설폰산기, 알킬기, 알콕시기, 아실기, 하기 일반식 (N1)로 나타나는 기, 하기 일반식 (N2)로 나타나는 기, 하기 일반식 (S1)로 나타나는 기, 또는 하기 일반식 (S2)로 나타나는 기를 나타내는, 레지스트 조성물.
[화학식 10]
Figure pct00084

일반식 (N1) 중, RN1 및 RN2는 각각 독립적으로, 수소 원자 또는 치환기를 나타낸다. *는 벤젠환에 결합하는 결합손을 나타낸다.
일반식 (N2) 중, RN3은 치환기를 나타내고, RN4는 수소 원자 또는 치환기를 나타낸다. *는 벤젠환에 결합하는 결합손을 나타낸다.
[화학식 11]
Figure pct00085

일반식 (S1) 중, RS1은 치환기를 나타낸다. *는 벤젠환에 결합하는 결합손을 나타낸다.
일반식 (S2) 중, RS4는 치환기를 나타내고, RS5는 수소 원자 또는 치환기를 나타낸다. *는 벤젠환에 결합하는 결합손을 나타낸다.
The method according to claim 16 or 17,
Wherein R 4 is a group represented by the following formula (N1), a group represented by the following formula (N2), a group represented by the following formula A group represented by the following formula (S1), or a group represented by the following formula (S2).
[Chemical formula 10]
Figure pct00084

In the general formula (N1), R N1 and R N2 each independently represent a hydrogen atom or a substituent. * Represents the bonding hands bonding to the benzene ring.
In the general formula (N2), R N3 represents a substituent and R N4 represents a hydrogen atom or a substituent. * Represents the bonding hands bonding to the benzene ring.
(11)
Figure pct00085

In the general formula (S1), R S1 represents a substituent. * Represents the bonding hands bonding to the benzene ring.
In the general formula (S2), R S4 represents a substituent, and R S5 represents a hydrogen atom or a substituent. * Represents the bonding hands bonding to the benzene ring.
KR1020177036689A 2015-08-19 2016-06-30 Pattern formation method, manufacturing method of electronic device, and resist composition KR102104807B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2015162031 2015-08-19
JPJP-P-2015-162031 2015-08-19
PCT/JP2016/069537 WO2017029891A1 (en) 2015-08-19 2016-06-30 Pattern forming method, method for manufacturing electronic device, and resist composition

Publications (2)

Publication Number Publication Date
KR20180011193A true KR20180011193A (en) 2018-01-31
KR102104807B1 KR102104807B1 (en) 2020-04-27

Family

ID=58051618

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177036689A KR102104807B1 (en) 2015-08-19 2016-06-30 Pattern formation method, manufacturing method of electronic device, and resist composition

Country Status (4)

Country Link
JP (1) JP6419342B2 (en)
KR (1) KR102104807B1 (en)
TW (1) TWI720995B (en)
WO (1) WO2017029891A1 (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102272628B1 (en) * 2016-08-31 2021-07-05 후지필름 가부시키가이샤 Actinic ray-sensitive or radiation-sensitive resin composition, pattern formation method and electronic device manufacturing method
JPWO2019131351A1 (en) * 2017-12-27 2020-12-24 富士フイルム株式会社 Resist composition, resist film, pattern forming method, and method for manufacturing electronic devices
JP2019147857A (en) * 2018-02-26 2019-09-05 国立大学法人 東京大学 Adhesive composition containing copolymer having gallol group-like side chain
CN111902775B (en) * 2018-04-19 2024-01-02 富士胶片株式会社 Pattern, optical filter, solid-state imaging element, method for manufacturing image display device, photocurable composition, and film
KR102606988B1 (en) * 2018-09-28 2023-11-30 후지필름 가부시키가이샤 Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern formation method, electronic device manufacturing method
WO2021171852A1 (en) * 2020-02-27 2021-09-02 Jsr株式会社 Radiation-sensitive resin composition, method for forming resist pattern, and polymer

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003195500A (en) * 2001-12-26 2003-07-09 Fujifilm Arch Co Ltd Negative photosensitive resin composition
JP2011221513A (en) 2010-03-24 2011-11-04 Shin Etsu Chem Co Ltd Pattern-formation method, resist composition and acetal compound
KR20130024853A (en) * 2011-08-30 2013-03-08 후지필름 가부시키가이샤 Pattern forming method, active-sensitive or radiation-sensitive resin composition, and active-sensitive or radiation-sensitive film
JP2013068675A (en) 2011-09-20 2013-04-18 Jsr Corp Photoresist composition and method for forming negative pattern
JP2013100471A (en) 2011-10-13 2013-05-23 Sumitomo Chemical Co Ltd Compound, resin, resist composition and method for preparing resist pattern
JP2013100473A (en) 2011-10-13 2013-05-23 Sumitomo Chemical Co Ltd Compound, resin, resist composition and method for preparing resist pattern
JP2013100472A (en) 2011-10-13 2013-05-23 Sumitomo Chemical Co Ltd Compound, resin, resist composition and method for preparing resist pattern
KR20150013832A (en) * 2012-07-27 2015-02-05 후지필름 가부시키가이샤 Resin composition and pattern forming method using the same
JP2015031851A (en) 2013-08-02 2015-02-16 富士フイルム株式会社 Pattern forming method, actinic ray-sensitive or radiation-sensitive resin composition, resist film, method for manufacturing electronic device using the same, and electronic device
KR20150028327A (en) * 2012-07-27 2015-03-13 후지필름 가부시키가이샤 Actinic ray-sensitive or radiation-sensitive resin composition, resist film using the same, pattern forming method, manufacturing method of electronic device, electronic device and resin

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6015636A (en) * 1983-07-06 1985-01-26 Cosmo Co Ltd X-ray exposure type resist
JPS6014233A (en) * 1983-07-06 1985-01-24 Cosmo Co Ltd Electron beam exposure type resist
CA1254432A (en) * 1984-12-28 1989-05-23 Conrad G. Houle High-temperature resistant, selectively developable positive-working resist
JPH02170165A (en) * 1988-12-23 1990-06-29 Hitachi Ltd Radiation sensitive composition and pattern forming method using this composition
JP3816152B2 (en) * 1996-07-17 2006-08-30 富士写真フイルム株式会社 Negative type image recording material
JP3798531B2 (en) * 1997-09-26 2006-07-19 富士写真フイルム株式会社 Negative type image recording material
JP2004020933A (en) * 2002-06-17 2004-01-22 Fuji Photo Film Co Ltd Negative resist composition
JP2004062044A (en) * 2002-07-31 2004-02-26 Fuji Photo Film Co Ltd Negative resist composition for electron beam, x-ray or extreme uv (euv) light
JP2004101818A (en) * 2002-09-09 2004-04-02 Fuji Photo Film Co Ltd Negative resist composition
JP4368282B2 (en) * 2004-09-24 2009-11-18 富士フイルム株式会社 Positive resist composition and pattern forming method using the same
JP5836230B2 (en) * 2011-09-15 2015-12-24 富士フイルム株式会社 PATTERN FORMING METHOD, ELECTRON-SENSITIVE OR EXTREME UV-SENSITIVE RESIN COMPOSITION, RESIST FILM, AND ELECTRONIC DEVICE MANUFACTURING METHOD USING THEM
JP6209344B2 (en) * 2012-07-27 2017-10-04 富士フイルム株式会社 Pattern forming method, actinic ray-sensitive or radiation-sensitive resin composition, resist film, and electronic device manufacturing method using these
JP6247858B2 (en) * 2013-08-01 2017-12-13 富士フイルム株式会社 Pattern forming method and electronic device manufacturing method using the same

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003195500A (en) * 2001-12-26 2003-07-09 Fujifilm Arch Co Ltd Negative photosensitive resin composition
JP2011221513A (en) 2010-03-24 2011-11-04 Shin Etsu Chem Co Ltd Pattern-formation method, resist composition and acetal compound
KR20130024853A (en) * 2011-08-30 2013-03-08 후지필름 가부시키가이샤 Pattern forming method, active-sensitive or radiation-sensitive resin composition, and active-sensitive or radiation-sensitive film
JP2013068675A (en) 2011-09-20 2013-04-18 Jsr Corp Photoresist composition and method for forming negative pattern
JP2013100471A (en) 2011-10-13 2013-05-23 Sumitomo Chemical Co Ltd Compound, resin, resist composition and method for preparing resist pattern
JP2013100473A (en) 2011-10-13 2013-05-23 Sumitomo Chemical Co Ltd Compound, resin, resist composition and method for preparing resist pattern
JP2013100472A (en) 2011-10-13 2013-05-23 Sumitomo Chemical Co Ltd Compound, resin, resist composition and method for preparing resist pattern
KR20150013832A (en) * 2012-07-27 2015-02-05 후지필름 가부시키가이샤 Resin composition and pattern forming method using the same
KR20150028327A (en) * 2012-07-27 2015-03-13 후지필름 가부시키가이샤 Actinic ray-sensitive or radiation-sensitive resin composition, resist film using the same, pattern forming method, manufacturing method of electronic device, electronic device and resin
JP2015031851A (en) 2013-08-02 2015-02-16 富士フイルム株式会社 Pattern forming method, actinic ray-sensitive or radiation-sensitive resin composition, resist film, method for manufacturing electronic device using the same, and electronic device

Also Published As

Publication number Publication date
TWI720995B (en) 2021-03-11
JPWO2017029891A1 (en) 2018-04-12
WO2017029891A1 (en) 2017-02-23
JP6419342B2 (en) 2018-11-07
TW201708271A (en) 2017-03-01
KR102104807B1 (en) 2020-04-27

Similar Documents

Publication Publication Date Title
KR102129745B1 (en) Pattern formation method and manufacturing method of electronic device
KR102243197B1 (en) Resist composition, pattern forming method, and electronic device manufacturing method
US10562991B2 (en) Developer, pattern forming method, and electronic device manufacturing method
KR102104807B1 (en) Pattern formation method, manufacturing method of electronic device, and resist composition
KR20190043577A (en) Active radiation-sensitive or radiation-sensitive resin composition, pattern forming method and manufacturing method of electronic device
KR20190054136A (en) Active radiation-sensitive or radiation-sensitive resin composition, pattern forming method and manufacturing method of electronic device
KR20180074745A (en) Pattern forming method and manufacturing method of electronic device
JP6607940B2 (en) Pattern forming method and electronic device manufacturing method
KR20180048838A (en) Process liquid and pattern forming method
US10599038B2 (en) Rinsing liquid, pattern forming method, and electronic device manufacturing method
KR20180011799A (en) Process liquid and pattern forming method
JPWO2017056928A1 (en) Resist composition, and resist film, pattern forming method, and electronic device manufacturing method using the same
TWI741042B (en) Sensitizing radiation-sensitive or radiation-sensitive resin composition, pattern formation method, electronic device manufacturing method, and resin manufacturing method
KR20180048873A (en) Process liquid and pattern forming method
TW201736386A (en) Processing liquid, pattern forming method and method for manufacturing electronic device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant