KR20170020414A - Semiconductor device - Google Patents

Semiconductor device Download PDF

Info

Publication number
KR20170020414A
KR20170020414A KR1020170021083A KR20170021083A KR20170020414A KR 20170020414 A KR20170020414 A KR 20170020414A KR 1020170021083 A KR1020170021083 A KR 1020170021083A KR 20170021083 A KR20170021083 A KR 20170021083A KR 20170020414 A KR20170020414 A KR 20170020414A
Authority
KR
South Korea
Prior art keywords
layer
nitride semiconductor
semiconductor layer
substrate
nitride
Prior art date
Application number
KR1020170021083A
Other languages
Korean (ko)
Inventor
탁영조
이재원
김준연
박영수
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020170021083A priority Critical patent/KR20170020414A/en
Publication of KR20170020414A publication Critical patent/KR20170020414A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02378Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02455Group 13/15 materials
    • H01L21/02458Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02502Layer structure consisting of two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • H01L21/02642Mask materials other than SiO2 or SiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0062Processes for devices with an active region comprising only III-V compounds
    • H01L33/0066Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound
    • H01L33/007Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound comprising nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/02Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies
    • H01L33/12Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies with a stress relaxation structure, e.g. buffer layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/02Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies
    • H01L33/26Materials of the light emitting region
    • H01L33/30Materials of the light emitting region containing only elements of group III and group V of the periodic system
    • H01L33/32Materials of the light emitting region containing only elements of group III and group V of the periodic system containing nitrogen

Abstract

A semiconductor device is disclosed. The disclosed semiconductor device includes a buffer layer and a plurality of nitride semiconductor layers on a substrate, at least one masking layer and at least one intermediate layer between the plurality of nitride semiconductor layers. Thereby, the present invention reduces defect density and tension stress.

Description

반도체 소자{Semiconductor device}Semiconductor device

결함 밀도와 인장 응력을 감소시킨 반도체 소자에 관한 것이다. To a semiconductor device with reduced defect density and tensile stress.

질화물계 반도체 소자를 형성하기 위한 기판으로 사파이어가 많이 사용된다. 하지만, 사파이어 기판은 가격이 비싸고, 단단해서 칩 제작에 어려움이 있으며, 전기 전도성이 낮다. 그리고, 사파이어 기판을 대구경으로 에피 성장 시에, 낮은 열 전도도로 인해 고온에서 기판 자체의 휨 현상이 발생하여 대면적으로 제작하는 것이 어렵다. 이러한 한계를 극복하기 위해, 사파이어 기판 대신 실리콘 기판을 활용한 질화물계 반도체 소자의 개발이 이루어지고 있다. 실리콘 기판은 사파이어 기판에 비해 열전도도가 높기 때문에 고온에서 성장하는 질화물 박막 성장 온도에서도 기판의 휨 정도가 크지 않아 대구경의 박막 성장이 가능하다. 그러나, 실리콘 기판에 질화물 박막을 성장시 기판과 박막 사이의 격자 상수 불일치로 인해 결함 밀도(dislocation density)가 커지고, 열팽창 계수의 불일치로 인해 크랙이 발생된다. 따라서, 결함 밀도를 감소시키기 위한 방법과 크랙 방지를 위한 방법이 많이 연구되고 있다. 하지만, 결함 밀도를 감소시키면 부수적으로 인장 응력(tensile stress)이 생성되어 결함 밀도는 감소하는 반면 크랙 발생이 증가되거나, 반대로 크랙은 감소되었으나 결함 밀도는 증가되는 양상이 나타난다. 이와 같이 실리콘 기판에서의 질화물 박막 성장시 결함 밀도 감소와 크랙 감소 양쪽을 만족시키는 것이 어렵다. Sapphire is often used as a substrate for forming a nitride semiconductor device. However, the sapphire substrate is expensive, hard, difficult to manufacture, and low in electric conductivity. When the sapphire substrate is epitaxially grown at a large diameter, it is difficult to fabricate the sapphire substrate in a large area due to warpage of the substrate itself at a high temperature due to low thermal conductivity. To overcome these limitations, a nitride-based semiconductor device utilizing a silicon substrate instead of a sapphire substrate has been developed. Since the silicon substrate has higher thermal conductivity than the sapphire substrate, the warpage of the substrate is not large even at the growth temperature of the nitride film grown at a high temperature, so that the growth of a large diameter film is possible. However, when a nitride thin film is grown on a silicon substrate, dislocation density increases due to lattice constant mismatch between the substrate and the thin film, and cracks are generated due to inconsistency of the thermal expansion coefficient. Therefore, a method for reducing the defect density and a method for preventing cracks have been extensively studied. However, when the defect density is decreased, a tensile stress is generated incidentally to reduce the defect density, while the cracks are increased or the cracks are decreased, but the defect density is increased. As described above, it is difficult to satisfy both of the reduction of defect density and the reduction of cracks in the growth of a nitride thin film on a silicon substrate.

결함 밀도와 인장 응력을 감소시킨 반도체 소자를 제공한다.A semiconductor device with reduced defect density and tensile stress is provided.

본 발명의 일측면에 따른 반도체 소자는, 복수 개의 질화물 반도체층; 상기 복수 개의 질화물 반도체층 사이에 구비된 적어도 하나의 마스킹층; 및 상기 적어도 하나의 마스킹층 상부에 있는 복수 개의 질화물 반도체층 사이에 구비되어 인장 응력을 보상하는 적어도 하나의 중간층;을 포함할 수 있다. A semiconductor device according to an aspect of the present invention includes: a plurality of nitride semiconductor layers; At least one masking layer disposed between the plurality of nitride semiconductor layers; And at least one intermediate layer disposed between the plurality of nitride semiconductor layers on the at least one masking layer to compensate for the tensile stress.

상기 복수 개의 질화물 반도체층은 갈륨을 함유한 질화물로 형성될 수 있다. The plurality of nitride semiconductor layers may be formed of a nitride containing gallium.

상기 복수 개의 질화물 반도체층은 AlxInyGa1 -x-yN(0≤x,y≤1, x+y<1)로 형성될 수 있다.The plurality of nitride semiconductor layers may be formed of Al x In y Ga 1 -xy N (0? X, y? 1, x + y <1).

상기 적어도 하나의 마스킹층은 실리콘질화물 또는 티타늄 질화물로 형성될 수 있다. The at least one masking layer may be formed of silicon nitride or titanium nitride.

상기 적어도 하나의 중간층은 Alx0Iny0Ga1 -x0- y0N (0≤x0,y0≤1,x0+y0≤1), 스텝 그레이드 AlxInyGa1 -x- yN (0≤x,y≤1, x+y≤1), Alx1Iny1Ga1 -x1- y1N/Alx2Iny2Ga1 -x2- y2N (0≤x1,x2,y1,y2≤1, x1≠x2 또는 y1≠y2) 초격자로 이루어진 그룹으로부터 선택된 어느 하나로 이루어지며, 상부에 있는 질화물 반도체층에 압축 응력을 인가할 수 있다.The at least one intermediate layer is Al x0 In y0 Ga 1 -x0- y0 N (0≤x0, y0≤1, x0 + y0≤1), step-graded Al x In y Ga 1 -x- y N (0≤x , y≤1, x + y≤1), Al x1 In y1 Ga 1 -x1- y1 N / Al x2 In y2 Ga 1 -x2- y2 N (0≤x1, x2, y1, y2≤1, x1 ≠ x2 or y1? y2) superlattice, and compressive stress can be applied to the upper nitride semiconductor layer.

상기 복수 개의 질화물 반도체층 하부에 기판이 더 구비될 수 있다. A substrate may be further provided under the plurality of nitride semiconductor layers.

상기 기판은 실리콘 기판 또는 실리콘 카바이드 기판을 포함할 수 있다. The substrate may comprise a silicon substrate or a silicon carbide substrate.

상기 기판과 복수 개의 질화물 반도체층 사이에 적어도 하나의 버퍼층이 더 구비될 수 있다. At least one buffer layer may be further provided between the substrate and the plurality of nitride semiconductor layers.

상기 적어도 하나의 버퍼층은 핵성장층을 포함할 수 있다. The at least one buffer layer may comprise a nucleation layer.

상기 핵성장층은 AlN로 형성될 수 있다. The nucleation layer may be formed of AlN.

상기 적어도 하나의 버퍼층은 AlxInyGa1 -x- yN (0≤x,y≤1, x+y≤1)으로 형성될 수 있다.The at least one buffer layer may be formed of Al x In y Ga 1 -x- y N (0? X, y? 1, x + y? 1).

상기 적어도 하나의 버퍼층은 스텝 그레이드 구조 또는 초격자 구조를 가질 수 있다. The at least one buffer layer may have a step-graded structure or a superlattice structure.

상기 적어도 하나의 버퍼층은 스텝 그레이드 AlxInyGa1 -x- yN(0≤x,y≤1, x+y≤1)또는 Alx1Iny1Ga1 -x1- y1N/ Alx2Iny2Ga1 -x2- y2N (0≤x1,x2,y1,y2≤1, x1≠x2 또는 y1≠y2) 초격자로 형성될 수 있다. Wherein the at least one buffer layer comprises a step graded Al x In y Ga 1 -x- y N (0? X, y? 1, x + y? 1) or Al x1 In y1 Ga 1 -x1- y1 N / Al x2 In y2 Ga 1 -x2- y2 N (0≤x1 , x2, y1, y2≤1, x1 ≠ x2 or y1 ≠ y2) second may be formed of a lattice.

상기 적어도 하나의 마스킹층과 상기 적어도 하나의 중간층은 쌍으로 구성될 수 있다. The at least one masking layer and the at least one intermediate layer may be constructed in pairs.

상기 적어도 하나의 마스킹층의 하부에 적어도 하나의 중간층이 더 배치될 수 있다. At least one intermediate layer may be further disposed under the at least one masking layer.

상기 적어도 하나의 중간층이 AlxGa1 -xN(0.4<x<1)으로 형성될 수 있다. The at least one intermediate layer may be formed of Al x Ga 1 -x N (0.4 <x <1).

본 발명의 다른 측면에 따른 반도체 소자는, 제1질화물 반도체층; 제2질화물 반도체층; 제3질화물 반도체층; 상기 제1질화물 반도체층과 상기 제2질화물 반도체층 사이의 마스킹층; 및 상기 제2질화물 반도체층과 상기 제3질화물 반도체층 사이의 중간층;을 포함할 수 있다. A semiconductor device according to another aspect of the present invention includes: a first nitride semiconductor layer; A second nitride semiconductor layer; A third nitride semiconductor layer; A masking layer between the first nitride semiconductor layer and the second nitride semiconductor layer; And an intermediate layer between the second nitride semiconductor layer and the third nitride semiconductor layer.

상기 제1, 제2, 및 제3 질화물 반도체층은 갈륨을 함유하는 질화물로 형성될 수 있다. The first, second, and third nitride semiconductor layers may be formed of a nitride containing gallium.

본 발명의 다른 측면에 따른 반도체 소자는, p형 불순물이 도핑된 실리콘계 기판; 상기 실리콘계 기판에 형성된 버퍼층; 상기 버퍼층 위에 형성된 질화물 적층체;를 포함할 수 있다. A semiconductor device according to another aspect of the present invention includes: a silicon-based substrate doped with a p-type impurity; A buffer layer formed on the silicon substrate; And a nitride laminate formed on the buffer layer.

상기 실리콘계 기판은 실리콘 기판 또는 실리콘 카바이드 기판일 수 있다. The silicon-based substrate may be a silicon substrate or a silicon carbide substrate.

상기 p형 불순물은 B, Al, Mg, Ca, Zn, Cd, Hg, Ga 중 선택된 적어도 어느 하나를 포함할 수 있다. The p-type impurity may include at least one selected from B, Al, Mg, Ca, Zn, Cd, Hg and Ga.

상기 p형 불순물은 보론(B)으로 이루어질 수 있다. The p-type impurity may be boron (B).

상기 p형 불순물의 도핑 농도는 대략 5×1017/cm3 내지 1020/cm3 의 범위일 수 있다. The doping concentration of the p-type impurity is about 5 × 10 17 / cm 3 To 10 &lt; 20 &gt; / cm &lt; 3 &gt;.

상기 p형 불순물의 도핑 농도는 대략 1018/cm3 내지 5×1019/cm3 의 범위일 수 있다. The doping concentration of the p-type impurity is about 10 18 / cm 3 To 5 x 10 &lt; 19 &gt; / cm &lt; 3 &gt;.

상기 실리콘계 기판의 비저항이 대략 1Ωcm 이하가 되도록 상기 p형 불순물의 도핑 농도가 정해질 수 있다. The doping concentration of the p-type impurity can be determined such that the resistivity of the silicon-based substrate is approximately 1? Cm or less.

상기 질화물 적층체는, 복수 개의 질화물 반도체층; 상기 복수 개의 질화물 반도체층 사이에 구비된 적어도 하나의 마스킹층; 및 상기 복수 개의 질화물 반도체층 사이에 구비된 적어도 하나의 중간층;을 포함할 수 있다. The nitride layered body may include a plurality of nitride semiconductor layers; At least one masking layer disposed between the plurality of nitride semiconductor layers; And at least one intermediate layer provided between the plurality of nitride semiconductor layers.

본 발명의 일 측면에 따른 반도체 소자 제조 방법은, p형 불순물이 도핑된 실리콘계 기판을 준비하는 단계; 상기 실리콘계 기판에 버퍼층을 형성하는 단계; 상기 버퍼층 상에 질화물 적층체를 형성하는 단계;를 포함할 수 있다.A method of fabricating a semiconductor device according to an aspect of the present invention includes: preparing a silicon-based substrate doped with a p-type impurity; Forming a buffer layer on the silicon substrate; And forming a nitride laminate on the buffer layer.

본 발명의 실시예에서는 마스킹층 이전에 버퍼층과 제1질화물 반도체층의 계면에서 결함밀도를 감소시킬 수 있으며, 마스킹층을 제1질화물 반도체층 상에 형성시켜 결함밀도 감소를 강화할 수 있다. 중간층 이전에 결함밀도를 충분히 감소시킴으로써 중간층의 사용으로 인해 결함밀도가 증가된다 하더라도 마스킹층에 의한 결함밀도 감소 효과를 유지하거나 적게 할 수 있다. 그리고, 중간층에 의해 인장 응력을 보상하여 크랙의 발생을 방지할 수 있다. 또한, 중간층의 조성과 두께를 조절하여 중간층에 의한 결함 재생성을 감소시키거나 제거함으로써, 한 개의 중간층만을 사용하는 구조에서도 크랙 없이 낮은 결함밀도를 가지는 질화물 반도체층을 성장할 수 있다. In the embodiment of the present invention, the defect density can be reduced at the interface between the buffer layer and the first nitride semiconductor layer before the masking layer, and the masking layer can be formed on the first nitride semiconductor layer to enhance the reduction in defect density. By sufficiently reducing the defect density before the interlayer, even if the defect density is increased due to the use of the intermediate layer, the effect of reducing the defect density by the masking layer can be maintained or reduced. Further, tensile stress can be compensated by the intermediate layer to prevent the occurrence of cracks. Further, by regulating the composition and thickness of the intermediate layer to reduce or eliminate defect regeneration caused by the intermediate layer, a nitride semiconductor layer having a low defect density without cracks can be grown even in a structure using only one intermediate layer.

본 발명의 실시예에 따른 반도체 소자는 실리콘 기판 또는 실리콘 카바이드 기판에 질화물 반도체층을 성장시 격자 결함과 인장 응력을 함께 감소시킴으로써 원하는 두께로 질화물 반도체층을 성장할 수 있다. 그리고, 실리콘 기판 또는 실리콘 카바이드 기판을 사용하여 대구경의 웨이퍼 제작이 가능하게 된다. 본 발명의 실시예에 따른 반도체 소자는 발광 소자(Light emitting diode), 쇼트키 다이오드, 레이저 다이오드, 전계 효과 트랜지스터(Field Effect Transistor) 또는 파워 디바이스(power device) 등에 적용될 수 있다. The semiconductor device according to the embodiment of the present invention can grow the nitride semiconductor layer to a desired thickness by reducing both lattice defects and tensile stress when the nitride semiconductor layer is grown on the silicon substrate or the silicon carbide substrate. It is also possible to manufacture wafers with a large diameter by using a silicon substrate or a silicon carbide substrate. A semiconductor device according to an embodiment of the present invention may be applied to a light emitting diode, a Schottky diode, a laser diode, a field effect transistor, a power device, or the like.

도 1a는 본 발명의 일실시예에 따른 반도체 소자의 개략적 단면도이다.
도 1b는 본 발명의 다른 실시예에 따른 반도체 소자의 개략적 단면도이다.
도 2a는 본 발명의 또 다른 실시예에 따른 반도체 소자의 개략적 단면도이다.
도2b는 본 발명의 또 다른 실시예에 따른 반도체 소자의 개략적 단면도이다.
도 3a는 마스킹층과 중간층을 구비한 질화물 적층체와, SEM 이미지를 도시한 것이다.
도 3b는 도 3a에 도시된 질화물 적층체의 OM 이미지를 도시한 것이다.
도 4는 본 발명의 또 다른 실시예에 따른 반도체 소자의 개략적 단면도이다.
도 5는 본 발명의 또 다른 실시예에 따른 반도체 소자의 개략적 단면도이다.
도 6은 본 발명의 또 다른 실시예에 따른 반도체 소자의 개략적 단면도이다.
도 7은 본 발명의 또 다른 실시예에 따른 반도체 소자의 개략적 단면도이다.
도 8a 내지 도 8c는 AlxGa1-xN 중간층의 Al 조성을 변화시킬 때 GaN 질화물 반도체층에서 발생된 크랙을 보인 것이다.
도 9는 본 발명의 일 실시예에 따른 반도체 소자가 발광 소자에 적용된 예를 도시한 것이다.
도 10은 일 실시예에 따른 반도체 소자의 개략적인 구성을 보인 것이다.
도 11은 다른 실시예에 따른 반도체 소자의 개략적인 구성을 보인 것이다.
도 12는 웨이퍼의 보우(bow)를 정의하는 도면이다.
도 13은 도 10의 반도체 소자를 이용한 발광 소자의 일 예를 보인 것이다.
도 14는 비교예의 발광소자와 실시예의 발광소자에 대해, 웨이퍼에 발생한 보우 특성을 비교한 그래프이다.
도 15a 내지 도 15e는 일 실시예에 따른 반도체 소자 및 이를 이용한 다른 소자의 제조 방법을 설명하는 도면들이다.
도 16a 내지 도 16f는 다른 실시예에 따른 반도체 소자 및 이를 이용한 다른 소자의 제조 방법을 설명하는 도면들이다.
도 17a 내지 도 17d는 또 다른 실시예에 따른 반도체 소자의 제조방법을 설명하는 도면들이다.
1A is a schematic cross-sectional view of a semiconductor device according to an embodiment of the present invention.
1B is a schematic cross-sectional view of a semiconductor device according to another embodiment of the present invention.
2A is a schematic cross-sectional view of a semiconductor device according to another embodiment of the present invention.
2B is a schematic cross-sectional view of a semiconductor device according to another embodiment of the present invention.
3A shows a nitride stack with a masking layer and an intermediate layer, and an SEM image.
Figure 3b shows an OM image of the nitride stack shown in Figure 3a.
4 is a schematic cross-sectional view of a semiconductor device according to another embodiment of the present invention.
5 is a schematic cross-sectional view of a semiconductor device according to another embodiment of the present invention.
6 is a schematic cross-sectional view of a semiconductor device according to another embodiment of the present invention.
7 is a schematic cross-sectional view of a semiconductor device according to another embodiment of the present invention.
8A to 8C show cracks generated in the GaN nitride semiconductor layer when Al composition of the AlxGa1-xN intermediate layer is changed.
9 illustrates an example in which a semiconductor device according to an embodiment of the present invention is applied to a light emitting device.
FIG. 10 shows a schematic structure of a semiconductor device according to an embodiment.
11 shows a schematic structure of a semiconductor device according to another embodiment.
12 is a view for defining a bow of a wafer.
FIG. 13 shows an example of a light emitting device using the semiconductor device of FIG.
14 is a graph comparing bow characteristics generated on a wafer with respect to the light emitting device of the comparative example and the light emitting device of the embodiment.
15A to 15E are views for explaining a semiconductor device according to an embodiment and a method for manufacturing another element using the same.
16A to 16F are views illustrating a semiconductor device according to another embodiment and a method of manufacturing another device using the same.
17A to 17D are views for explaining a method of manufacturing a semiconductor device according to still another embodiment.

이하, 본 발명의 실시예에 따른 반도체 소자에 대해 첨부된 도면을 참조하여 상세히 설명한다. 도면에서 동일한 참조번호는 동일한 구성 요소를 지칭하며, 각 구성 요소의 크기나 두께는 설명의 편의를 위해 과장되어 있을 수 있다. 한편, 이하에 설명되는 실시예는 단지 예시적인 것에 불과하며, 이러한 실시예들로부터 다양한 변형이 가능하다.Hereinafter, a semiconductor device according to an embodiment of the present invention will be described in detail with reference to the accompanying drawings. Like reference numerals in the drawings denote like elements, and the sizes and thicknesses of the respective elements may be exaggerated for convenience of explanation. On the other hand, the embodiments described below are merely illustrative, and various modifications are possible from these embodiments.

본 발명의 실시예에 따른 반도체 소자는 복수 개의 질화물 반도체층을 포함하고, 복수 개의 질화물 반도체층 사이에 적어도 하나의 마스킹층을 포함할 수 있다. 그리고, 상기 적어도 하나의 마스킹층 상부에 있는 질화물 반도체층의 상부에 적어도 하나의 중간층을 포함할 수 있다. 이하에서, "상부" 나 "상"이라고 기재된 것은 접촉하여 바로 위에 있는 것뿐만 아니라 비접촉으로 위에 있는 것도 포함할 수 있다. 상기 질화물 반도체층은 복수 개의 반도체층의 적층체로 이루어지는 반도체 소자에서 구조적 내지는 기능적으로 구별 되어질 수 있다. 예를 들어 구조적으로 마스킹층 내지는 중간층 각각의 상부 내지는 하부의 층으로 구별될 수도 있고, 기능적으로는 다른 성장 특성 및 조성을 가지거나 다른 도핑농도 내지는 도핑타입을 가짐으로써 구별되어질 수 있다.A semiconductor device according to an embodiment of the present invention includes a plurality of nitride semiconductor layers and may include at least one masking layer between the plurality of nitride semiconductor layers. And at least one intermediate layer on top of the nitride semiconductor layer above the at least one masking layer. In the following, what is referred to as "upper" or "upper" The nitride semiconductor layer may be structurally or functionally distinguishable from a semiconductor device comprising a stack of a plurality of semiconductor layers. For example structurally, as the top or bottom layer of each of the masking layer or intermediate layer, and can be distinguished by functionally having different growth characteristics and compositions, or by having different doping concentrations or doping types.

도 1a는 본 발명의 일 실시예에 따른 반도체 소자의 단면도를 도시한 것이다.1A is a cross-sectional view of a semiconductor device according to an embodiment of the present invention.

도 1a에 도시된 반도체 소자(10)는 기판(11), 상기 기판(11) 상의 복수 개의 질화물 반도체층을 포함할 수 있다. 상기 복수 개의 질화물 반도체층은 예를 들어 제1질화물 반도체층(12), 제2질화물 반도체층(14) 및 제3질화물 반도체층(16)을 포함할 수 있다. 그리고, 상기 복수 개의 질화물 반도체층 사이에 적어도 하나의 마스킹층(13)이 구비될 수 있다. 상기 마스킹층(13)의 상부에 있는 복수 개의 질화물 반도체층 사이에 적어도 하나의 중간층(15)이 구비될 수 있다. 상기 중간층(15)은 상기 마스킹층(13)의 상부에 성장된 질화물 반도체층에 의한 상대적인 인장 응력을 보상할 수 있다.The semiconductor device 10 shown in FIG. 1A may include a substrate 11, and a plurality of nitride semiconductor layers on the substrate 11. FIG. The plurality of nitride semiconductor layers may include, for example, a first nitride semiconductor layer 12, a second nitride semiconductor layer 14, and a third nitride semiconductor layer 16. At least one masking layer 13 may be provided between the plurality of nitride semiconductor layers. At least one intermediate layer 15 may be provided between the plurality of nitride semiconductor layers on the masking layer 13. The intermediate layer 15 can compensate for the relative tensile stress due to the nitride semiconductor layer grown on the masking layer 13.

상기 기판(11)은 반도체 소자의 제작 중 또는 제작 후에 제거될 수 있다. 도 1b는 기판(11)이 제거된 반도체 소자(10')를 도시한 것이다. The substrate 11 may be removed during or after fabrication of the semiconductor device. 1B shows a semiconductor device 10 'from which the substrate 11 has been removed.

도 2a 및 도 2b에 도시된 반도체 소자(20)는 기판(21) 상에 적어도 하나의 버퍼층을 포함한 예를 도시한 것이다. 도 2a는 하나의 버퍼층(22)을 구비한 예를 도시한 것이고, 도 2b는 두 개의 버퍼층을 구비한 예를 도시한 것이다. 도 2b를 참조하면, 상기 적어도 하나의 버퍼층은 예를 들어 제1버퍼층(22')과 제2버퍼층(23')을 포함할 수 있다. 상기 적어도 하나의 버퍼층은 AlN, AlGaN, 스텝 그레이드 AlxInyGa1-x-yN (0≤x,y≤1,x+y≤1), Alx1Iny1Ga1 -x1- y1N/ Alx2Iny2Ga1 -x2- y2N (0≤x1,x2,y1,y2≤1, x1≠x2 또는 y1≠y2, x1+y1≤1,x2+y2≤1) 초격자로 이루어진 그룹으로부터 선택된 어느 하나를 포함하는 물질로 형성될 수 있다. 2A and 2B illustrate an example in which at least one buffer layer is provided on a substrate 21. In this case, FIG. 2A shows an example having one buffer layer 22, and FIG. 2B shows an example having two buffer layers. Referring to FIG. 2B, the at least one buffer layer may include, for example, a first buffer layer 22 'and a second buffer layer 23'. The at least one buffer layer is AlN, AlGaN, step-graded Al x In y Ga 1-xy N (0≤x, y≤1, x + y≤1), Al x1 In y1 Ga 1 -x1- y1 N / Al x2 In y2 Ga 1 -x2- y2 N (0? x1, x2, y1, y2? 1, x1? x2 or y1? y2, x1 + y1? 1, x2 + y2? And may be formed of a material containing any one of them.

그리고, 상기 적어도 하나의 버퍼층 상에 복수 개의 질화물 반도체층과, 상기 복수 개의 질화물 반도체층 사이에 적어도 하나의 마스킹층과 적어도 하나의 중간층을 포함할 수 있다. 예를 들어, 상기 제1 및 제2 버퍼층 (22')(23') 상에 제1질화물 반도체층(24)이 배열되고, 상기 제1질화물 반도체층(24) 상에 마스킹층(25)이 배열될 수 있다. 상기 마스킹층(25) 상에 제2질화물 반도체층(26)이 배열되고, 상기 제2질화물 반도체층(26) 상에 중간층(27)이 배열되고, 상기 중간층(27) 상에 제3질화물 반도체층(28)이 배열될 수 있다. 상기 적어도 하나의 버퍼층(22)(22')(23')은 기판(21)과 제1질화물 반도체층(24) 사이의 격자 상수의 불일치로 인한 변위(dislocation)을 줄이고, 열팽창 계수의 불일치로 인해 발생되는 크랙 생성을 억제하기 위해 구비될 수 있다. 한편, 상기 제1 버퍼층(22')은 핵성장층으로 작용할 수 있다. 핵성장층으로 작용하는 제1버퍼층(22')은 예를 들어, AlN 로 형성될 수 있다. 핵성장층은 기판과 질화물 반도체층이 반응하여 생기는 melt-back 현상을 막아 주며 이후 성장될 제2 버퍼층(23') 또는 제1 질화물 반도체층이 잘 웨팅(wetting) 될 수 있게 하는 역할을 할 수 있다. 핵성장층의 성장 단계에서 초기에 Al 소스(source)를 먼저 주입하게 되는데 이는 기판이 암모니아에 먼저 노출되어 질화되는 것을 막기 위한 것이다. 예를 들어, 핵성장층은 수십에서 수백 나노의 크기를 가질 수 있다. A plurality of nitride semiconductor layers may be formed on the at least one buffer layer, at least one masking layer may be interposed between the plurality of nitride semiconductor layers, and at least one intermediate layer may be formed. For example, a first nitride semiconductor layer 24 is arranged on the first and second buffer layers 22 'and 23', and a masking layer 25 is formed on the first nitride semiconductor layer 24 Lt; / RTI &gt; A second nitride semiconductor layer 26 is arranged on the masking layer 25 and an intermediate layer 27 is arranged on the second nitride semiconductor layer 26 and a third nitride semiconductor layer 26 is formed on the intermediate layer 27. [ Layer 28 may be arranged. The at least one buffer layer 22, 22 ', or 23' may reduce dislocation due to mismatch of lattice constants between the substrate 21 and the first nitride semiconductor layer 24, To prevent cracks generated due to the cracks. Meanwhile, the first buffer layer 22 'may serve as a nucleation layer. The first buffer layer 22 'serving as a nucleation layer may be formed of, for example, AlN. The nucleation layer prevents the melt-back phenomenon caused by the reaction between the substrate and the nitride semiconductor layer, and can act to wet the second buffer layer 23 'or the first nitride semiconductor layer to be grown thereafter have. In the growth step of the nucleation layer, an Al source is initially injected to prevent the substrate from being firstly exposed to ammonia and nitriding. For example, a nucleated growth layer may have a size of tens to hundreds of nanometers.

상기 제2버퍼층(23')과 제1질화물 반도체층(24)의 계면에서는 변위루프(dislocation loop)가 형성되어 결함밀도(dislocation density)가 감소될 수 있다. 상기 제2버퍼층(23')이 예를 들어 AlxGa1 - xN(0≤x≤1)으로 형성될 때, Al 조성은 단일 조성을 가지거나 순차적으로 줄어드는 조성을 가질 수 있다. 예를 들어 Al 조성을 Al0 .7Ga0 .3N --> Al0 .5Ga0 .5N --> Al0 .3Ga0 .7N 으로 step-grade로 순차적으로 감소시킬 수 있다. 이 경우, 버퍼층과 질화물 반도체층 사이의 격자 부정합 및 열팽창계수 부정합을 단계적으로 줄여 주어 에피 성장시 압축응력을 효과적으로 발생시킬 수 있으며, 냉각시 발생되는 인장응력을 감소시킬 수 있다. 또한, 관통 전위의 밴딩(bending)을 유발하여 결함을 감소시킬 수 있다. 버퍼층의 두께가 두꺼워질 수록 이후 제1 질화물 반도체층의 압축응력 완화(compressive stress relaxation)를 감소시킬 수 있으며, 결함도 감소시킬 수 있다. 버퍼층의 두께는 수백 나노에서 수 마이크로 두께를 가질 수 있다. 한편, 상기 기판(21)은 반도체 소자의 제작 중 또는 제작 후에 제거될 수 있다. 또는, 상기 기판(21)을 제거시 버퍼층도 함께 제거될 수 있다. 이하에서 설명되는 반도체 적층 구조에서 기판이 제거되거나, 기판과 버퍼층이 제거되는 것이 가능하며, 이에 대해서는 상세한 설명을 생략하기로 한다.A dislocation loop may be formed at the interface between the second buffer layer 23 'and the first nitride semiconductor layer 24 to reduce the dislocation density. When the second buffer layer 23 'is formed of, for example, Al x Ga 1 - x N (0 ? X ? 1), the Al composition may have a composition having a single composition or sequentially decreasing. For example, the Al composition can be sequentially reduced step-by-step with Al 0 .7 Ga 0 .3 N -> Al 0 .5 Ga 0 .5 N -> Al 0 .3 Ga 0 .7 N. In this case, the lattice mismatching and the thermal expansion coefficient mismatching between the buffer layer and the nitride semiconductor layer are reduced stepwise, so that the compressive stress can be effectively generated during the epitaxial growth and the tensile stress generated during cooling can be reduced. In addition, bending of the threading dislocation can be induced to reduce defects. As the thickness of the buffer layer increases, compressive stress relaxation of the first nitride semiconductor layer can be reduced, and defects can be reduced. The thickness of the buffer layer may be several hundred nanometers to several micrometers thick. Meanwhile, the substrate 21 may be removed during or after fabricating the semiconductor device. Alternatively, when the substrate 21 is removed, the buffer layer may be removed together. It is possible for the substrate to be removed or the substrate and the buffer layer to be removed in the semiconductor laminate structure described below, and a detailed description thereof will be omitted.

상기 기판(11)(21)은 실리콘을 함유하는 물질로 형성될 수 있다. 즉, 상기 기판(11)(21)은 실리콘계 기판일 수 있다. 예를 들어, 상기 기판은 실리콘(Si) 기판 또는 실리콘카바이드(SiC) 기판을 포함할 수 있다. 상기 실리콘 기판은 예를 들어 (111) 면을 사용할 수 있다. 상기 기판(11)(21)은 황산과수, 불산, 탈이온화수 등을 이용하여 클리닝될 수 있다. 클리닝된 기판은 금속 및 유기물 등의 불순물 및 자연산화막이 제거되고, 표면이 수소로 종료(termination)되어 에피 성장에 적합한 상태가 될 수 있다. The substrate 11 (21) may be formed of a material containing silicon. That is, the substrates 11 and 21 may be silicon-based substrates. For example, the substrate may comprise a silicon (Si) substrate or a silicon carbide (SiC) substrate. The silicon substrate may be, for example, a (111) surface. The substrates 11 and 21 may be cleaned using sulfuric acid, water, hydrofluoric acid, deionized water, or the like. The cleaned substrate is free from impurities such as metals and organic substances and the natural oxide film, and the surface of the substrate can be terminated with hydrogen to become suitable for epitaxial growth.

상기 복수 개의 질화물 반도체층은 상기 기판(11)(21) 상에 성장시키고자 하는 층으로, 예를 들어 갈륨을 함유하는 질화물로 형성될 수 있다. 상기 복수 개의 질화물 반도체층은 AlxInyGa1 -x-yN(0≤x,y≤1, x+y<1)으로 형성될 수 있다. 예를 들어, 상기 복수 개의 질화물 반도체층은 GaN, InGaN, 또는 AlInGaN 중 어느 하나를 포함하는 물질로 형성될 수 있다. 또는, 상기 복수 개의 질화물 반도체층은 알루미늄을 포함하지 않는 질화물로 형성될 수 있다. The plurality of nitride semiconductor layers may be formed of a nitride containing gallium, for example, as a layer to be grown on the substrate 11 (21). The plurality of nitride semiconductor layers may be formed of Al x In y Ga 1 -xy N (0? X, y? 1, x + y <1). For example, the plurality of nitride semiconductor layers may be formed of a material including any one of GaN, InGaN, and AlInGaN. Alternatively, the plurality of nitride semiconductor layers may be formed of a nitride containing no aluminum.

상기 마스킹층(13)(25)은 실리콘질화물(SiNx) 또는 티타늄질화물(TiN)로 형성될 수 있다. 예를 들어, SiH4(silane)과 암모니아 가스를 이용하여 SiNx 마스킹층을 형성할 수 있다. 상기 마스킹층은 상기 질화물 반도체층이 노출되지 않도록 평면상에서 완전히 덮는 형태가 아닌, 상기 질화물층을 부분적으로 랜덤하게 덮을 수 있는 수준에서 형성된다. 따라서, 상기 마스킹층이 상기 질화물 반도체층을 덮는 정도에 따라 질화물 반도체층이 노출되는 영역이 결정되고, 그 위에서 성장되는 질화물 반도체층의 초기 아일랜드 성장 형태가 달라질 수 있다. 예를 들어, SiNx의 마스킹 영역을 증가시켜 노출되는 상기 질화물 반도체층의 면적을 감소시킬 경우, 상기 마스킹층 상에 성장될 질화물 반도체층의 초기 아일랜드의 밀도는 감소하는 반면 상대적으로 합체되는 아일랜드의 크기는 커질 수 있다. 이 경우 합체(coalescence)되는 질화물 반도체층의 두께 또한 증가될 수 있다. The masking layers 13 and 25 may be formed of silicon nitride (SiNx) or titanium nitride (TiN). For example, a SiNx masking layer can be formed using SiH 4 (silane) and ammonia gas. The masking layer is formed at a level that can partially cover the nitride layer at random, rather than completely covering the nitride semiconductor layer in a planar manner so that the nitride semiconductor layer is not exposed. Accordingly, the region where the nitride semiconductor layer is exposed is determined according to the degree that the masking layer covers the nitride semiconductor layer, and the initial island growth pattern of the nitride semiconductor layer grown thereon may be changed. For example, when increasing the masking area of SiNx and reducing the area of the exposed nitride semiconductor layer, the density of the initial islands of the nitride semiconductor layer to be grown on the masking layer decreases while the size of the relatively integrated island Can be increased. In this case, the thickness of the nitride semiconductor layer to be coalesced can also be increased.

상기 마스킹층(13)(25)에 의해 결함밀도가 감소되며, 이는 마스킹층이 직접 관통전위(threading dislocation)를 마스킹하는 효과 또는 제2질화물 반도체층(14)(26)의 아일랜드 면(island facet)을 통한 관통전위 밴딩(bending) 효과로 설명될 수 있다. 제2질화물 반도체층(14)(26)의 합체 두께 및 결함 밀도는 성장조건, 예를 들어 온도, 압력, V/III 소스 비(ratio) 등과 같은 변수에 의해 달라질 수 있다. SiNx 마스킹층 및 제2질화물 반도체층의 성장 조건은 예를 들어 합체가 완전히 이루어져 평탄해진 상태에서 관통전이에 의한 표면 결함 밀도(surface pit density)가 5E8/cm2 이하가 될 수 있도록 하는 조건에서 선택될 수 있다. The defect density is reduced by the masking layers 13 and 25 because the masking layer masks the threading dislocation directly or the effect of masking the island facet of the second nitride semiconductor layer 14 26 ). &Lt; / RTI &gt; The coalescence thickness and defect density of the second nitride semiconductor layers 14 and 26 may be varied depending on growth conditions such as temperature, pressure, V / III source ratio and the like. The growth conditions of the SiNx masking layer and the second nitride semiconductor layer are selected, for example, under conditions that the surface pit density due to the penetration transition can be 5E8 / cm 2 or less in the flattened state of the complete incorporation .

한편, 상기 제1 질화물 반도체층(24)은 상대적으로 격자 크기가 작은 제2버퍼층(23')으로부터 압축응력을 받을 수 있다. 이 압축응력은 질화물 반도체층의 두께가 두꺼워질 수록 서서히 완화(relaxation)될 수 있다. 그런데, 상기 마스킹층(25)을 제1 질화물 반도체층(12)(24)과 제2 질화물 반도체층(14)(26) 사이에 구비하는 경우, 두 질화물 반도체층 사이의 응력(stress)이 디커플(decouple) 되어 제2 질화물 반도체층(14)(26)에 전달되는 압축응력이 차단될 수 있다. 또한, 제2 질화물 반도체층(14)(26)은 초기 아일랜드 성장을 하게 되는데, 이 아일랜드들이 합체(coalescence) 되는 과정에서 상대적인 인장응력이 발생될 수 있다. 결국, 제1 질화물 반도체층이 버퍼층에 의한 강한 압축응력을 받는 반면에 마스킹층 상의 제2 질화물 반도체층은 응력 디커플과 아일랜드 합체(coalescence)에 의해 보다 약한 압축응력 내지는 인장응력을 받을 수 있다. 이러한 상대적으로 적은 압축응력을 가지는 층의 두께가 임계점을 넘어서게 되면 냉각시 박막에 크랙이 발생하게 되므로 아일랜드 성장을 강화시켜 제2 질화물 반도체층의 두께를 크게 할수록 크랙이 발생할 가능성이 높아진다. 따라서, 제2 질화물 반도체층의 두께는 크랙이 발생되지 않으면서 결함밀도도 감소시킬 수 있는 조건에서 선택될 수 있다.On the other hand, the first nitride semiconductor layer 24 can receive compressive stress from the second buffer layer 23 'having a relatively small lattice size. This compressive stress can be gradually relaxed as the thickness of the nitride semiconductor layer becomes thicker. When the masking layer 25 is provided between the first and second nitride semiconductor layers 12 and 24 and between the two nitride semiconductor layers 14 and 26, The compressive stress that is decoupled and transferred to the second nitride semiconductor layers 14 and 26 can be cut off. In addition, the second nitride semiconductor layers 14 and 26 undergo initial island growth, and relative tensile stresses may be generated during the process of coalescence of the islands. As a result, the first nitride semiconductor layer is subjected to a strong compressive stress by the buffer layer, while the second nitride semiconductor layer on the masking layer is subjected to a weaker compressive stress or tensile stress due to stress decoupling and coalescence. When the thickness of the layer having a relatively small compressive stress exceeds the critical point, cracks are generated in the thin film during cooling, so that as the thickness of the second nitride semiconductor layer is increased, the possibility of cracking increases. Therefore, the thickness of the second nitride semiconductor layer can be selected under such conditions that cracks are not generated and the defect density is also reduced.

상기 마스킹층의 두께를 유지하면서 제2 질화물 반도체층의 합체 두께를 줄이는 것이 결함밀도를 감소시킴과 동시에 크랙을 방지할 수 있는 방법이 될 수 있다. 그러기 위해, 제2 질화물 반도체층의 성장 조건을 조절할 수 있는데, 예를 들어 성장 온도를 높이거나, 성장 압력을 낮추거나, V/III source 비(ratio)를 높이는 등의 횡성장을 촉진시키는 방법을 사용할 수 있다. Reducing the combined thickness of the second nitride semiconductor layer while maintaining the thickness of the masking layer may be a method of reducing the defect density and preventing cracks. For this purpose, it is possible to control the growth conditions of the second nitride semiconductor layer, for example, by increasing the growth temperature, lowering the growth pressure, or increasing the V / III source ratio, Can be used.

하지만, 상기 제2 질화물 반도체층의 성장조건을 조절하더라도, 제2 질화물 반도체층의 두께를 대략 2um 이상까지 성장시키고 상온으로 냉각시킬 때, 기판과 제2 질화물 반도체층의 열팽창 계수의 차이로 인해 발생하는 인장응력을 제어할 수 없기 때문에 크랙이 발생될 수 있다. 본 발명의 실시예에 따르면, 질화물 반도체층의 냉각시 발생하는 인장응력을 보상하기 위해 제2 질화물 반도체층(14)(26) 상에 적어도 하나의 중간층(15)(27)을 배열할 수 있다. 상기 중간층(15)(27) 위에 제3 질화물 반도체층(16)(28)을 형성시킬 경우, 제3 질화물 반도체층(16)(28)은 높은 압축응력을 가질 수 있다. 상기 제3 질화물 반도체층(16)(28)의 압축응력을 통해 제2 질화물 반도체층(26)이 받는 약한 압축응력 내지는 인장응력(tensile stress)을 보상함으로써 크랙을 감소시킬 수 있다. However, even if the growth condition of the second nitride semiconductor layer is controlled, when the thickness of the second nitride semiconductor layer is increased to about 2um or more and cooled to room temperature, the difference in thermal expansion coefficient between the substrate and the second nitride semiconductor layer A crack can be generated because the tensile stress can not be controlled. According to the embodiment of the present invention, at least one intermediate layer 15 (27) can be arranged on the second nitride semiconductor layer 14 (26) to compensate the tensile stress generated during cooling of the nitride semiconductor layer . When the third nitride semiconductor layers 16 and 28 are formed on the intermediate layers 15 and 27, the third nitride semiconductor layers 16 and 28 may have a high compressive stress. The compressive stress of the third nitride semiconductor layers 16 and 28 compensates for the weak compressive stress or tensile stress of the second nitride semiconductor layer 26, thereby reducing the cracks.

복수 개의 질화물 반도체층은 선택적으로 언도핑(undoped) 되거나 도핑될 수 있다. 복수 개의 질화물 반도체층 중 마지막 상층에 있는 질화물 반도체층은 n형 또는 p형으로 도핑되고, 나머지 질화물 반도체층은 언도핑될 수 있다. 또는, 적어도 하나의 마스킹층에 인접한 질화물 반도체층은 언돕핑(undoping)될 수 있다. 상기 제3 질화물 반도체층(16)(28)은 예를 들어, n형 또는 p형으로 도핑된 전도성 질화물층으로 형성될 수 있다. 또는, 상기 제3 질화물 반도체층(16)(18)은 언도핑된 층과 도핑된 층의 2층 구조를 가질 수 있다. 상기 제3 질화물 반도체층(16)(28)은 예를 들어, 반도체 소자의 전류 스프레딩(current spreading)을 고려하여 2um 이상의 두께와 3E18/cm3 이상의 도핑농도를 가질 수 있다. The plurality of nitride semiconductor layers may be selectively undoped or doped. The nitride semiconductor layer in the last upper layer among the plurality of nitride semiconductor layers may be doped with n-type or p-type, and the remaining nitride semiconductor layers may be undoped. Alternatively, the nitride semiconductor layer adjacent to the at least one masking layer may be undoped. The third nitride semiconductor layers 16 and 28 may be formed of, for example, a n-type or p-type doped conductive nitride layer. Alternatively, the third nitride semiconductor layers 16 and 18 may have a two-layer structure of an undoped layer and a doped layer. The third nitride semiconductor layers 16 and 28 may have a thickness of 2 um or more and a doping concentration of 3E18 / cm 3 or more, for example, considering the current spreading of the semiconductor device.

도 3a는 본 발명의 일 실시예의 효과를 나타내기 위한 실험군 적층체를 나타낸 개념도와 SEM 단면 사진을, 도 3b는 표면의 OM(Optical Microscope) 이미지를 도시한 것이다. FIG. 3A is a conceptual view showing an experimental group stack and an SEM sectional photograph showing an effect of an embodiment of the present invention, and FIG. 3B is an optical microscope (OM) image of a surface.

도 3a는 도 2b에 도시된 반도체 소자의 일 예로서, u-GaN 제1질화물 반도체층과 u-GaN 제2질화물 반도체층 사이에 SiNx 마스킹층이 배치되고, u-GaN 제2질화물 반도체층과 u-GaN 제3질화물 반도체층 사이에 AlxGa1 - xN 중간층이 배치된다. 제3질화물 반도체층 상에 n-GaN 층이 구비될 수 있다. FIG. 3A is an example of the semiconductor device shown in FIG. 2B, in which a SiNx masking layer is disposed between a first u-GaN nitride semiconductor layer and a second u-GaN nitride semiconductor layer, the x N intermediate layer is arranged - Al x Ga 1 between the u-GaN third nitride semiconductor layer. An n-GaN layer may be provided on the third nitride semiconductor layer.

도 3b의 OM(Optical microscope) 이미지를 참조하면, 중간층(27) 상에 제3 질화물 반도체층(28)을 두께 4um 이상, Si 도핑농도를 3E18cm-3 이상을 사용하더라도 냉각시 전체 구조에 크랙이 발생되지 않으며, 결함밀도 측정시 5.3E8cm- 2 로 낮은 수준을 유지할 수 있다. 이는 SiNx 마스킹 층을 통해 중간층에 도달하는 관통 전위의 밀도를 사전에 감소시켜, 중간층에 의해 인가된 압축 응력의 완화(relaxation)를 지연시키거나 감소시킴으로써 크랙의 발생을 방지할 수 있음을 보여준다.Referring to the OM (Optical Microscope) image of FIG. 3B, even if the third nitride semiconductor layer 28 is formed on the intermediate layer 27 with a thickness of 4 μm or more and the Si doping concentration is 3E18 cm -3 or more, And the defect density can be kept as low as 5.3E8 cm - 2 when measuring the defect density. This shows in advance that the density of the threading dislocations reaching the intermediate layer through the SiNx masking layer is reduced to prevent the occurrence of cracks by retarding or reducing the relaxation of the compressive stress applied by the intermediate layer.

한편, u-GaN 제1 질화물 반도체층상에 마스킹층(SiNx) 만을 구비한 구조에서, 제2 질화물 반도체층으로 u-GaN을, 제3 질화물 반도체층으로 n-GaN을 사용하는 경우, 마스킹층에 의해 결함밀도가 3.1E8cm-2 수준으로 감소하였으나 마스킹층 상의 아일랜드 합체시 발생하는 인장응력과 Si n-doping이 증가할 수록 발생하는 변위 밴딩(dislocation bending) 등에 의한 인장응력에 의해 박막성장후 냉각시 크랙이 발생할 수 있다.On the other hand, when u-GaN is used for the second nitride semiconductor layer and n-GaN is used for the third nitride semiconductor layer in the structure including only the masking layer (SiNx) on the u-GaN first nitride semiconductor layer, The defect density decreased to 3.1E8 cm -2. However, due to the tensile stress caused by the dislocation bending due to the increase of the tensile stress and the Si n-doping occurring on the islanding phase on the masking layer, Cracks can occur.

또는, 마스킹층 없이 두 층의 질화물 반도체층(nGaN과 uGaN) 사이에 중간층(AlxGa1-xN)만을 구비한 구조에서는 결함 밀도가 7.7E8cm-2 정도로 높게 관찰된다. 결함 밀도가 높게 나타나는 것은 마스킹 층에 의한 결함 감소 효과가 없기 때문일 수 있다. 하지만, 중간층에 의한 압축응력 인가 효과에 의해 박막 표면에 크랙이 발생되지는 않는다.Alternatively, in the structure including only the intermediate layer (Al x Ga 1-x N) between the two nitride semiconductor layers (n GaN and u GaN) without the masking layer, the defect density is as high as about 7.7E8 cm -2 . The reason why the defect density is high may be that there is no defect reduction effect due to the masking layer. However, cracks are not generated on the surface of the thin film due to the compressive stress application effect of the intermediate layer.

상기 반도체 적층 구조에서는 핵성장층으로 AlN층을, 그리고 버퍼층으로 스텝 그레이드 (step-graded) AlxGa1 - XN(g-AlxGa1 - XN)을 사용하였다.In the semiconductor multilayer structure, an AlN layer as a core layer growth, and the buffer layer to the step grade (step-graded) Al x Ga 1 - was used - X N (X N g- Al x Ga 1).

중간층만 구비한 구조의 OM 사진에서는 박막 표면에는 크랙이 관찰되지 않으나 박막 내부에 해치(hatch) 형태의 내부 크랙이 확인되는 것과는 달리, 도 3b에서는 이러한 내부 크랙이 관찰되지 않는다. 결론적으로, 중간층 삽입 이전의 관통 전위를 마스킹층을 통해 효과적으로 줄여 중간층에 의한 응력 보상 효과를 증가시킴으로써 결함 밀도도 줄이고, 인장 응력도 줄일 수 있다. 여기서, 마스킹층이 알루미늄 함유 핵성장층 내지는 버퍼층상에 형성될 경우 결함밀도 감소를 기대할 수 있으나, 본 발명의 실시예에서와 같이 마스킹층이 질화물 반도체층 상에 형성되는 경우 결함밀도 감소가 더 증대될 수 있다. 마스킹층이 버퍼층 상에 구비되는 경우, 버퍼층과 질화물 반도체층 사이에 발생하는 변위 밴딩(dislocation bending) 효과를 이용할 수 없기 때문에, 중간층 이전 단계에서 결함밀도를 충분히 감소시키기 어렵다. 이러한 구조에서는 중간층을 삽입하게 되더라도 충분한 응력 보상(stress compensation) 효과를 얻기 힘들다. 따라서, 응력 보상을 위해 다층의 중간층이 요구될 수 있다. 하지만, 이러한 경우 다층의 중간층에 의한 결함 재생성 및 결정성 저하를 초래할 수 있다. 따라서, 마스킹층에 의해 감소된 결함이 다시 증가될 수 있으며, 이를 보완하기 위해 최종적으로 마스킹층을 더 구비할 것이 요구될 수 있다. 하지만, 최종 마스킹층 상에 성장되는 질화물 질화물 반도체층의 두께는 제한을 받을 수밖에 없으며, 따라서 n형 전도성 질화물 반도체층의 도핑농도 및 두께가 감소될 수 있다. 이와 같이, 마스킹층과 중간층을 구비한다 하더라도 마스킹층이 버퍼층 위에 구비되는 경우 결함 밀도 감소와 인장 응력 보상 양쪽을 만족시키는 것이 어렵다.  In the OM photograph of the structure having only the middle layer, cracks are not observed on the surface of the thin film, but internal cracks in the form of hatches are observed inside the thin film, but such internal cracks are not observed in FIG. As a result, by effectively reducing the threading dislocations before the interlayer insertion through the masking layer to increase the stress compensation effect by the interlayer, the defect density can be reduced and the tensile stress can be reduced. Here, a decrease in defect density can be expected when the masking layer is formed on the aluminum-containing nucleation layer or the buffer layer. However, when the masking layer is formed on the nitride semiconductor layer as in the embodiment of the present invention, . When the masking layer is provided on the buffer layer, it is difficult to sufficiently reduce the defect density in the previous step of the interlayer since the dislocation bending effect occurring between the buffer layer and the nitride semiconductor layer can not be utilized. In this structure, it is difficult to obtain a sufficient stress compensation effect even if the intermediate layer is inserted. Therefore, a multi-layered intermediate layer may be required for stress compensation. However, in such a case, defects may be regenerated and crystallinity may be deteriorated by the multilayer intermediate layer. Therefore, defects reduced by the masking layer can be increased again, and it may be required to finally provide a masking layer in order to compensate for the defect. However, the thickness of the nitride nitride semiconductor layer to be grown on the final masking layer must be limited, and therefore the doping concentration and thickness of the n-type conductive nitride semiconductor layer can be reduced. In this way, even if the masking layer and the intermediate layer are provided, it is difficult to satisfy both the reduction of the defect density and the compensation of the tensile stress when the masking layer is provided on the buffer layer.

한편, 이하에서 사용되는 기판, 버퍼층, 질화물 반도체층은 도 1a, 도 1b, 도 2a, 도 2b를 참조하여 설명한 것과 실질적으로 동일하므로 상세한 설명을 생략하기로 한다. Meanwhile, the substrate, the buffer layer and the nitride semiconductor layer used below are substantially the same as those described with reference to Figs. 1A, 1B, 2A, and 2B, and therefore detailed description thereof will be omitted.

도 4는 본 발명의 다른 측면에 따른 반도체 소자(30)를 도시한 것이다. 도 4에 도시된 반도체 소자(30)는 기판(31) 위에 복수 개의 질화물 반도체층을 포함하고, 복수 개의 질화물 반도체층 사이에 마스킹층과 중간층이 한쌍 또는 여러 쌍 교대로 배열될 수 있다. 상기 반도체 소자(30)는 기판(31) 상에 적어도 하나의 버퍼층(32)(33)과, 그 위에 복수 개의 질화물 반도체층을 포함할 수 있다. 복수 개의 질화물 반도체층은 제1 내지 제5질화물 반도체층(34)(36)(38)(40)(42)을 포함할 수 있다. 상기 제1질화물 반도체층(34)과 제2질화물 반도체층(36) 사이에 제1마스킹층(35)이 구비되고, 제2질화물 반도체층(36)과 제3질화물 반도체층(38) 사이에 제1중간층(37)이 구비되고, 제3질화물 반도체층(38)과 제4질화물 반도체층(40) 사이에 제2마스킹층(39)이 구비되고, 제4질화물 반도체층(40)과 제5질화물 반도체층(42) 사이에 제2중간층(41)이 구비될 수 있다. 예를 들어, SiNx 마스킹 층과 AlxGa1-xN 중간층으로 이루어진 쌍을 2회 반복하여 적층한 경우, 두 번의 마스킹 효과에 의해 결함밀도가 더 감소하고 결정성이 더욱 증가될 수 있다. 예를 들어, 결함밀도가 3E8/cm2 이하로 감소될 수 있으며, XRD GaN (002) 및 (102) peak 의 반치폭은 각각 230 arcsec, 310 arcsec 이하의 결정성을 가질 수 있다. 여기서, SiNx 마스킹 층과 AlxGa1-xN 중간층을 반복시킬 경우 보다 낮은 결함밀도의 질화물 반도체층을 얻을 수 있다.4 shows a semiconductor device 30 according to another aspect of the present invention. The semiconductor device 30 shown in FIG. 4 includes a plurality of nitride semiconductor layers on a substrate 31, and one or more pairs of a masking layer and an intermediate layer may be alternately arranged between the plurality of nitride semiconductor layers. The semiconductor device 30 may include at least one buffer layer 32 and 33 on the substrate 31 and a plurality of nitride semiconductor layers thereon. The plurality of nitride semiconductor layers may include first to fifth nitride semiconductor layers 34, 36, 38, 40, and 42. A first masking layer 35 is provided between the first nitride semiconductor layer 34 and the second nitride semiconductor layer 36 and a second masking layer 35 is formed between the second nitride semiconductor layer 36 and the third nitride semiconductor layer 38 A second intermediate layer 37 is provided and a second masking layer 39 is provided between the third and fourth nitride semiconductor layers 38 and 40. The fourth and fifth nitride semiconductor layers 40 and 40 And the second intermediate layer 41 may be provided between the fifth nitride semiconductor layers 42. For example, when a pair of the SiNx masking layer and the AlxGa1-xN intermediate layer is repeatedly laminated twice, the defect density can be further reduced and the crystallinity can be further increased by the two masking effects. For example, the defect density can be reduced to 3E8 / cm 2 or less, and the half widths of the XRD GaN (002) and (102) peaks can have a crystallinity of 230 arcsec and 310 arcsec or less, respectively. Here, when the SiNx masking layer and the AlxGa1-xN intermediate layer are repeated, a nitride semiconductor layer with a lower defect density can be obtained.

도 5에 도시된 반도체 소자(50)는 기판(51) 위에 적어도 하나의 버퍼층(52)(53)이 구비되고, 상기 적어도 하나의 버퍼층(52)(53) 위에 제1질화물 반도체층(54)이 구비되고, 상기 제1질화물 반도체층(54) 위에 마스킹층(55)이 구비될 수 있다. 상기 적어도 하나의 버퍼층(52)(53)은 선택적일 수 있으며, 상기 적어도 하나의 버퍼층은 핵성장층을 포함할 수 있다. 그리고, 상기 마스킹층(55) 위에 복수 개의 중간층이 구비될 수 있다. 상기 복수 개의 중간층은 제1 내지 제3 중간층(57)(59)(61)을 포함할 수 있다. 상기 마스킹층(55) 위에 제2질화물 반도체층(56)이 구비되고, 상기 제2질화물 반도체층(56) 위에 제1중간층(57), 제3질화물 반도체층(58), 제2중간층(59), 제4질화물 반도체층(60), 제3중간층(61) 및 제5질화물 반도체층(62)이 구비될 수 있다. 도 5에서는 한 쌍의 마스킹층과 중간층 위에 적어도 하나의 중간층을 더 구비한 예를 도시한 것이다. 5 includes at least one buffer layer 52 and 53 on a substrate 51 and a first nitride semiconductor layer 54 on the at least one buffer layer 52 and 53, And a masking layer 55 may be provided on the first nitride semiconductor layer 54. The at least one buffer layer 52 and 53 may be optional, and the at least one buffer layer may include a nucleation layer. A plurality of intermediate layers may be provided on the masking layer 55. The plurality of intermediate layers may include first to third intermediate layers 57, 59 and 61. A second nitride semiconductor layer 56 is provided on the masking layer 55 and a first intermediate layer 57, a third nitride semiconductor layer 58 and a second intermediate layer 59 , A fourth nitride semiconductor layer 60, a third intermediate layer 61, and a fifth nitride semiconductor layer 62 may be provided. FIG. 5 shows an example in which a pair of masking layers and at least one intermediate layer are further provided on the intermediate layer.

도 6에 도시된 반도체 소자(70)는 기판(71) 위에 적어도 하나의 버퍼층(72)(73)이 구비되고, 상기 적어도 하나의 버퍼층(72)(73) 위에 제1질화물 반도체층(74)이 구비될 수 있다. 상기 제1질화물 반도체층(74) 위에 제1중간층(75)이 구비되고, 상기 제1중간층(75) 위에 제2질화물 반도체층(76), 마스킹층(77), 제3질화물 반도체층(78), 제2중간층(79) 및 제4질화물 반도체층(80)이 구비될 수 있다. 도 6에서는 한 쌍의 마스킹층과 중간층 아래 적어도 하나의 중간층을 더 구비한 예를 도시한 것이다. 여기서, 마스킹층(77) 아래 하나의 중간층이 구비된 예를 도시하였지만, 복수 개의 중간층이 더 구비될 수 있다. 6 includes at least one buffer layer 72 and 73 on a substrate 71 and a first nitride semiconductor layer 74 on the at least one buffer layer 72 and 73, . A first intermediate layer 75 is provided on the first nitride semiconductor layer 74 and a second nitride semiconductor layer 76, a masking layer 77 and a third nitride semiconductor layer 78 ), A second intermediate layer 79, and a fourth nitride semiconductor layer 80 may be provided. FIG. 6 shows an example in which a pair of masking layers and at least one intermediate layer below the intermediate layer are further provided. Here, although one intermediate layer is provided below the masking layer 77, a plurality of intermediate layers may be further provided.

상기 마스킹층(77) 이전에 중간층을 하나 이상 구비함으로써 압축응력을 재생(refresh)하여 압축응력을 증가시킬 수 있으므로 버퍼층의 역할을 완전히 또는 부분적으로 대신할 수 있다. 그러므로, 상기 적어도 하나의 버퍼층(72)(73)은 선택적일 수 있다. 도 6에 도시된 반도체 소자(70)에서는 예를 들어 비교적 성장속도가 느린 알루미늄 함유 버퍼층의 사용을 최소화할 수 있어 생산성(throughput)을 향상시킬 수 있다. By providing at least one intermediate layer before the masking layer 77, the compressive stress can be refreshed to increase the compressive stress, thereby completely or partially replacing the role of the buffer layer. Therefore, the at least one buffer layer 72, 73 may be optional. In the semiconductor device 70 shown in Fig. 6, for example, the use of the aluminum-containing buffer layer having a relatively slow growth rate can be minimized, and the throughput can be improved.

도 7에 도시된 반도체 소자(100)은 기판(101) 상부에 복수 개의 질화물 반도체층 사이에 적어도 하나의 마스킹층이 삽입된 제1영역(110)과, 복수 개의 질화물 반도체층 사이에 적어도 하나의 중간층이 삽입된 제2영역(120)을 포함할 수 있다. 상기 기판(101)과 제1영역(110) 사이에는 적어도 하나의 버퍼층(102)(103)이 구비될 수 있다. The semiconductor device 100 shown in FIG. 7 includes a first region 110 in which at least one masking layer is interposed between a plurality of nitride semiconductor layers, and a second region 110 in which at least one And a second region 120 in which an intermediate layer is inserted. At least one buffer layer 102 (103) may be provided between the substrate 101 and the first region 110.

예를 들어, 상기 제1영역(110)은 제1질화물 반도체층(111a), 제1마스킹층(112a), 제2질화물 반도체층(111b), 제2마스킹층(112b), 제3질화물 반도체층(111c) 및 제3마스킹층(112c)을 포함할 수 있다. 상기 제2영역(120)은 제4질화물 반도체층(121a), 제1중간층(122a), 제5질화물 반도체층(121b), 제2중간층(122b), 제6질화물 반도체층(121c) 및 제3중간층(122c)을 포함할 수 있다. 하지만, 여기에 한정되는 것은 아니고, 마스킹층이 두 개, 중간층이 두 개로 구비되거나, 마스킹층이 하나, 중간층이 두 개로 구비되는 것도 가능하다. For example, the first region 110 may include a first nitride semiconductor layer 111a, a first masking layer 112a, a second nitride semiconductor layer 111b, a second masking layer 112b, A layer 111c and a third masking layer 112c. The second region 120 includes a fourth nitride semiconductor layer 121a, a first intermediate layer 122a, a fifth nitride semiconductor layer 121b, a second intermediate layer 122b, a sixth nitride semiconductor layer 121c, 3 intermediate layer 122c. However, the present invention is not limited thereto, and it is also possible to provide two masking layers, two intermediate layers, one masking layer, and two intermediate layers.

그리고, 상기 제1영역(110)과 제2영역(120)이 1회 이상 교대로 적층될 수 있다. 최종적으로 적층된 제2영역(120) 위에 제7질화물 반도체층(150)이 더 구비되며, 상기 제7질화물 반도체층(150)은 n형 또는 p형으로 도핑될 수 있다. 또는, 상기 제7질화물 반도체층(150)은 언도핑된 층과 도핑된 층의 2층 구조를 가질 수 있다.  The first region 110 and the second region 120 may be alternately stacked one or more times. The seventh nitride semiconductor layer 150 may be further formed on the finally deposited second region 120 and the seventh nitride semiconductor layer 150 may be doped n or p. Alternatively, the seventh nitride semiconductor layer 150 may have a two-layer structure of an undoped layer and a doped layer.

한편, 본 발명의 실시예에 따른 반도체 소자에 사용되는 중간층의 조성을 조절하여 마스킹층에 의해 감소된 결함 밀도를 유지하면서도 중간층에서 유발될 수 있는 압축 응력 완화를 감소시키거나 저지할 수 있다. 이에 대해서는 도 2b에 도시된 반도체 소자(20)를 예로 들어 설명한다. 중간층(27)은 제3 질화물 반도체층(28)에 압축응력을 인가하기 위해서 제3 질화물 반도체층(28)과 큰 격자 불일치를 가질 수 있다. 예를 들어, 제3 질화물 반도체층(28)이 질화갈륨(GaN)으로 형성되고, 중간층(27)이 AlxGa1 - xN으로 형성될 수 있다. 상기 중간층(27)은 완화(relaxation)된 격자 크기를 가지기 위해 10-100nm 범위의 두께를 가질 수 있다. 중간층(27)의 두께가 너무 얇은 경우 중간층(27) 격자크기의 완화(relaxation)가 잘 일어나지 않아 이후 성장되는 상부 질화물 반도체층에 격자 차이에 의한 압축 응력을 효과적으로 인가할 수 없으며, 반대로 중간층의 두께가 너무 두꺼운 경우 중간층 자체가 하부 질화물 반도체층에 의해 인장응력을 받게 되어 크랙이 형성될 수 있다. 한편, 중간층의 Al 조성을 변화시켜 격자 불일치로 인한 압축 응력 완화를 조절할 수 있다. Al 조성이 지나치게 많아서 중간층에 의해 과도한 격자 불일치가 일어나는 경우, 응력(stress)을 완화(relaxation)시키기 위해 변위(dislocation)가 발생될 수 있다. 이 경우, 마스킹 층에 의한 결함 감소 효과가 상쇄될 수 있다. Meanwhile, the composition of the intermediate layer used in the semiconductor device according to the embodiment of the present invention can be adjusted to reduce or prevent the compressive stress relaxation that can be caused in the intermediate layer while maintaining the reduced defect density by the masking layer. This will be described by taking the semiconductor element 20 shown in FIG. 2B as an example. The intermediate layer 27 may have a large lattice mismatch with the third nitride semiconductor layer 28 to apply compressive stress to the third nitride semiconductor layer 28. For example, the third nitride semiconductor layer 28 may be formed of gallium nitride (GaN), and the intermediate layer 27 may be formed of Al x Ga 1 - x N. The intermediate layer 27 may have a thickness in the range of 10-100 nm to have a relaxed grating size. When the thickness of the intermediate layer 27 is too thin, the relaxation of the lattice size of the intermediate layer 27 is not likely to occur, so that the compressive stress due to the lattice difference can not be effectively applied to the upper nitride semiconductor layer to be grown thereafter. Is too thick, the intermediate layer itself is subjected to tensile stress by the lower nitride semiconductor layer, and a crack can be formed. On the other hand, it is possible to control the compressive stress relaxation due to the lattice mismatch by changing the Al composition of the intermediate layer. If the Al composition is too high to cause excessive lattice mismatch by the intermediate layer, dislocations may occur to relax the stress. In this case, the effect of reducing the defect by the masking layer can be canceled.

도 8a 내지 도 8c는 AlxGa1-xN 중간층의 Al 조성을 변화시킬 때 GaN 반도체층에서 발생된 크랙을 보인 OM 이미지이다. 도 8a는 AlGaN 중간층이 40%의 Al 조성을 가질 때, AlGaN 중간층은 충분한 압축응력을 인가할 수 없어 크랙이 발생됨을 보인 것이다. 반면에 결함 밀도는 ~ 5E18cm-2 수준으로 마스킹층만 사용한 구조에 비해 크게 증가하지 않았다. 도 8b는 AlGaN 중간층이 60%의 Al 조성을 가질 때, 크랙이 발생되지 않음을 보인 것이다. 이때의 결함 밀도는 ~ 5E18cm-2 수준을 유지한다. 도 8c는 100% Al 조성의 AlN를 중간층으로 사용할 경우, 결함 밀도가 low E9/cm2 정도로 증가하고, 이로 인해 압축응력이 완화되어 크랙 또한 발생함을 보인다. 8A to 8C are OM images showing cracks generated in the GaN semiconductor layer when the Al composition of the AlxGa1-xN intermediate layer is changed. 8A shows that when the AlGaN intermediate layer has an Al composition of 40%, the AlGaN intermediate layer can not apply a sufficient compressive stress and cracks are generated. On the other hand, the defect density was ~ 5E18 cm -2, which was not significantly increased compared with the structure using only the masking layer. FIG. 8B shows that cracks are not generated when the AlGaN intermediate layer has an Al composition of 60%. At this time, the defect density is maintained at ~ 5E18 cm -2 . FIG. 8C shows that when AlN having a 100% Al composition is used as the intermediate layer, the defect density increases to as low as about E9 / cm 2 , thereby relaxing the compressive stress and generating a crack.

상기 결과에 따르면, 응력 보상(stress compensation) 효과를 보임과 동시에 변위 생성(dislocation generation)이 억제될 수 있는 Al 조성이 존재함을 알 수 있다. 예를 들어, AlxGa1-xN 중간층은 0.4 < x < 1 범위를 가질 수 있다. 또한, AlxGa1-xN 중간층은 10~100nm 범위의 두께를 가질 수 있다. 이러한 결과에 따르면, 예를 들어 기판 위에 적어도 하나의 버퍼층과, 마스킹층과, 질화물 반도체층과 AlxGa1-xN 중간층(0.4 < x < 1)을 포함하는 구조에서도 마스킹층에 의한 결함밀도 감소를 유지하면서 중간층에 의한 응력 보상 효과를 얻을 수 있다.According to the above results, it can be seen that there is an Al composition which can exhibit a stress compensation effect and at the same time inhibit dislocation generation. For example, the AlxGa1-xN intermediate layer may have a range of 0.4 < x < 1. The AlxGa1-xN intermediate layer may have a thickness in the range of 10 to 100 nm. According to these results, for example, even in a structure including at least one buffer layer, a masking layer, a nitride semiconductor layer and an AlxGa1-xN intermediate layer (0.4 < x < 1) on a substrate while maintaining a reduction in defect density due to the masking layer The stress compensation effect by the intermediate layer can be obtained.

본 발명의 실시예에 따른 반도체 소자에서는 질화물 반도체층 상에 마스킹층이 배치되고, 이러한 구조에서는 제1 질화물 반도체층의 관통 전위를 제2 질화물 반도체층에 전달하기 어렵게 되어 결함밀도를 감소시킬 수 있으며, 이후 중간층에 의한 압축응력의 인가를 더욱 용이하게 할 수 있다.In the semiconductor device according to the embodiment of the present invention, the masking layer is disposed on the nitride semiconductor layer. In this structure, it is difficult to transmit the threading dislocation of the first nitride semiconductor layer to the second nitride semiconductor layer, thereby reducing the defect density , And then the application of the compressive stress by the intermediate layer can be further facilitated.

본 발명의 실시예에서는 마스킹층 이전에 버퍼층과 제1질화물 반도체층의 계면에서 결함밀도를 감소시킬 수 있으며, 마스킹층을 제1질화물 반도체층 상에 형성시켜 결함밀도 감소를 강화할 수 있다. 중간층 이 전에 결함밀도를 충분히 감소시킴으로써 중간층의 사용으로 인해 결함밀도가 증가된다 하더라도 마스킹층에 의한 결함밀도 감소 효과를 유지하거나 적게 할 수 있다. 그리고, 중간층에 의해 인장 응력을 보상하여 크랙의 발생을 방지할 수 있다. 또한, 중간층의 조성과 두께를 조절하여 중간층에 의한 결함 재생성을 감소시키거나 제거함으로써, 한 개의 중간층만을 사용하는 구조에서도 크랙 없이 낮은 결함밀도를 가지는 질화물 반도체층을 성장할 수 있다. 예를 들어, 도 2b에 도시된 반도체 소자(20)에서는 중간층 상에 4E8/cm2 이하의 결함밀도와 3.4um 이상의 두께 및 4E18/cm3 이상의 n 도핑농도를 만족시키는 질화물 반도체층을 크랙 없이 형성할 수 있다. 또한, 이때 XRD GaN(002) 및 (102) peak 의 반치폭은 각각 280 arcsec, 350 arcsec 이하의 특성을 보였다. In the embodiment of the present invention, the defect density can be reduced at the interface between the buffer layer and the first nitride semiconductor layer before the masking layer, and the masking layer can be formed on the first nitride semiconductor layer to enhance the reduction in defect density. Even if the defect density is increased due to the use of the intermediate layer by sufficiently reducing the defect density before the intermediate layer, the effect of reducing the defect density by the masking layer can be maintained or reduced. Further, tensile stress can be compensated by the intermediate layer to prevent the occurrence of cracks. Further, by regulating the composition and thickness of the intermediate layer to reduce or eliminate defect regeneration caused by the intermediate layer, a nitride semiconductor layer having a low defect density without cracks can be grown even in a structure using only one intermediate layer. For example, the semiconductor element (20), without cracks the nitride semiconductor layer satisfying the 4E8 / cm 2 or less defect density and thickness and 4E18 / cm 3 or more n doping concentration of at least 3.4um to the intermediate layer shown in Fig. 2b can do. At this time, the half widths of the XRD GaN (002) and (102) peaks were 280 arcsec and 350 arcsec or less, respectively.

본 발명의 실시예에 따른 반도체 소자는 실리콘 기판 또는 실리콘 카바이드 기판에 질화물 반도체층을 성장시 격자 결함과 인장 응력을 함께 감소시킴으로써 원하는 두께로 질화물 반도체층을 성장할 수 있다. 그리고, 실리콘 기판 또는 실리콘 카바이드 기판을 사용하여 대구경의 웨이퍼 제작이 가능하게 된다. 본 발명의 실시예에 따른 반도체 소자는 발광 소자(Light emitting diode), 쇼트키 다이오드, 레이저 다이오드, 전계 효과 트랜지스터(Field Effect Transistor) 또는 파워 디바이스(power device) 등에 적용될 수 있다. The semiconductor device according to the embodiment of the present invention can grow the nitride semiconductor layer to a desired thickness by reducing both lattice defects and tensile stress when the nitride semiconductor layer is grown on the silicon substrate or the silicon carbide substrate. It is also possible to manufacture wafers with a large diameter by using a silicon substrate or a silicon carbide substrate. A semiconductor device according to an embodiment of the present invention may be applied to a light emitting diode, a Schottky diode, a laser diode, a field effect transistor, a power device, or the like.

도 9는 본 발명의 실시예에 따른 반도체 소자가 발광 소자에 적용된 예를 도시한 것이다. 도 9에 도시된 반도체 소자(200)는 기판(221) 상에 복수 개의 질화물 반도체층과, 상기 복수 개의 질화물 반도체층 사이에 적어도 하나의 마스킹층과, 상기 마스킹층 상부에 적어도 하나의 중간층을 포함할 수 있다. 예를 들어, 상기 복수 개의 질화물 반도체층은 제1질화물 반도체층(224), 제2질화물 반도체층(226), 제3질화물 반도체층(228)을 포함할 수 있다. 상기 제1질화물 반도체층(224)과 제2질화물 반도체층(226) 사이에 마스킹 층(225)이 배치되고, 제2질화물 반도체층(226)과 제3질화물 반도체층(228) 사이에 중간층(227)이 배치될 수 있다. 상기 제3질화물 반도체층(228)은 제1형으로 도핑될 수 있으며, 예를 들어 n형으로 도핑될 수 있다. 상기 제1질화물 반도체층(224), 제2질화물 반도체층(226)은 언도핑 또는 도핑을 선택적으로 할 수 있다. 상기 제3질화물 반도체층(228) 상에 활성층(229)이 구비되고, 상기 활성층(229) 위에 제4질화물 반도체층(230)이 구비될 수 있다. 상기 제4질화물 반도체층(230)은 제2형으로 도핑될 수 있으며, 예를 들어 p형으로 도핑될 수 있다. 9 illustrates an example in which a semiconductor device according to an embodiment of the present invention is applied to a light emitting device. 9 includes a plurality of nitride semiconductor layers on a substrate 221, at least one masking layer between the plurality of nitride semiconductor layers, and at least one intermediate layer on top of the masking layer can do. For example, the plurality of nitride semiconductor layers may include a first nitride semiconductor layer 224, a second nitride semiconductor layer 226, and a third nitride semiconductor layer 228. A masking layer 225 is disposed between the first and second nitride semiconductor layers 224 and 226 and an intermediate layer is formed between the second and third nitride semiconductor layers 226 and 228. [ 227 may be disposed. The third nitride semiconductor layer 228 may be doped to a first type, for example, n-type. The first and second nitride semiconductor layers 224 and 226 may be either undoped or doped. An active layer 229 may be formed on the third nitride semiconductor layer 228 and a fourth nitride semiconductor layer 230 may be formed on the active layer 229. [ The fourth nitride semiconductor layer 230 may be doped to a second type, for example, p-type.

상기 기판(221)과 제1질화물 반도체층(224) 사이에 적어도 하나의 버퍼층이 구비될 수 있다. 상기 적어도 하나의 버퍼층은 예를 들어 제1버퍼층(222)과 제2버퍼층(223)을 포함할 수 있다. 한편, 상기 기판(221)은 반도체 소자의 제작 중 또는 제작 후에 제거될 수 있다. 또는, 상기 기판(221), 제1버퍼층(222), 제2버퍼층(223)이 같이 제거될 수 있다.At least one buffer layer may be provided between the substrate 221 and the first nitride semiconductor layer 224. The at least one buffer layer may include, for example, a first buffer layer 222 and a second buffer layer 223. Meanwhile, the substrate 221 may be removed during or after fabricating the semiconductor device. Alternatively, the substrate 221, the first buffer layer 222, and the second buffer layer 223 may be removed together.

도 10은 실시예에 따른 반도체 소자의 개략적인 구성을 보인다. 반도체 소자(300)는 실리콘계 기판(310), 버퍼층(340), 질화물 적층체(350)를 포함할 수 있다.  10 shows a schematic configuration of a semiconductor device according to an embodiment. The semiconductor device 300 may include a silicon-based substrate 310, a buffer layer 340, and a nitride layered structure 350.

상기 실리콘계 기판(310)은 기판에 p형 불순물이 고농도로 도핑되어 이루어지며, 기판으로는, 실리콘 기판 또는 실리콘 카바이드 기판이 채용될 수 있다. 상기 실리콘계 기판(310)으로는, 예를 들어, p형 불순물이 고농도로 도핑된 형태의 웨이퍼를 사용할 수 있다. 또는, p형 불순물이 도핑되지 않거나, 저농도로 도핑된 실리콘 웨이퍼를 구매하여 임플란테이션 등의 공정으로 p형 불순물을 도핑하는 공정에 의해 p형 불순물을 고농도로 도핑 시킨 후 사용할 수 있다. p형 불순물로는 예를 들어, B, Al, Mg, Ca, Zn, Cd, Hg, Ga 등이 사용될 수 있다. 도핑 농도는 대략 1017/cm3 이상이 될 수 있으며, 불순물의 종류에 따라 다를 수 있다. 예를 들어, p형 불순물이 보론(B)인 경우, 도핑 농도는 대략 5×1017/cm3 내지 1020/cm3 범위가 될 수 있다. 또는, 도핑 농도는 대략 1018/cm3 내지 5×1019/cm3의 범위가 될 수 있다. 도핑 농도가 5×1017/cm3 보다 낮을 경우, 보우 감소 효과를 보이기 어려우며, 도핑 농도가 1020/cm3를 넘을 경우, 단결정 형태로 실리콘 기판을 형성하기 어렵다. 또는, 실리콘계 기판(310)의 비저항이 1Ωcm 이하가 되도록 도핑 농도를 정할 수 있다. The silicon substrate 310 is formed by doping a substrate with a high concentration of p-type impurities. As the substrate, a silicon substrate or a silicon carbide substrate may be employed. As the silicon-based substrate 310, for example, a wafer in which a p-type impurity is highly doped can be used. Alternatively, the p-type impurity is not doped, or a silicon wafer which is doped at a low concentration is purchased and doped with a p-type impurity by a process such as implantation, and then the p-type impurity is doped at a high concentration. As the p-type impurity, for example, B, Al, Mg, Ca, Zn, Cd, Hg, Ga and the like can be used. The doping concentration may be about 10 &lt; 17 &gt; / cm &lt; 3 &gt; or more and may be different depending on the kind of the impurity. For example, when the p-type impurity is boron (B), the doping concentration is approximately 5 × 10 17 / cm 3 To about 10 20 / cm 3 Range. &Lt; / RTI &gt; Alternatively, the doping concentration is approximately 10 18 / cm 3 To 5 x 10 &lt; 19 &gt; / cm &lt; 3 &gt;. The doping concentration was 5 × 10 17 / cm 3 It is difficult to show a bow reduction effect. When the doping concentration exceeds 10 20 / cm 3 , it is difficult to form a silicon substrate in the form of a single crystal. Alternatively, the doping concentration can be determined so that the specific resistance of the silicon-based substrate 310 is 1 cm m or less.

버퍼층(340)은 이종 기판에서 성장하게 되는 질화물 적층체(350)의 박막 품질 확보를 위해 마련되는 것으로, 실리콘계 기판(310)과 질화물 적층체(350) 사이의 격자 상수 불일치로 인한 전위(dislocation)를 줄이고, 열팽창 계수의 불일치로 인해 발생되는 크랙 생성을 억제하기 위해 구비될 수 있다. 상기 버퍼층(340)은 적어도 하나의 버퍼층을 포함할 수 있으며, 핵성장층으로 작용하는 층을 구비할 수 있다. 버퍼층(340)으로는 AlN, SiC, Al2O3, AlGaN, AlInGaN, AlInBGaN, AlBGaN, GaN, XY의 단층 또는 이들의 조합으로 이루어진 다층 구조가 채용될 수 있다. 상기 X는 Ti, Cr, Zr, Hf, Nb 또는 Ta이며, 상기 Y는 질소(N) 또는 보론(B, B2)일 수 있다. The buffer layer 340 is provided for securing the thin film quality of the nitride layered body 350 to be grown on the dissimilar substrate and is dislocated due to lattice constant mismatch between the silicon based substrate 310 and the nitride layered body 350. [ And to suppress generation of cracks due to inconsistency of the thermal expansion coefficient. The buffer layer 340 may include at least one buffer layer, and may have a layer acting as a nucleation layer. As the buffer layer 340, a multi-layer structure of AlN, SiC, Al 2 O 3 , AlGaN, AlInGaN, AlInBGaN, AlBGaN, GaN, XY or a combination thereof may be employed. X may be Ti, Cr, Zr, Hf, Nb or Ta, and Y may be nitrogen (N) or boron (B, B 2 ).

질화물 적층체(350)는 GaN 기반의 화합물 반도체층을 적어도 하나 포함할 수 있다. 질화물 적층체(350)는 예를 들어, 복수 개의 질화물 반도체층을 포함할 수 있다. 상기 질화물 적층체(350)는 복수 개의 질화물 반도체층과, 복수 개의 질화물 반도체층 사이에 구비된 적어도 하나의 마스킹층, 복수 개의 질화물 반도체층 사이에 구비된 적어도 하나의 중간층을 포함할 수 있다. 상기 질화물 적층체(350)는 예를 들어, 도 1b에 도시된 구조를 가질 수 있다. 하지만, 여기에 한정되는 것은 아니며 다양한 질화물 적층 구조를 가질 수 있다. The nitride layered structure 350 may include at least one GaN-based compound semiconductor layer. The nitride layered structure 350 may include, for example, a plurality of nitride semiconductor layers. The nitride layered structure 350 may include a plurality of nitride semiconductor layers, at least one masking layer provided between the plurality of nitride semiconductor layers, and at least one intermediate layer provided between the plurality of nitride semiconductor layers. The nitride layered structure 350 may have the structure shown in, for example, FIG. 1B. However, it is not limited thereto and may have various nitride laminated structures.

상기 질화물 반도체층은 예를 들어 클래드층을 포함할 수 있다. 또는, 상기 질화물 반도체층은 n형 불순물로 도핑된 n-GaN 또는 p형 불순물로 도핑된 p-GaN을 포함할 수 있다. 또는, 불순물 도핑되지 않은 u-GaN을 포함 할 수 있다. 질화물 반도체층이 소정 불순물로 도핑된 경우, 반도체 소자(300)가 발광 소자(Light emitting device)를 형성하기 위한 템플릿으로 사용될 수 있다. 질화물 반도체층이 도핑되지 않은 경우, 파워 소자(power device)를 형성하기 위한 템플릿으로 사용할 수 있으며, 또한, 발광소자를 형성하기 위한 템플릿으로도 사용될 수 있다.The nitride semiconductor layer may include, for example, a cladding layer. Alternatively, the nitride semiconductor layer may include n-GaN doped with an n-type impurity or p-GaN doped with a p-type impurity. Or u-GaN that is not doped with the impurity. When the nitride semiconductor layer is doped with a predetermined impurity, the semiconductor device 300 can be used as a template for forming a light emitting device. When the nitride semiconductor layer is not doped, it can be used as a template for forming a power device and can also be used as a template for forming a light emitting device.

도 11은 다른 실시예에 따른 반도체 소자(302)의 개략적인 구성을 보인다. 반도체 소자(302)는 p형 불순물이 고농도로 도핑된 실리콘계 기판(312), 버퍼층(340), 질화물 적층체(350)를 포함할 수 있다. 본 실시예는 실리콘계 기판(312)의 불순물 도핑 형태에서 도 1의 실시예와 차이가 있다. 실리콘계 기판(312)에 도핑된 p형 불순물은 실리콘계 기판(312)의 표면 쪽에 주로 분포되어 있다. 이와 같은 실리콘계 기판(312)은 일반적인 실리콘 기판, 즉, 불순물이 도핑되지 않거나 또는 불순물이 저농도로 도핑된 실리콘 웨이퍼를 준비하고, 이온 주입 공정(ion implantation)에 의해 p형 불순물을 도핑하여 형성될 수 있다. 다른 구성 요소는 도 10의 실시예와 실질적으로 동일하며, 마찬가지로, 발광 소자, 파워 소자등 다양한 반도체 소자 형성을 위한 템플릿으로 사용될 수 있다.11 shows a schematic configuration of a semiconductor device 302 according to another embodiment. The semiconductor device 302 may include a silicon-based substrate 312, a buffer layer 340, and a nitride stack 350, to which a p-type impurity is heavily doped. This embodiment differs from the embodiment of FIG. 1 in the impurity doping mode of the silicon-based substrate 312. The p-type impurity doped in the silicon-based substrate 312 is mainly distributed on the surface side of the silicon-based substrate 312. The silicon-based substrate 312 may be formed by preparing a general silicon substrate, that is, a silicon wafer in which impurities are not doped or doped with impurities at a low concentration, and doping the p-type impurity by ion implantation have. Other components are substantially the same as the embodiment of Fig. 10, and similarly, they can be used as templates for forming various semiconductor elements such as a light emitting element, a power element, and the like.

상기 실시예들에서, 기판으로, p형 불순물이 고농도로 도핑된 실리콘계 기판(310)을 채용한 것은 반도체 소자(300, 302)의 제조 공정 중에 발생하는 기판의 휨을 줄이기 위한 것인데, 이러한 휨의 양은 통상, 웨이퍼 레벨에서 측정되는 보우(bow)로 나타난다. 실시예의 반도체 소자(100, 102 300, 302)에서 실리콘계 기판(310)(312)이 가지는 보우는 2" 직경의 원반 형상을 기준으로 대략 100um 이하가 되도록 할 수 있다.In the above embodiments, the silicon substrate 310 doped with the p-type impurity at a high concentration is employed as the substrate in order to reduce the warpage of the substrate during the manufacturing process of the semiconductor devices 300 and 302, It usually appears as a bow measured at the wafer level. The bow of the silicon-based substrate 310 (312) in the semiconductor devices 100, 102 300 and 302 of the embodiment can be made to be about 100 μm or less based on the 2 "diameter disc shape.

도 12는 웨이퍼의 보우(bow)를 정의하는 도면이다. 공정 중에 발생하는 기판의 휨의 양은 웨이퍼 레벨에서 측정되는 보우(bow)로 정의할 수 있다. 여기서, 웨이퍼(W)는 기판과, 기판 상에 형성된 박막을 포괄하여 지칭하고 있다. 이러한 보우는 기판과, 기판상에 형성되는 박막의 열팽창률이 다르기 때문에 나타난다. 박막 성장에 필요한 고온 공정 후에 상온으로 냉각될 때, 열팽창률 차이에 따라 수축 정도가 다르게 나타나며, 이에 따라 웨이퍼(W)의 휨이 발생한다. 이 때, 웨이퍼(W)의 두께 방향을 기준으로 가장 돌출한 위치와 가장 만곡된 위치간의 거리를 보우(bow)라 한다. 보우는 동일 조건에서 웨이퍼(W)의 직경(D)의 제곱에 비례하여 커질 수 있다. 따라서, 대구경의 기판을 사용할수록 보우는 점점 커지게 된다. 도면에서 웨이퍼(W)에 나타난 보우는 오목(concave)한 형태로 도시되었으나 이는 예시적인 것이며, 기판, 박막의 열팽창률, 공정중의 고온 및 응력 조건에 따라 달라질 수 있다. 12 is a view for defining a bow of a wafer. The amount of deflection of the substrate during the process can be defined as a bow measured at the wafer level. Here, the wafer W refers to a substrate and a thin film formed on the substrate. Such a bow appears because the thermal expansion coefficients of the substrate and the thin film formed on the substrate are different. When the wafer W is cooled to room temperature after the high temperature process required for thin film growth, the degree of shrinkage varies depending on the difference in the coefficient of thermal expansion, and thus the wafer W is warped. At this time, the distance between the position of the most protruding from the thickness direction of the wafer W and the most curved position is referred to as a bow. The bow can be increased in proportion to the square of the diameter D of the wafer W under the same conditions. Therefore, as the substrate of a large diameter is used, the bow becomes larger. The bow shown on the wafer W in the figure is shown in a concave form, but this is illustrative and may vary depending on the thermal expansion rate of the substrate, the thin film, the high temperature during the process, and the stress conditions.

본 실시예에서, 기판으로, p형 불순물이 고농도로 도핑된 실리콘계 기판(310)(312)을 사용하고 있는데, 이것은 상술한 보우의 발생을 줄이기 위한 것이다.In this embodiment, silicon substrates 310 and 312 doped with p-type impurities at a high concentration are used as the substrate, which is intended to reduce the occurrence of the above-described bow.

일반적인 실리콘 기판을 사용한 경우, 질화물 반도체막 성장 후, 볼록한 형태로 수십 내지 수백 마이크론의 보우가 관찰되었고, 이것은 실리콘 기판의 소성 변형(plastic deformation)에 의한 것으로 분석된다. 일반적으로, 실리콘 기판의 열팽창률이 실리콘 기판 상에 형성되는 반도체막의 열팽창률 보다 작기 때문에, 상온으로 냉각시 질화물 반도체막이 실리콘 기판보다 더 많이 수축하므로, 오목한 형상의 보우가 발생할 수 있다. 성장을 위한 고온 공정에서 반도체막에 발생하는 인장 응력(tensile stress)을 상쇄하기 위해 통상 기가 파스칼(GPa) 수준의 압축 응력(compressive stress)을 인가하게 되는데, 이러한 고온과 압축 응력의 정도가 실리콘 기판에 소성 변형(plastic deformation)을 일으키는 것이다. 즉, 상온에서는, 깨지는(brittle) 성질을 가지는 실리콘 기판이 고온 상태에서는, 연성을 가지는(ductile) 상태가 되고, 이 조건에서 실리콘 기판에 인가되는 과도한 응력이 실리콘 기판의 소성 변형을 야기한다는 것이다. 이러한 경우, 고온과 응력 조건이 제거된 후에도 실리콘 기판은 원상태로 돌아오지 않고 볼록한 보우를 가질 수 있다.  When a general silicon substrate was used, a bow of several tens to several hundreds of microns was observed in a convex shape after the growth of the nitride semiconductor film, and this was analyzed by plastic deformation of the silicon substrate. Generally, since the coefficient of thermal expansion of the silicon substrate is smaller than the coefficient of thermal expansion of the semiconductor film formed on the silicon substrate, the nitride semiconductor film shrinks more than the silicon substrate upon cooling to room temperature, so that a bow of a concave shape may occur. (GPa) compressive stress is applied to compensate for the tensile stress generated in the semiconductor film in the high-temperature process for growth. To cause plastic deformation. That is, at room temperature, the silicon substrate having a brittle property is in a ductile state at a high temperature, and excessive stress applied to the silicon substrate in this condition causes plastic deformation of the silicon substrate. In this case, even after the high temperature and stress conditions are removed, the silicon substrate may not return to its original shape and may have a convex bow.

그러나, 적정 수준 이상의 p형 불순물이 도핑된 실리콘계 기판(310)(312)을 사용하는 경우, 이러한 보우의 발생이 줄어들 수 있다. 이러한 결과는 다음의 실시예 및 이에 대한 실험 결과들로부터 확인되었다. However, when silicon substrates 310 and 312 doped with a p-type impurity at a proper level or higher are used, the occurrence of such bow can be reduced. These results were confirmed from the following examples and experimental results thereof.

도 13은 도 10의 반도체 소자(300)를 이용한 발광 소자(400)의 구성을 보인다. 발광 소자(400)는 실리콘계 기판(410), 버퍼층(440), 질화물 적층체(450), n형 반도체층(460), 활성층(470), p형 반도체층(480)을 포함할 수 있다. n형 반도체층(460)과 p형 반도체층(480)의 위치는 서로 뒤바뀔 수 있다. 버퍼층(440)은 제1버퍼층(441)과 제2버퍼층(442)을 포함하며, 질화물 적층체(450)는 제1 질화물 반도체층(453), 마스킹층(454), 제2 질화물 반도체층(455), 중간층(456), 제3질화물 반도체층(457)을 포함할 수 있다. 여기서, 상기 제3질화물 반도체층(457)이 생략될 수도 있다. FIG. 13 shows the structure of the light emitting device 400 using the semiconductor device 300 of FIG. The light emitting device 400 may include a silicon substrate 410, a buffer layer 440, a nitride layer 450, an n-type semiconductor layer 460, an active layer 470, and a p-type semiconductor layer 480. The positions of the n-type semiconductor layer 460 and the p-type semiconductor layer 480 may be reversed. The buffer layer 440 includes a first buffer layer 441 and a second buffer layer 442. The nitride layer 450 includes a first nitride semiconductor layer 453, a masking layer 454, a second nitride semiconductor layer 455, an intermediate layer 456, and a third nitride semiconductor layer 457. Here, the third nitride semiconductor layer 457 may be omitted.

이하에서 설명할 실험 결과들과 관련된 구체적인 구성은 다음과 같다. 실리콘계 기판(410)은 Si(111)에 보론(B)이 대략, 1019/cm3의 농도로 도핑된 기판을 사용하였으며, 이 때, 기판의 비저항은 대략 0.007Ωcm이다. 제1버퍼층(441)은 핵성장층으로, AlN으로 형성될 수 있다. 제2버퍼층(442)은 AlGaN으로 형성될 수 있다. 제1 질화물 반도체층(453), 제2 질화물 반도체층(455), 제3 질화물 반도체층(457)은 GaN으로 형성되어 있으며, 마스킹층(454)은 SiN으로 형성되어 있고, 중간층(456)은 AlGaN으로 형성될 수 있다. n형 반도체층(460)은 n-GaN으로, p형 반도체층(480)은 p-GaN으로 형성되어 있고, 활성층(470)은 GaN/InGaN의 다중양자우물구조로 형성될 수 있다.The concrete configuration related to the experimental results to be described below is as follows. The silicon substrate 410 is a substrate doped with boron (B) at a concentration of about 10 19 / cm 3 in Si (111), and the substrate has a resistivity of about 0.007? Cm. The first buffer layer 441 is a nucleation layer, and may be formed of AlN. The second buffer layer 442 may be formed of AlGaN. The first nitride semiconductor layer 453, the second nitride semiconductor layer 455 and the third nitride semiconductor layer 457 are formed of GaN, the masking layer 454 is formed of SiN, the intermediate layer 456 is formed of SiN, AlGaN. &Lt; / RTI &gt; The n-type semiconductor layer 460 may be formed of n-GaN, the p-type semiconductor layer 480 may be formed of p-GaN, and the active layer 470 may be formed of a multiple quantum well structure of GaN / InGaN.

실시예의 발광소자(400) 및 비교예의 발광특성을 측정한 결과는 다음과 같다. 비교예는 불순물이 고농도로 도핑된 실리콘계 기판(410)이 아닌, 일반적인 실리콘 기판을 사용한 경우로, 보론(B)이 4×1016/cm3 의 농도로 도핑되었으며, 기판의 비저항이 15Ωcm이다.The luminescent characteristics of the light emitting device 400 of the example and the comparative example were measured, and the results are as follows. In the comparative example, boron (B) was doped to a concentration of 4 x 10 16 / cm 3 , and the specific resistance of the substrate was 15? Cm when a general silicon substrate was used instead of the silicon substrate 410 doped with a high concentration of impurities.

파장wavelength 비교예Comparative Example 실시예Example 평균(nm)Average (nm) 449449 448448 표준편차(nm)Standard deviation (nm) 5.545.54 3.313.31 균일도(%)Uniformity (%) 1.21.2 0.70.7

표 1을 살펴보면, 실리콘계 기판(410)을 사용하여 발광 소자(400)를 제조한 실시예의 경우, 발광 파장의 표준 편차가 비교예에 비해 작고 균일도도 개선된 것으로 나타나고 있다.Table 1 shows that in the embodiment where the light emitting device 400 is manufactured using the silicon substrate 410, the standard deviation of the emission wavelength is smaller than that of the comparative example and the uniformity is also improved.

GaN/InGaN의 다중양자우물구조를 채용한 발광 구조에 있어서 발광 파장의 산포는 In 조성의 균일도와 관계가 있다. 이러한 구조에서, InGaN층의 In 몰분율을 조절하여 발광 파장을 조절하게 되는데, 예를 들어, In의 몰분율이 높아질수록 발광 파장이 장파장 대역으로 이동될 수 있다. 발광 파장의 산포가 줄어든 상기 실시예의 결과는 다중양자우물구조를 성장시킴에 있어 InGaN층에서 In의 조성이 균일하게 형성되었음을 의미하고, 이것은 다중양자우물구조 형성을 위한 템플릿 상태의 웨이퍼 보우가 줄어들었기 때문이다. 웨이퍼의 보우가 큰 경우, 기판의 온도 분포가 불균일하게 되어 다중양자우물구조를 형성하는 In의 조성을 불균일하게 하는 원인이 될 수 있다.In the light emitting structure employing the multiple quantum well structure of GaN / InGaN, the scattering of the emission wavelength is related to the uniformity of the In composition. In this structure, the emission wavelength is controlled by adjusting the mole fraction of In in the InGaN layer. For example, as the mole fraction of In increases, the emission wavelength can be shifted to the long wavelength band. The result of the above-described embodiment in which scattering of the emission wavelength is reduced means that the In composition is uniformly formed in the InGaN layer in growing the multiple quantum well structure. This is because the wafer bow in the template state for forming the multiple quantum well structure is reduced Because. When the bow of the wafer is large, the temperature distribution of the substrate becomes non-uniform, which may cause the composition of In to form the multiple quantum well structure to become uneven.

도 14는 비교예의 발광 소자와 실시예의 발광 소자(400)에 대해, 웨이퍼에 발생한 보우 특성을 비교한 그래프이다. 그래프를 참조하면, 일반적인 실리콘 기판을 사용한 비교예의 웨이퍼 보우는 방향에 따라 80μm에서 120μm 정도의 크기를 갖는 반면, 실시예의 웨이퍼 보우는 방향에 거의 관계없이 수 마이크로미터 정도로 매우 작게 나타나고 있다.FIG. 14 is a graph comparing bow characteristics generated on a wafer with respect to the light emitting device of the comparative example and the light emitting device 400 of the embodiment. Referring to the graph, the wafer bow of the comparative example using a general silicon substrate has a size of about 80 μm to 120 μm according to the direction, whereas the wafer bow of the embodiment is very small, about several micrometers, irrespective of the direction.

도 15a 내지 도 15e는 실시예에 따른 반도체 소자 및 이를 이용한 다른 소자의 제조방법을 설명하는 도면들이다.15A to 15E are views for explaining a semiconductor device according to the embodiment and a method of manufacturing another element using the same.

도 15a와 같이 p형 불순물이 고농도로 도핑된 실리콘계 기판(310)을 준비한다. p형 불순물로는 B, Al, Mg, Ca, Zn, Cd, Hg, Ga 등이 사용될 수 있다. 기판으로는 실리콘 기판 또는 실리콘 카바이드(SiC) 기판 등이 사용될 수 있다. 이러한 형태의 실리콘계 기판(310)은 실리콘 잉곳(ingot) 성장시 p형 불순물 도핑이 함께 이루어짐으로써 형성될 수 있다. 도핑 농도는 대략 5×1017/cm3 내지 1020/cm3의 범위가 되거나, 또는 대략 1018/cm3 내지 5×1019/cm3의 범위가 될 수 있다. 또는, 실리콘계 기판(310)의 비저항이 대략 1Ωcm 이하가 되도록 도핑 농도를 정할 수 있다.As shown in FIG. 15A, a silicon substrate 310 doped with a p-type impurity at a high concentration is prepared. As the p-type impurity, B, Al, Mg, Ca, Zn, Cd, Hg, Ga and the like can be used. As the substrate, a silicon substrate or a silicon carbide (SiC) substrate can be used. The silicon-based substrate 310 of this type can be formed by p-type impurity doping combined with silicon ingot growth. The doping concentration is about 5 × 10 17 / cm 3 To 10 20 / cm 3 , or from about 10 18 / cm 3 to 5 × 10 19 / cm 3 . Alternatively, the doping concentration can be determined so that the resistivity of the silicon-based substrate 310 is approximately 1? Cm or less.

다음, 도 15b와 같이, 실리콘계 기판(310) 위에 버퍼층(340)을 형성한다. 버퍼층(340)은 AlN, SiC, Al2O3, AlGaN, AlInGaN, AlInBGaN, AlBGaN, GaN, XY의 단층 또는 이들의 조합으로 이루어진 다층 구조로 형성될 수 있다. 여기서, X는 Ti, Cr, Zr, Hf, Nb 또는 Ta이며, Y는 질소(N) 또는 보론(B, B2)일 수 있다. Next, as shown in FIG. 15B, a buffer layer 340 is formed on the silicon substrate 310. Buffer layer 340 may be formed of AlN, SiC, Al 2 O 3 , AlGaN, AlInGaN, AlInBGaN, AlBGaN, GaN, multi-layered structure consisting of a single layer or a combination of XY. Here, X may be Ti, Cr, Zr, Hf, Nb or Ta, and Y may be nitrogen (N) or boron (B, B 2 ).

다음, 도 15c와 같이. 버퍼층(340) 위로 질화물 적층체(350)를 형성한다. 질화물 적층체(350)는 GaN 기반의 화합물 반도체층을 포함할 수 있다. 질화물 적층체(350)에는 필요에 따라 소정 불순물이 도핑될 수 있다. 예를 들어, n형 불순물이 도핑되어 발광 소자 제조를 위한 템플릿으로 사용되거나, 또는 불순물 도핑하지 않은 상태로, 파워 소자 제조를 위한 템플릿으로 사용될 수 있다. Next, as shown in FIG. A nitride layered body 350 is formed on the buffer layer 340. The nitride layered structure 350 may include a GaN-based compound semiconductor layer. The nitride layered body 350 may be doped with a predetermined impurity if necessary. For example, n-type impurities may be doped and used as a template for manufacturing a light emitting device, or may be used as a template for manufacturing a power device without doping with an impurity.

버퍼층(340)과 질화물 적층체(360)의 형성은 일반적인 반도체 제조 공정에 따라 형성될 수 있으며, 예를 들어, MOCVD(metal organic chemical vapor deposition) 공정에 의해 형성될 수 있다. 버퍼층(340)과 질화물 적층체(350)의 전체 두께는 결함 밀도가 적정 수준 이하가 되도록 정해지며, 대략 3um 이상으로 할 수 있다. 또한, 실리콘계 기판(310)과 버퍼층(340), 질화물 적층체(350)의 열팽창률 차이를 고려하여 고온 공정 후, 상온으로 냉각시 발생하는 응력을 상쇄시킬 수 있는 응력이 버퍼층(340)과 질화물 적층체(350) 성장시 인가될 수 있다. 예를 들어, 버퍼층(340), 질화물 적층체(350)의 열팽창률이 실리콘계 기판(310)의 열팽창률보다 큰 경우, 냉각시 발생할 인장응력을 상쇄하기 위해, 고온공정 과정에서는 압축 응력을 인가할 수 있다.The buffer layer 340 and the nitride layered body 360 may be formed according to a general semiconductor manufacturing process, for example, by a metal organic chemical vapor deposition (MOCVD) process. The total thickness of the buffer layer 340 and the nitride layered body 350 is determined so that the defect density is not more than a proper level, and can be set to about 3 m or more. In consideration of a difference in thermal expansion coefficient between the silicon substrate 310, the buffer layer 340 and the nitride layered body 350, stresses that can cancel the stress generated upon cooling to room temperature after the high temperature process, The stacked body 350 can be applied upon growth. For example, when the thermal expansion coefficient of the buffer layer 340 and the nitride layered structure 350 is greater than the thermal expansion coefficient of the silicon substrate 310, compressive stress is applied in a high temperature process .

도 15c에 도시된 반도체 소자(300)는 다양한 반도체 소자 형성을 위한 템플릿으로 사용될 수 있다. 예를 들어, 도 15d와 같이, 질화물 적층체(350) 위로 소자층(DL)을 형성할 수 있다. 소자층(DL)은 제조하고자 하는 소자에 알맞은 재질로 된 복수의 박막층으로 구성될 수 있다. 예를 들어 LED 소자, HEMT(High Electron Mobility Transistor)와 같은 파워 소자(Power Device), LD (Laser Diode) 소자 등으로 구성될 수 있다. 다음, 도 15e와 같이 실리콘계 기판(310)을 분리할 수 있다. 분리 공정으로는 연마 공정과 함께 습식 식각 공정이 사용되거나, 건식 식각 공정이 사용될 수 있으며, 다만 이에 한정되지는 않는다. The semiconductor device 300 shown in Fig. 15C can be used as a template for forming various semiconductor devices. For example, as shown in Fig. 15D, an element layer DL can be formed over the nitride layered body 350. [ The element layer DL may be composed of a plurality of thin film layers made of a material suitable for a device to be manufactured. For example, a LED device, a power device such as a HEMT (High Electron Mobility Transistor), an LD (Laser Diode) device, or the like. Next, the silicon substrate 310 can be separated as shown in FIG. 15E. As the separation process, a wet etching process may be used together with a polishing process, or a dry etching process may be used, but the present invention is not limited thereto.

도 16a 내지 도 16f는 다른 실시예에 따른 반도체 기판 및 이를 이용한 다른 소자의 제조방법을 설명하는 도면들이다. 16A to 16F are views illustrating a semiconductor substrate according to another embodiment and a method for manufacturing another element using the same.

도 16a와 같이 기판(310')을 준비한다. 기판(310')으로는 실리콘 기판, 실리콘 카바이드(SiC) 기판 등이 사용될 수 있다. The substrate 310 'is prepared as shown in FIG. 16A. As the substrate 310 ', a silicon substrate, a silicon carbide (SiC) substrate, or the like can be used.

다음, 기판(310')에 p형 불순물, 예를 들어, B, Al, Mg, Ca, Zn, Cd, Hg, Ga 등을 고농도로 도핑하며, 이 때, 이온 주입(ion implantation) 공정을 사용할 수 있다. 이러한 공정에 따라, 도 16b와 같이, p형 불순물이 표면에 가까운 쪽에 주로 분포한 형태로 실리콘계 기판(312)이 형성될 수 있다. 다음, 도 16c 내지 도 16f의 과정은 도 15b 내지 도 15e에서 설명한 과정과 실질적으로 동일하다. 즉, 버퍼층(340), 질화물 적층체(350), 소자층(DL)이 형성될 수 있다. 그리고, 실리콘계 기판(312)이 분리될 수 있다.Next, a p-type impurity such as B, Al, Mg, Ca, Zn, Cd, Hg, Ga or the like is doped to the substrate 310 'at a high concentration and an ion implantation process . According to this process, as shown in FIG. 16B, the silicon-based substrate 312 can be formed in a form mainly distributed on the side closer to the surface of the p-type impurity. Next, the processes of FIGS. 16C to 16F are substantially the same as the processes described in FIGS. 15B to 15E. That is, the buffer layer 340, the nitride layered structure 350, and the element layer DL may be formed. Then, the silicon-based substrate 312 can be separated.

상술한 제조 과정에서 소정 수준 이상으로 p형 불순물이 도핑된 실리콘계 기판(310)을 사용함으로써, 고온과 응력이 부가되는 공정 후에도, 기판의 소성 변성(plastic deformation)이 거의 발생하지 않아 보우(bow)가 감소하고 양호한 박막 품질이 구현된다. 또한, 제조된 반도체 소자(300, 302)는 양호한 품질의 다양한 발광소자 또는 전력 소자를 제조할 수 있는 템플릿으로 사용될 수 있다.By using the silicon-based substrate 310 doped with the p-type impurity at a predetermined level or more in the above-described manufacturing process, the plastic deformation of the substrate hardly occurs even after the step of adding high temperature and stress, And a good film quality is realized. In addition, the manufactured semiconductor elements 300 and 302 can be used as templates for manufacturing various light emitting elements or power elements of good quality.

일례로, 이러한 템플릿 위에 발광소자를 제작하는 방법을 도 17a 내지 도 17d를 참조하여 설명한다. For example, a method of manufacturing a light emitting device on such a template will be described with reference to FIGS. 17A to 17D.

도 17a는 템플릿 위에 발광 소자층이 성장된 웨이퍼를 격리 식각 (isolation etching)을 한 후, 칩 패시베이션(chip passivation)과 CBL(current blocking layer)층의 역할을 할 수 있는 유전(dielectric) 물질을 증착하고 패터닝한 구조를 보인다. 구체적으로, p형 불순물이 고농도 도핑된 실리콘계 기판(410) 위에, 버퍼층(440), 질화물 적층체(450), n형 반도체층(460), 활성층(470), p형 반도체층(480)이 형성되어 있고, 유전 물질로 된 PL층(PL) 및 CBL층(CBL)이 소정 패턴으로 형성될 수 있다. 버퍼층(440), 질화물 적층체(450)는 도 10 또는 도 13에서 설명한 버퍼층(440), 질화물 적층체(450)의 구성을 채용할 수 있다. p형 반도체층(480), CBL층(CBL) 위로는 p-오믹 콘택(ohmic contact) 기능과 반사 기능을 갖는 금속층(490)이 증착될 수 있다. 17A illustrates a process of isolating a wafer on which a light emitting device layer is grown on a template and then depositing a dielectric material capable of serving as a chip passivation and a CBL (current blocking layer) And shows a patterned structure. More specifically, a buffer layer 440, a nitride laminate 450, an n-type semiconductor layer 460, an active layer 470, and a p-type semiconductor layer 480 are formed on a silicon substrate 410 doped with a p- And a PL layer PL and a CBL layer CBL made of a dielectric material may be formed in a predetermined pattern. A buffer layer (440), a nitride laminated body (450) may be employed a configuration of the buffer layer (440), a nitride laminated body (450) described in Figure 10 or Figure 13; A metal layer 490 having a p-ohmic contact function and a reflection function can be deposited on the p-type semiconductor layer 480 and the CBL layer CBL.

도 17b는 도 17a와 같은 구조 위에 서브마운트(510)를 접착하는 것을 보인다. 서브마운트(510)로는 Si(100) 기판이 사용될 수 있다. 서브마운트(510)의 일면에는 본딩을 위한 본딩메탈층(520)이 형성될 수 있다. 본딩메탈층(520)과 금속층(490)은 대략 300℃ 이상에서 유텍틱 본딩(eutectic bonding)될 수 있다.17B shows bonding the submount 510 over the structure as shown in FIG. 17A. As the submount 510, a Si (100) substrate can be used. A bonding metal layer 520 for bonding may be formed on one surface of the submount 510. The bonding metal layer 520 and the metal layer 490 may be eutectic bonded at about 300 ° C or higher.

다음, 도 17c와 같이, 실리콘계 기판(410)은 연마(grinding) 공정과 습식 혹은 건식 식각 방법을 이용하여 제거될 수 있다. 도 17c는 도 17b와는 반대로 서브마운트(550)가 아래에 위치하게 표현되었다.Next, as shown in FIG. 17C, the silicon substrate 410 may be removed by a grinding process and a wet or dry etching process. 17C, the submount 550 is depicted below, contrary to FIG. 17B.

도 17d와 같이, 실리콘계 기판(410)이 제거된 면에 활성층(470)에서 생성된 광의 방출 효율을 높이기 위해 텍스쳐링(texturing) 공정을 수행할 수 있다. 다음, 텍스쳐링된 버퍼층(440), 질화물 적층체(450)의 일부 영역을 식각하여 n형 반도체층(460)을 노출시키고, 노출된 n형 반도체층(460) 위에 n-오믹 콘택(ohmic contact)을 위한 금속층(550)을 형성할 수 있다. 또한, 서브마운트(510)의 하면에 전압 인가를 위한 전극층(530)을 형성함으로써, 도 17d와 같이 수직형 발광소자(600)가 제조될 수 있다.As shown in FIG. 17D, a texturing process may be performed to increase the light-emitting efficiency of the active layer 470 on the surface from which the silicon-based substrate 410 is removed. Next, the textured buffer layer 440 and a portion of the nitride layered body 450 are etched to expose the n-type semiconductor layer 460 and an n-ohmic contact is formed on the exposed n-type semiconductor layer 460. [ The metal layer 550 may be formed. In addition, by forming the electrode layer 530 for voltage application on the lower surface of the submount 510, the vertical light emitting device 600 can be manufactured as shown in FIG. 17D.

본 발명의 실시예에 따른 반도체 소자는 이해를 돕기 위하여 도면에 도시된 실시예를 참고로 설명되었으나, 이는 예시적인 것에 불과하며, 당해 분야에서 통상적 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 것이다. 따라서, 본 발명의 진정한 기술적 보호 범위는 첨부된 특허청구범위에 의해 정해져야 할 것이다.While the present invention has been particularly shown and described with reference to exemplary embodiments thereof, it should be understood that various changes and modifications may be made therein without departing from the scope of the invention as defined by the appended claims. It will be appreciated that embodiments are possible. Accordingly, the true scope of the present invention should be determined by the appended claims.

10,20,30,50,70,100,200...반도체 소자,
11,21,31,51,71,101,221...기판
12,14,16,24,26,28,34,36,38,40,42,54,56,58,60,62,74,76,78,80,224,226,228,230...질화물 반도체층
22,23,32,33,52,53,72,73,102,103,222,223...버퍼층
13,25,35,39,55,75,112a,112b,112c,225...마스킹층
15,27,37,41,57,61,77,79,122a,122b,122c,227...중간층
229. . .활성층
10, 20, 30, 50, 70, 100,
11, 21, 31, 51, 71,
24, 26, 28, 34, 36, 38, 40, 42, 54, 56, 58, 60, 62, 74, 76, 78, 80,
22, 23, 32, 33, 52, 53, 72, 73, 102, 103,
13, 25, 35, 39, 55, 75, 112a, 112b, 112c, 225,
127, 37, 41, 57, 61, 77, 79, 122a, 122b, 122c, 227,
229.. . The active layer

Claims (16)

제1질화물 반도체층;
상기 제1질화물 반도체층 바로 위의 마스킹층;
상기 마스킹층 바로 위의 제2질화물 반도체층;
상기 제2 질화물 반도체층 상의 중간층;
상기 중간층 상의 제3질화물 반도체층을 포함하며,
상기 제1질화물 반도체층, 상기 제2질화물반도체층, 상기 제3질화물반도체층은 갈륨을 함유하며,
상기 제1질화물 반도체층과 상기 제2질화물 반도체층은 동일한 원소들로 형성되며,
상기 중간층은 Alx0Iny0Ga1 -x0-y0N (0<x0,y0<1,x0+y0<1), 스텝 그레이드 AlxInyGa1-x-yN(0=x,y=1, x+y=1), Alx1Iny1Ga1 -x1- y1N/ Alx2Iny2Ga1 -x2- y2N (0=x1,x2,y1,y2=1, x1≠x2 또는 y1≠y2) 초격자로 이루어진 그룹으로부터 선택된 어느 하나로 이루어지며, 상부에 있는 질화물 반도체층에 압축 응력을 인가하는 반도체 소자.
A first nitride semiconductor layer;
A masking layer directly above the first nitride semiconductor layer;
A second nitride semiconductor layer directly over the masking layer;
An intermediate layer on the second nitride semiconductor layer;
And a third nitride semiconductor layer on the intermediate layer,
Wherein the first nitride semiconductor layer, the second nitride semiconductor layer, and the third nitride semiconductor layer contain gallium,
The first nitride semiconductor layer and the second nitride semiconductor layer are formed of the same elements,
The intermediate layer is made of Al x In y Ga 1 -x0- y0N (0 <x0, y0 <1, x0 + y0 <1), step grades Al x In y Ga 1-xy N (0 = x, y = + y = 1), Al x1 In y1 Ga 1 -x1- y1 N / Al x2 In y2 Ga 1 -x2- y2 N (0 = x1, x2, y1, y2 = 1, x1 ≠ x2 or y1 ≠ y2) And a superlattice, and applies compressive stress to the upper nitride semiconductor layer.
제1항에 있어서,
상기 제1, 제2, 및 제3 질화물 반도체층은 AlxInyGa1 -x-yN(0≤x,y≤1, x+y<1)로형성된 반도체 소자.
The method according to claim 1,
Wherein the first, second, and third nitride semiconductor layers are formed of Al x In y Ga 1 -xy N (0? X, y? 1, x + y <1).
제1항에 있어서,
상기 마스킹층은 실리콘질화물 또는 티타늄질화물을 포함하는 반도체 소자.
The method according to claim 1,
Wherein the masking layer comprises silicon nitride or titanium nitride.
제1항에 있어서,
상기 제1질화물 반도체층 일 면에 기판이 더 구비되는 반도체 소자.
The method according to claim 1,
And a substrate is further provided on one surface of the first nitride semiconductor layer.
제4항에 있어서,
상기 기판은 실리콘 기판 또는 실리콘 카바이드 기판을 포함하는 반도체 소자.
5. The method of claim 4,
Wherein the substrate comprises a silicon substrate or a silicon carbide substrate.
제5항에 있어서,
상기 기판과 제1질화물 반도체층 사이에 적어도 하나의 버퍼층이 더 구비되는 반도체 소자.
6. The method of claim 5,
And at least one buffer layer is further provided between the substrate and the first nitride semiconductor layer.
제6항에 있어서,
상기 적어도 하나의 버퍼층이 AlxInyGa1 -x- yN (0≤x,y≤1, x+y≤1)으로 형성된 반도체 소자.
The method according to claim 6,
Wherein the at least one buffer layer is made of Al x In y Ga 1 -x- y N (0? X, y? 1, x + y? 1).
제6항에 있어서,
상기 적어도 하나의 버퍼층은 스텝 그레이드 구조 또는 초격자 구조를 가지를 반도체 소자.
The method according to claim 6,
Wherein the at least one buffer layer has a step-graded structure or a superlattice structure.
제8항에 있어서,
상기 적어도 하나의 버퍼층은 스텝 그레이드 AlxInyGa1 -x- yN(0≤x,y≤1, x+y≤1)또는 Alx1Iny1Ga1 -x1- y1N/ Alx2Iny2Ga1 -x2- y2N (0≤x1,x2,y1,y2≤1, x1≠x2 또는 y1≠y2) 초격자로 형성된 반도체 소자.
9. The method of claim 8,
Wherein the at least one buffer layer comprises a step graded Al x In y Ga 1 -x- y N (0? X, y? 1, x + y? 1) or Al x1 In y1 Ga 1 -x1- y1 N / Al x2 In y2 Ga 1 -x2- y2 N (0≤x1 , x2, y1, y2≤1, x1 ≠ x2 or y1 ≠ y2) second semiconductor elements are formed in a lattice.
제1항 내지 제9항 중 어느 한 항에 있어서,
상기 중간층은 제1중간층이며, 상기 제1질화물 반도체층 일 면에 적어도 하나의 제4질화물 반도체층과, 상기 제1질화물 반도체층과 상기 적어도 하나의 제4질화물 반도체층 사이에 적어도 하나의 제2중간층을 더 포함하는 반도체 소자.
10. The method according to any one of claims 1 to 9,
Wherein the intermediate layer is a first intermediate layer and includes at least one fourth nitride semiconductor layer on one surface of the first nitride semiconductor layer and at least one second nitride semiconductor layer between the first nitride semiconductor layer and the at least one fourth nitride semiconductor layer, Further comprising an intermediate layer.
제1항 내지 제9항 중 어느 한 항에 있어서,
상기 중간층은 제1중간층이며,
상기 제1중간층과 제3질화물 반도체층 사이에 적어도 하나의 제4질화물 반도체층과, 상기 제3질화물 반도체층과 적어도 하나의 제4질화물 반도체층 사이에 적어도 하나의 제2중간층을 더 포함하는 반도체 소자.
10. The method according to any one of claims 1 to 9,
Wherein the intermediate layer is a first intermediate layer,
At least one fourth nitride semiconductor layer between the first intermediate layer and the third nitride semiconductor layer and at least one second intermediate layer between the third nitride semiconductor layer and the at least one fourth nitride semiconductor layer, device.
제1항 내지 제9항 중 어느 한 항에 있어서,
상기 마스킹층은 제1마스킹층이며,
상기 제3질화물 반도체층의 일 면에 제2마스킹층과, 제4질화물 반도체층과, 제2중간층, 제5질화물 반도체층이 순차적으로 적어도 일회 적층되는 반도체 소자.
10. The method according to any one of claims 1 to 9,
Wherein the masking layer is a first masking layer,
Wherein a second masking layer, a fourth nitride semiconductor layer, a second intermediate layer, and a fifth nitride semiconductor layer are sequentially stacked at least once on one surface of the third nitride semiconductor layer.
제1항 내지 제9항 중 어느 한 항에 있어서,
상기 제3질화물 반도체층이 n형으로 도핑된 반도체 소자.
10. The method according to any one of claims 1 to 9,
And the third nitride semiconductor layer is doped with n-type.
제13항에 있어서,
상기 제3질화물 반도체층 위에 활성층과 p형으로 도핑된 제4질화물 반도체층이 적층되는 반도체 소자.
14. The method of claim 13,
And a fourth nitride semiconductor layer doped with p-type impurities are stacked on the third nitride semiconductor layer.
제6항에 있어서,
상기 기판은 p형 불순물이 도핑된 기판인 반도체 소자.
The method according to claim 6,
Wherein the substrate is a substrate doped with a p-type impurity.
제15항에 있어서,
상기 기판의 비저항이 1Ωcm 이하가 되도록 상기 p형 불순물의 도핑 농도가 정해진 반도체 소자.
16. The method of claim 15,
Wherein a doping concentration of the p-type impurity is determined such that a specific resistance of the substrate is 1? Cm or less.
KR1020170021083A 2017-02-16 2017-02-16 Semiconductor device KR20170020414A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020170021083A KR20170020414A (en) 2017-02-16 2017-02-16 Semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020170021083A KR20170020414A (en) 2017-02-16 2017-02-16 Semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR20100093923A Division KR20120032329A (en) 2010-09-28 2010-09-28 Semiconductor device

Publications (1)

Publication Number Publication Date
KR20170020414A true KR20170020414A (en) 2017-02-22

Family

ID=58315115

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170021083A KR20170020414A (en) 2017-02-16 2017-02-16 Semiconductor device

Country Status (1)

Country Link
KR (1) KR20170020414A (en)

Similar Documents

Publication Publication Date Title
US9449817B2 (en) Semiconductor devices and methods of manufacturing the same
US7981767B2 (en) Methods for relaxation and transfer of strained layers and structures fabricated thereby
US7233610B2 (en) Nitride based semiconductor laser diode device with a bar mask
US20240063340A1 (en) METHOD FOR RELAXING SEMICONDUCTOR FILMS INCLUDING THE FABRICATION OF PSEUDO-SUBSTRATES AND FORMATION OF COMPOSITES ALLOWING THE ADDITION OF PREVIOUSLY UN-ACCESSIBLE FUNCTIONALITY OF GROUP lll-NITRIDES
US9793432B2 (en) Light emitting devices and methods of manufacturing the same
US9184051B2 (en) Method for producing an optoelectronic nitride compound semiconductor component
KR101926609B1 (en) Gallium nitride based semiconductor device and method of manufacturing the same
JP5060055B2 (en) Nitride compound semiconductor substrate and semiconductor device
KR101762177B1 (en) Semiconductor device and method of manufacturing the same
US20150115277A1 (en) Episubstrates for Selective Area Growth of Group III-V Material and a Method for Fabricating a Group III-V Material on a Silicon Substrate
US20150079769A1 (en) Semiconductor device and method of manufacturing the same
KR101104239B1 (en) Hetero-substrate, III-nitride semiconductor devices using the same and manufacturing method of thereof
KR20170020414A (en) Semiconductor device
KR102002898B1 (en) The semiconductor buffer structure and semiconductor device having the same
KR102438816B1 (en) Method of manufacturing aluminum nitride template
KR102570674B1 (en) Uv light emitting semiconduct device
KR102591149B1 (en) Method of manufacturing a non emitting iii-nitride semiconductor stacked structure
KR102591150B1 (en) Method of manufacturing a non emitting iii-nitride semiconductor device
CN117410405A (en) Deep ultraviolet light-emitting diode epitaxial wafer, preparation method thereof and deep ultraviolet light-emitting diode
KR101309506B1 (en) Nitride Based Semicondictor Element and Method of Manufacturing for the Same

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application