KR20160100260A - Bisaminoalkoxysilane compounds and methods for using same to deposit silicon-containing films - Google Patents

Bisaminoalkoxysilane compounds and methods for using same to deposit silicon-containing films Download PDF

Info

Publication number
KR20160100260A
KR20160100260A KR1020160016581A KR20160016581A KR20160100260A KR 20160100260 A KR20160100260 A KR 20160100260A KR 1020160016581 A KR1020160016581 A KR 1020160016581A KR 20160016581 A KR20160016581 A KR 20160016581A KR 20160100260 A KR20160100260 A KR 20160100260A
Authority
KR
South Korea
Prior art keywords
group
alkyl group
formula
precursor
silicon
Prior art date
Application number
KR1020160016581A
Other languages
Korean (ko)
Other versions
KR101856132B1 (en
Inventor
다니엘 피. 스펜스
신지안 레이
로날드 마틴 펄스테인
만차오 시아오
지안헹 리
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20160100260A publication Critical patent/KR20160100260A/en
Application granted granted Critical
Publication of KR101856132B1 publication Critical patent/KR101856132B1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D7/00Features of coating compositions, not provided for in group C09D5/00; Processes for incorporating ingredients in coating compositions
    • C09D7/40Additives
    • C09D7/60Additives non-macromolecular
    • C09D7/63Additives non-macromolecular organic
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/082Compounds containing nitrogen and non-metals and optionally metals
    • C01B21/0821Oxynitrides of metals, boron or silicon
    • C01B21/0823Silicon oxynitrides
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/082Compounds containing nitrogen and non-metals and optionally metals
    • C01B21/0828Carbonitrides or oxycarbonitrides of metals, boron or silicon
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B32/00Carbon; Compounds thereof
    • C01B32/90Carbides
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/113Silicon oxides; Hydrates thereof
    • C01B33/12Silica; Hydrates thereof, e.g. lepidoic silicic acid
    • C01B33/18Preparation of finely divided silica neither in sol nor in gel form; After-treatment thereof
    • C01B33/181Preparation of finely divided silica neither in sol nor in gel form; After-treatment thereof by a dry process
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/18Compounds having one or more C—Si linkages as well as one or more C—O—Si linkages
    • C07F7/1804Compounds having Si-O-C linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/18Compounds having one or more C—Si linkages as well as one or more C—O—Si linkages
    • C07F7/1804Compounds having Si-O-C linkages
    • C07F7/1872Preparation; Treatments not provided for in C07F7/20
    • C07F7/188Preparation; Treatments not provided for in C07F7/20 by reactions involving the formation of Si-O linkages
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/08Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen, and oxygen
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D4/00Coating compositions, e.g. paints, varnishes or lacquers, based on organic non-macromolecular compounds having at least one polymerisable carbon-to-carbon unsaturated bond ; Coating compositions, based on monomers of macromolecular compounds of groups C09D183/00 - C09D183/16
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • H01L21/205
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/22Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen
    • C08G77/26Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen nitrogen-containing groups

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Toxicology (AREA)

Abstract

Disclosed is bisaminoalkoxysilane which is thermally stable and is represented by chemical formula 1, R^1Si(NR^2R^3)(NR^4R^5)OR^6. Also, disclosed is an application method thereof.

Description

비스아미노알콕시실란 화합물 및 이를 실리콘-함유 필름의 증착에 사용하는 방법 {BISAMINOALKOXYSILANE COMPOUNDS AND METHODS FOR USING SAME TO DEPOSIT SILICON-CONTAINING FILMS}FIELD OF THE INVENTION The present invention relates to bisaminoalkoxysilane compounds and their use in the deposition of silicon-containing films. BACKGROUND OF THE INVENTION < RTI ID = 0.0 > BISAMINOALKOXYSILANE COMPOUNDS AND METHODS FOR USING SAME TO DEPOSIT SILICON- CONTAINING FILMS &

관련 출원에 대한 상호 참조Cross-reference to related application

본 출원은 2015년 2월 13일에 출원된 미국 가출원 제62/115,729호의 우선권을 주장한다. 이 가출원의 내용은 그 전문이 본원에 참고로 포함된다.This application claims priority from U.S. Provisional Application No. 62 / 115,729, filed February 13, 2015. The contents of this application are incorporated herein by reference in their entirety.

본원에는 휘발성이고, 열적으로 안정한 아미노알콕시실란, 더욱 특히 비스아미노알콕시실란, 및 이를 화학량론적 또는 비화학량론적 실리콘-함유 필름, 예컨대 비제한적으로, 실리콘 옥사이드, 실리콘 니트라이드, 실리콘 옥시니트라이드, 실리콘 카복사이드, 및 실리콘 옥시카보니트라이드 필름을 증착시키는데 사용하는 방법이 기술된다. The present application discloses a process for preparing a film comprising a volatile and thermally stable aminoalkoxysilane, more particularly a bisaminoalkoxysilane, and a stoichiometric or non stoichiometric silicon-containing film such as, but not limited to, silicon oxide, silicon nitride, silicon oxynitride, Carbamide, and methods for use in depositing silicon oxycarbonitride films are described.

미국 특허 제4,491,669호는 일반식: RmSi(OR')n(NR''R''')p (여기에서, R은 수소, 단쇄 알킬 또는 알케닐 또는 아릴이고; R'' 및 R'''은 별개로 수소, 단쇄 알킬 또는 아릴이고, 적어도 하나는 수소가 아니고; R'는 단쇄 알킬 또는 아릴이고; m, n 및 p는 m+n+p=4이고, n 및 p가 각각 적어도 1이 되도록 하는 정수임)에 상응하는 순수한 복합 알콕시아미노실란의 제법을 기술하고 있다. 얻어진 화합물은 말단 실란기를 갖는 폴리실록산의 말단-캡핑(end-capping)에 사용된다. U.S. Pat. No. 4,491,669 discloses compounds of the general formula: R m Si (OR ') n (NR "R'") p wherein R is hydrogen, short chain alkyl or alkenyl or aryl; '' Are independently hydrogen, short chain alkyl or aryl, at least one is not hydrogen, R 'is short chain alkyl or aryl, m, n and p are m + n + p = 4, Lt; RTI ID = 0.0 > 1, < / RTI > The resulting compound is used for end-capping the polysiloxane having a terminal silane group.

미국 특허 제4,345,088호는 화학식(R)2NXSiHOR(여기서, X는 OR 또는 N(R)2 이고, R은 1 내지 8 개의 탄소 원자의 알킬임)을 갖는 화합물을 기술하고 있다. 이들 화합물은 트리스(디알킬아미노)하이드리도실란을 알칸올로 처리함으로써 제조된다. U.S. Pat. No. 4,345,088 describes compounds having the formula (R) 2 NXSiHOR wherein X is OR or N (R) 2 and R is alkyl of 1 to 8 carbon atoms. These compounds are prepared by treating a tris (dialkylamino) hydridosilane with an alkanol.

미국 특허 제6,114,558호는 일반식 RSi(NR1R2)(OR3)2(여기서, R은 1 내지 20 개의 탄소 원자의 직쇄 또는 분지쇄 알킬, 아릴알킬 또는 아릴 라디칼이고, R1 및 R2은 1 내지 6 개의 탄소 원자의 알킬 라디칼이고, 이들 중 하나는 수소일 수 있고, R3는 1-6 개의 탄소 원자의 알킬 라디칼이고, 메틸이 바람직함)을 갖는 알킬(아미노)디알콕시실란의 제법을 기술하고 있다. 알킬(아미노)디알콕시실란은 화학량론적 양의 알콕시실란 및 알킬아미노마그네슘 클로라이드를 역첨가 공정(reverse addition process)에서 무수적으로 반응시킴으로써 제조된다. 알킬아미노 마그네슘 클로라이드는 바람직하게는 적합한 비양성자성 용매, 예컨대 테트라하이드로푸란 (THF) 중에서의, 그라나르 시약(Grignard reagent)(RMX) 및 알킬아민의 반응에 의해 인시튜(in situ)로 제조된다. 이 반응은 촉매 없이 25

Figure pat00001
- 75
Figure pat00002
의 온도 범위에서 수행될 수 있고, 비양성자성 용매는 공정에서 재사용하기 위해 회수된다. 이에 따라, THF 중에서의 이소프로필마그네슘 클로라이드와 3차-부틸아민의 반응 이후 메틸트리메톡시실란로의 처리는 82%의 메틸(3차-부틸아미노)디메톡시실란을 제공하였다.U.S. Patent No. 6,114,558 discloses a general formula RSi (NR 1 R 2) ( OR 3) 2 ( wherein, R is a 1 to a 20 linear or branched alkyl, arylalkyl or aryl radical of the carbon atom, R 1 and R 2 Is an alkyl radical of one to six carbon atoms, one of which may be hydrogen, and R < 3 > is an alkyl radical of 1-6 carbon atoms, with methyl being preferred) of an alkyl (amino) dialkoxysilane It describes the recipe. Alkyl (amino) dialkoxysilanes are prepared by reacting stoichiometric amounts of alkoxysilane and alkylamino magnesium chloride anhydrous in a reverse addition process. The alkylamino magnesium chloride is preferably prepared in situ by reaction of Grignard reagent (RMX) and alkylamine in a suitable aprotic solvent such as tetrahydrofuran (THF) . This reaction was carried out without catalyst
Figure pat00001
- 75
Figure pat00002
, And the aprotic solvent is recovered for re-use in the process. Accordingly, the treatment with methyltrimethoxysilane after the reaction of tertiary-butylamine with isopropylmagnesium chloride in THF provided 82% of methyl (tert-butylamino) dimethoxysilane.

미국 특허 제7,524,735호는 갭에 유동성 필름을 형성시킴으로써 고체 유전체 물질로 기판 상의 갭을 채우는 것과 관련된 방법을 기술하고 있다. 유동성 필름은 일관된, 기공-비함유 갭 필링(pore-free gap filling)을 제공한다. 이후, 필름은 고체 유전체 물질로 전환된다. 이러한 방법에서, 기판 상의 갭이 고체 유전체 물질로 채워진다. 여러 구체예에 따르면, 이 방법은 유전체 전구체를 산화제와 반응시켜 유전체 물질을 형성시키는 것을 포함한다. 특정 구체예에서, 유전체 전구체는 축합되고, 이후 산화제와 반응하여 유전체 물질을 형성한다. 특정 구체예에서, 기상 반응물은 반응하여 축합된 유동성 필름을 형성한다. U.S. Patent No. 7,524,735 describes a method involving filling a gap on a substrate with a solid dielectric material by forming a flowable film in the gap. The flowable film provides consistent, pore-free gap filling. The film is then converted to a solid dielectric material. In this way, the gaps on the substrate are filled with solid dielectric material. According to various embodiments, the method comprises reacting the dielectric precursor with an oxidizing agent to form a dielectric material. In certain embodiments, the dielectric precursor is condensed and then reacted with the oxidizing agent to form a dielectric material. In certain embodiments, the gaseous reactants react to form a condensed flowable film.

미국 특허 제7,943,531호는 증착 챔버 내 기판 상에 실리콘 옥사이드 층을 증착시키는 방법을 기술하고 있다. 제1 실리콘-함유 전구체, 제2 실리콘-함유 전구체 및 NH3 플라즈마가 반응하여 실리콘 옥사이드 층을 형성한다. 제1 실리콘-함유 전구체는 Si-H 결합 및 Si-Si 결합 중 적어도 하나를 포함한다. 제2 실리콘-함유 전구체는 적어도 하나의 Si-N 결합을 포함한다.U.S. Patent No. 7,943,531 describes a method of depositing a silicon oxide layer on a substrate in a deposition chamber. A first silicon-containing precursor, a second silicon-containing precursor and an NH 3 plasma react to form a silicon oxide layer. The first silicon-containing precursor comprises at least one of a Si-H bond and a Si-Si bond. The second silicon-containing precursor comprises at least one Si-N bond.

미국 특허 제7,425,350호는 열분해된 Si-전구체를 기판에 전달하고, 기판 상의 열분해된 Si-전구체를 중합하여 Si-함유 필름을 형성시키는 것을 포함하는 Si-함유 물질을 제조하는 방법을 기술하고 있다. 열분해된 Si-전구체의 중합은 포로겐(porogen)의 존재 하에서 수행됨으로써 포로겐-함유 Si-함유 필름을 형성할 수 있다. 포로겐은 포로겐-함유, Si-함유 필름으로부터 제거됨으로써 다공성 Si-함유 필름을 형성할 수 있다. 바람직한 다공성 Si-함유 필름은 낮은 유전 상수를 지니며, 이에 따라 마이크로전자공학 및 마이크로전자기계 시스템(microelectromechanic system)에서와 같은 여러 낮은-k 용도에 적합하다.U.S. Patent No. 7,425,350 describes a method for producing a Si-containing material comprising transferring a pyrolyzed Si-precursor to a substrate and polymerizing the pyrolyzed Si-precursor on the substrate to form a Si-containing film. The polymerization of the pyrolyzed Si-precursor can be carried out in the presence of a porogen to form a porogen-containing Si-containing film. The porogen can be removed from the porogen-containing, Si-containing film to form a porous Si-containing film. Preferred porous Si-containing films have low dielectric constants and are therefore suitable for a variety of low-k applications such as in microelectronics and microelectromechanical systems.

미국 특허 제7,888,273호는 유동성 실리콘 옥사이드-함유 필름을 형성시킴으로써 기판 상의 갭을 라이닝(lining)하고/거나 채우는 방법을 기술하고 있다. 이 방법은 기상 실리콘-함유 전구체 및 산화제 반응물을, 축합된 유동성 필름이 기판 상에 형성되도록 하는 조건 하에 기판을 함유하는 반응 챔버에 도입하는 것을 포함한다. 유동성 필름은 기판 상의 갭을 적어도 부분적으로 채우고, 이후 실리콘 옥사이드 필름으로 전환된다. 특정 구체예들에서, 이 방법은 필름 형성에 촉매, 예를 들어 친핵체 또는 오늄 촉매를 사용하는 것을 포함한다. 촉매는 반응물 중 하나에 혼입되고/거나 별도의 반응물로서 도입될 수 있다. 또한, 유동성 필름을 고체 유전체 필름으로 전환시키는 방법이 제공된다. 이 발명의 방법은 3:1 내지 10:1 범위의 종횡비를 갖는 갭을 포함하는, 높은 종횡비의 갭을 라이닝하거나 채우기 위해 사용될 수 있다.U.S. Patent No. 7,888,273 describes a method of lining and / or filling a gap on a substrate by forming a flowable silicon oxide-containing film. The method includes introducing the vapor-phase silicon-containing precursor and the oxidant reactant into a reaction chamber containing the substrate under conditions that allow the condensed flowable film to be formed on the substrate. The flowable film at least partially fills the gap on the substrate and is then converted to a silicon oxide film. In certain embodiments, the method includes using a catalyst, such as a nucleophile or an onium catalyst, in film formation. The catalyst may be incorporated into one of the reactants and / or introduced as a separate reactant. Also provided is a method of converting a flowable film into a solid dielectric film. The method of the present invention can be used to lining or fill high aspect ratio gaps, including gaps having an aspect ratio in the range of 3: 1 to 10: 1.

미국 특허 제7,629,227호는 유동성 실리콘 옥사이드-함유 필름을 형성시킴으로써 기판 상의 갭을 라이닝하고/거나 채우는 방법을 기술하고 있다. 이 방법은 기상 실리콘-함유 전구체 및 산화제 반응물을, 축합된 유동성 필름이 기판 상에 형성되도록 하는 조건 하에 기판을 함유하는 반응 챔버에 도입하는 것을 포함한다. 유동성 필름은 기판 상의 갭을 적어도 부분적으로 채운 후, 실리콘 옥사이드 필름으로 전환된다. 특정 구체예들에서, 이 방법은 필름 형성에 촉매, 예를 들어 친핵체 또는 오늄 촉매를 사용하는 것을 포함한다. 촉매는 반응물 중 하나에 혼입되고/거나 별도의 반응물로서 도입될 수 있다. 또한, 유동성 필름을 고체 유전체 필름으로 전환시키는 방법이 제공된다. 상기 발명의 방법은 3:1 내지 10:1 범위의 종횡비를 갖는 갭을 포함하는, 높은 종횡비의 갭을 라이닝하거나 채우기 위해 사용될 수 있다.U.S. Patent No. 7,629,227 describes a method of lining and / or filling a gap on a substrate by forming a flowable silicon oxide-containing film. The method includes introducing the vapor-phase silicon-containing precursor and the oxidant reactant into a reaction chamber containing the substrate under conditions that allow the condensed flowable film to be formed on the substrate. The flowable film is at least partially filled with a gap on the substrate, and then converted into a silicon oxide film. In certain embodiments, the method includes using a catalyst, such as a nucleophile or an onium catalyst, in film formation. The catalyst may be incorporated into one of the reactants and / or introduced as a separate reactant. Also provided is a method of converting a flowable film into a solid dielectric film. The method of the present invention can be used to lining or fill gaps having a high aspect ratio, including gaps having an aspect ratio ranging from 3: 1 to 10: 1.

WO 06129773는 (A) 마그네슘 티타늄 할로겐 및 전자 공여 화합물을 함유하는 고체 촉매 성분, (B) 화학식R6 pAlQ3 -p로 표시되는 오가노알루미늄 화합물 및 (C) 화학식R3 nSi(NR4R5)4 -n로 표시되는 아미노실란 화합물로부터 형성된 올레핀의 중합을 위한 촉매; 및 촉매의 존재 하에 올레핀을 중합하기 위한 촉매를 생성하기 위한 공정이 기술되어 있다. WO 06129773 is (A) a solid catalyst component containing titanium, magnesium and halogen an electron donor compound, (B) the general formula R 6 p AlQ organo aluminum compound represented by the 3 -p and (C) the general formula R 3 n Si (NR 4 A catalyst for the polymerization of an olefin formed from an aminosilane compound represented by R < 5 >) 4- n ; And processes for producing catalysts for polymerizing olefins in the presence of catalysts.

따라서, 종래 기술에는 하기 이점 중 하나 이상을 제공하는 실리콘-함유 필름을 증착시키는데 사용될 수 있는 전구체를 제공하는 것이 필요하다: 낮은 가공 온도(예를 들어, 500

Figure pat00003
또는 그 미만); 분당 약 0.1 나노미터 (nm) 내지 1000nm 범위의 비교적 우수한 증착 속도; 푸리에(Fourier) FTIR 또는 XPS에 의해 분석되는, 웨이퍼 상의 다수의 지점에 걸쳐 측정된
Figure pat00004
10% 이하의 편차를 갖는 조성 균일성; 높은 안정성(예를 들어, 1년에 약 5% 또는 그 미만 또는 1년에 약 1% 또는 그 미만의 분해율 진행); 전사 주사 현미경(scanning electron microcopy) (SEM)에 의해 관찰되는, 트렌치, 갭 또는 비아를 채우기 위한 유동성; 및 이들의 조합.Accordingly, there is a need in the prior art to provide precursors that can be used to deposit silicon-containing films that provide one or more of the following advantages: low processing temperatures (e.g., 500
Figure pat00003
Or less); A relatively good deposition rate in the range of about 0.1 nanometers (nm) to 1000 nm per minute; Measured over multiple points on the wafer, as analyzed by Fourier FTIR or XPS
Figure pat00004
Compositional uniformity with a deviation of 10% or less; High stability (e.g., a degradation rate of about 5% or less per year, or about 1% or less per year); Flowability for filling trenches, gaps or vias, as observed by scanning electron microcopy (SEM); And combinations thereof.

발명의 요약SUMMARY OF THE INVENTION

본원에는 비스아미노알콕시실란 전구체 및 이러한 전구체를, 기판의 적어도 한 표면 또는 부분 상에 화학량론적 또는 비화학량론적 실리콘-함유 필름, 예컨대, 비제한적으로 실리콘 옥사이드, 실리콘 카복사이드, 실리콘 니트라이드, 실리콘 옥시니트라이드, 실리콘 카바이드, 실리콘 카보니트라이드, 및 이들의 조합물을 형성시키는데 사용하는 방법이 기술된다. 또한, 본원에는 처리되어야 하는 대상, 예컨대, 예를 들어, 반도체 웨이퍼 상에 실리콘-함유 필름 또는 코팅을 형성시키는 방법이 기술된다. The present application discloses a bisaminoalkoxysilane precursor and precursors thereof on a substrate or on at least one surface of the substrate in a stoichiometric or non stoichiometric silicon-containing film such as, but not limited to, silicon oxide, silicon carbide, silicon nitride, Oxynitride, silicon carbide, silicon carbonitride, and combinations thereof. Also described herein is a method of forming a silicon-containing film or coating on a subject to be treated, for example, a semiconductor wafer.

일 양태에서, 하기 화학식 (I)을 갖는 적어도 하나의 비스아미노알콕시실란을 포함하는 실리콘 함유 필름을 증착시키기 위한 조성물이 제공된다:In one aspect, there is provided a composition for depositing a silicon-containing film comprising at least one bisaminoalkoxysilane having the formula (I)

R1Si(NR2R3)(NR4R5)OR6 (I)R 1 Si (NR 2 R 3 ) (NR 4 R 5 ) OR 6 (I)

상기 식에서, In this formula,

R1은 수소 원자, C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, C4 내지 C10 방향족 탄화수소기로부터 선택되고; R2, R3, R4, 및 R5는 각각 독립적으로 수소 원자, C4 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; R6은 C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C2 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; 임의로 화학식 (I)에서 R2와 R3, R4와 R5, 또는 둘 모두는 함께 결합되어 고리를 형성할 수 있고; 임의로 화학식 (I)에서 R2 및 R4는 함께 결합되어 디아미노기를 형성할 수 있다. 화학식 (I)을 갖는 예시적 비스아미노알콕시실란 전구체 화합물은 비스(3차-부틸아미노)메톡시메틸실란, 비스(3차-부틸아미노)에톡시메틸실란, 비스(시스-2,6-디메틸피페리디노)메톡시메틸실란, 및 비스(시스-2,6-디메틸피페리디노)에톡시메틸실란을 포함하나 이로 제한되는 것은 아니다.R 1 is a hydrogen atom, C 1 to C 10 linear alkyl, C 3 to C 10 branched alkyl, C 3 to C 10 cyclic alkyl groups, C 3 to C 10 alkenyl groups, C 3 to C 10 alkynyl group, C 4 to is selected from C 10 aromatic hydrocarbon group; Each of R 2 , R 3 , R 4 and R 5 is independently a hydrogen atom, a C 4 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 An alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; R 6 is a C 1 to C 10 linear alkyl group, a C 3 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 2 to C 10 alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; Optionally in formula (I), R 2 and R 3 , R 4 and R 5 , or both, may be joined together to form a ring; Optionally, in formula (I), R 2 and R 4 may be bonded together to form a diamino group. Exemplary bisaminoalkoxysilane precursor compounds having formula (I) include bis (tert-butylamino) methoxymethylsilane, bis (tert-butylamino) ethoxymethylsilane, bis (cis-2,6-dimethyl Piperidino) methoxymethylsilane, and bis (cis-2,6-dimethylpiperidino) ethoxymethylsilane.

또 다른 양태에서, 기판의 적어도 하나의 표면 상에 실리콘-함유 필름을 형성시키는 방법으로서, In yet another aspect, a method of forming a silicon-containing film on at least one surface of a substrate,

반응기에 기판을 제공하고;Providing a substrate to the reactor;

하기 화학식 (I)을 갖는 비스아미노알콕시실란을 포함하는 적어도 하나의 전구체를 사용하는 증착 공정에 의해 적어도 하나의 표면 상에 실리콘-함유 필름을 형성시키는 것을 포함하는 방법이 제공된다:There is provided a method comprising forming a silicon-containing film on at least one surface by a deposition process using at least one precursor comprising a bisaminoalkoxysilane having the formula (I)

R1Si(NR2R3)(NR4R5)OR6 (I)R 1 Si (NR 2 R 3 ) (NR 4 R 5 ) OR 6 (I)

상기 식에서, In this formula,

R1은 수소 원자, C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, C4 내지 C10 방향족 탄화수소기로부터 선택되고; R2, R3, R4, 및 R5는 각각 독립적으로 수소 원자, C4 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; R6은 C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C2 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; 임의로 화학식 (I)에서 R2와 R3, R4와 R5, 또는 둘 모두는 함께 결합되어 고리를 형성할 수 있고; 임의로 화학식 (I)에서 R2 및 R4는 함께 결합되어 디아미노기를 형성할 수 있다. R 1 is a hydrogen atom, C 1 to C 10 linear alkyl, C 3 to C 10 branched alkyl, C 3 to C 10 cyclic alkyl groups, C 3 to C 10 alkenyl groups, C 3 to C 10 alkynyl group, C 4 to is selected from C 10 aromatic hydrocarbon group; Each of R 2 , R 3 , R 4 and R 5 is independently a hydrogen atom, a C 4 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 An alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; R 6 is a C 1 to C 10 linear alkyl group, a C 3 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 2 to C 10 alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; Optionally in formula (I), R 2 and R 3 , R 4 and R 5 , or both, may be joined together to form a ring; Optionally, in formula (I), R 2 and R 4 may be bonded together to form a diamino group.

또 다른 양태에서, 원자층 증착 공정 또는 사이클릭(cyclic) 화학 기상 증착 공정을 통해 실리콘 옥사이드 또는 카본 도핑된 실리콘 옥사이드 필름을 형성시키는 방법으로서, In another aspect, a method of forming a silicon oxide or carbon doped silicon oxide film through an atomic layer deposition process or a cyclic chemical vapor deposition process,

a. 반응기에 기판을 제공하는 단계; a. Providing a substrate to the reactor;

b. 반응기에 하기 화학식 (I)을 갖는 비스아미노알콕시실란 화합물을 포함하는 적어도 하나의 전구체를 도입하는 단계:b. Introducing at least one precursor comprising a bisaminoalkoxysilane compound having the following formula (I) in a reactor:

R1Si(NR2R3)(NR4R5)OR6 (I)R 1 Si (NR 2 R 3 ) (NR 4 R 5 ) OR 6 (I)

[상기 식에서, R1은 수소 원자, C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, C4 내지 C10 방향족 탄화수소기로부터 선택되고; R2, R3, R4, 및 R5는 각각 독립적으로 수소 원자, C4 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; R6은 C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C2 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; 임의로 화학식 (I)에서 R2와 R3, R4와 R5, 또는 둘 모두는 함께 결합되어 고리를 형성할 수 있고; 임의로 화학식 (I)에서 R2 및 R4는 함께 결합되어 디아미노기를 형성할 수 있음];Wherein R 1 is a hydrogen atom, a C 1 to C 10 linear alkyl group, a C 3 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 alkynyl group, A C 4 to C 10 aromatic hydrocarbon group; Each of R 2 , R 3 , R 4 and R 5 is independently a hydrogen atom, a C 4 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 An alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; R 6 is a C 1 to C 10 linear alkyl group, a C 3 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 2 to C 10 alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; Optionally in formula (I), R 2 and R 3 , R 4 and R 5 , or both, may be joined together to form a ring; Optionally R < 2 > and R < 4 > in the formula (I) may be joined together to form a diamino group;

c. 반응기를 퍼지 가스(purge gas)로 퍼징(purging)시키는 단계; c. Purging the reactor with a purge gas;

d. 반응기에 산소 공급원을 도입하는 단계; 및d. Introducing an oxygen source into the reactor; And

e. 반응기를 퍼지 가스로 퍼징시키는 단계를 포함하고; e. Purging the reactor with a purge gas;

단계 b 내지 e를 요망하는 두께의 필름이 얻어질 때까지 반복하는 방법이 제공된다. Steps b to e are repeated until a film of the desired thickness is obtained.

추가의 양태에서, CVD 공정을 사용하여 기판의 적어도 한 표면 상에 실리콘 옥사이드 또는 카본 도핑된 실리콘 옥사이드 필름을 형성시키는 방법으로서, In a further aspect, a method of forming a silicon oxide or carbon-doped silicon oxide film on at least one surface of a substrate using a CVD process,

a. 반응기에 기판을 제공하는 단계; a. Providing a substrate to the reactor;

b. 반응기에 하기 화학식 (I)을 갖는 비스아미노알콕시실란 화합물을 포함하는 적어도 하나의 전구체를 도입하는 단계:b. Introducing at least one precursor comprising a bisaminoalkoxysilane compound having the following formula (I) in a reactor:

R1Si(NR2R3)(NR4R5)OR6 (I)R 1 Si (NR 2 R 3 ) (NR 4 R 5 ) OR 6 (I)

[상기 식에서, R1은 수소 원자, C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, C4 내지 C10 방향족 탄화수소기로부터 선택되고; R2, R3, R4, 및 R5는 각각 독립적으로 수소 원자, C4 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; R6은 C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C2 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; 임의로 화학식 (I)에서 R2와 R3, R4와 R5, 또는 둘 모두는 함께 결합되어 고리를 형성할 수 있고; 임의로 화학식 (I)에서 R2 및 R4는 함께 결합되어 디아미노기를 형성할 수 있음]; 및Wherein R 1 is a hydrogen atom, a C 1 to C 10 linear alkyl group, a C 3 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 alkynyl group, A C 4 to C 10 aromatic hydrocarbon group; Each of R 2 , R 3 , R 4 and R 5 is independently a hydrogen atom, a C 4 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 An alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; R 6 is a C 1 to C 10 linear alkyl group, a C 3 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 2 to C 10 alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; Optionally in formula (I), R 2 and R 3 , R 4 and R 5 , or both, may be joined together to form a ring; Optionally R < 2 > and R < 4 > in the formula (I) may be joined together to form a diamino group; And

c. 산소 공급원을 제공하여 적어도 하나의 표면 상에 실리콘 옥사이드 또는 카본 도핑된 실리콘 옥사이드 필름을 증착시키는 단계를 포함하는 방법이 제공된다. c. And providing an oxygen source to deposit a silicon oxide or carbon doped silicon oxide film on at least one surface.

또 다른 양태에서, 원자층 증착 공정 또는 사이클릭 화학 기상 증착 공정을 통해 실리콘 니트라이드 또는 실리콘 옥시니트라이드 또는 실리콘 카복시니트라이드 필름을 형성시키는 방법으로서, In another embodiment, a method of forming a silicon nitride or silicon oxynitride or silicon carboxynitride film through an atomic layer deposition process or a cyclic chemical vapor deposition process,

a. 반응기에 기판을 제공하는 단계;a. Providing a substrate to the reactor;

b. 반응기에 하기 화학식 (I)을 갖는 비스아미노알콕시실란 화합물을 포함하는 적어도 하나의 전구체를 도입하는 단계:b. Introducing at least one precursor comprising a bisaminoalkoxysilane compound having the following formula (I) in a reactor:

R1Si(NR2R3)(NR4R5)OR6 (I)R 1 Si (NR 2 R 3 ) (NR 4 R 5 ) OR 6 (I)

[상기 식에서, R1은 수소 원자, C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, C4 내지 C10 방향족 탄화수소기로부터 선택되고; R2, R3, R4, 및 R5는 각각 독립적으로 수소 원자, C4 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; R6은 C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C2 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; 임의로 화학식 (I)에서 R2와 R3, R4와 R5, 또는 둘 모두는 함께 결합되어 고리를 형성할 수 있고; 임의로 화학식 (I)에서 R2 및 R4는 함께 결합되어 디아미노기를 형성할 수 있음]; Wherein R 1 is a hydrogen atom, a C 1 to C 10 linear alkyl group, a C 3 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 alkynyl group, A C 4 to C 10 aromatic hydrocarbon group; Each of R 2 , R 3 , R 4 and R 5 is independently a hydrogen atom, a C 4 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 An alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; R 6 is a C 1 to C 10 linear alkyl group, a C 3 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 2 to C 10 alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; Optionally in formula (I), R 2 and R 3 , R 4 and R 5 , or both, may be joined together to form a ring; Optionally R < 2 > and R < 4 > in the formula (I) may be joined together to form a diamino group;

c. 반응기를 퍼지 가스로 퍼징시키는 단계; c. Purging the reactor with a purge gas;

d. 질소-함유 공급원을 반응기에 공급하는 단계; 및d. Feeding a nitrogen-containing source to the reactor; And

e. 반응기를 퍼지 가스로 퍼징시키는 단계를 포함하고;e. Purging the reactor with a purge gas;

단계 b 내지 e를 요망하는 두께의 실리콘 니트라이드 또는 실리콘 옥시니트라이드 또는 실리콘 카복시니트라이드 필름이 얻어질 때까지 반복하는 방법이 제공된다. Steps b to e are repeated until a silicon nitride or silicon oxynitride or silicon carboxynitride film of the desired thickness is obtained.

추가의 양태에서, CVD 공정을 사용하여 기판의 적어도 하나의 표면 상에 실리콘 니트라이드 또는 실리콘 옥시니트라이드 필름을 형성시키는 방법으로서, In a further aspect, a method of forming a silicon nitride or silicon oxynitride film on at least one surface of a substrate using a CVD process,

a. 반응기에 기판을 제공하고;a. Providing a substrate to the reactor;

b. 반응기에 하기 화학식 (I)을 갖는 비스아미노알콕시실란 화합물을 포함하는 적어도 하나의 전구체를 도입하고:b. Introducing into the reactor at least one precursor comprising a bisaminoalkoxysilane compound having the formula (I)

R1Si(NR2R3)(NR4R5)OR6 (I)R 1 Si (NR 2 R 3 ) (NR 4 R 5 ) OR 6 (I)

[상기 식에서, R1은 수소 원자, C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, C4 내지 C10 방향족 탄화수소기로부터 선택되고; R2, R3, R4, 및 R5는 각각 독립적으로 수소 원자, C4 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; R6은 C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C2 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; 임의로 화학식 (I)에서 R2와 R3, R4와 R5, 또는 둘 모두는 함께 결합되어 고리를 형성할 수 있고; 임의로 화학식 (I)에서 R2 및 R4는 함께 결합되어 디아미노기를 형성할 수 있음];Wherein R 1 is a hydrogen atom, a C 1 to C 10 linear alkyl group, a C 3 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 alkynyl group, A C 4 to C 10 aromatic hydrocarbon group; Each of R 2 , R 3 , R 4 and R 5 is independently a hydrogen atom, a C 4 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 An alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; R 6 is a C 1 to C 10 linear alkyl group, a C 3 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 2 to C 10 alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; Optionally in formula (I), R 2 and R 3 , R 4 and R 5 , or both, may be joined together to form a ring; Optionally R < 2 > and R < 4 > in the formula (I) may be joined together to form a diamino group;

c. 질소-함유 공급원을 제공하되, 적어도 하나의 비스아미노알콕시실란 전구체와 질소-함유 공급원이 반응하여 적어도 하나의 표면 상에 실리콘 및 질소 둘 모두를 포함하는 필름을 증착시키는 것을 포함하는 방법이 제공된다. c. There is provided a method comprising providing a nitrogen-containing source, wherein at least one bisaminoalkoxysilane precursor and a nitrogen-containing source are reacted to deposit a film comprising both silicon and nitrogen on at least one surface.

또 다른 양태에서, 화학식 (I)을 갖는 하나 이상의 비스아미노알콕시실란 전구체 화합물을 포함하는 실리콘-함유 필름을 증착시키기 위한 용기(vessel)가 본원에 기술된다. 일 특정 구체예에서, 용기는 하나 이상의 전구체를 CVD 또는 ALD 공정을 위한 반응기에 전달하도록 하는 적당한 밸브 및 피팅(fitting)이 장착된 적어도 하나의 가압가능한 용기(바람직하게는 스테인레스강으로 이루어짐)를 포함한다. In another embodiment, a vessel for depositing a silicon-containing film comprising at least one bisaminoalkoxysilane precursor compound having the formula (I) is described herein. In one particular embodiment, the vessel comprises at least one pressurizable vessel (preferably made of stainless steel) equipped with suitable valves and fittings to deliver one or more precursors to the reactor for CVD or ALD processes do.

또 다른 양태에서, 하기 화학식(I)을 갖는 비스아미노알콕시실란 화합물을 포함하는 적어도 하나의 전구체를 포함하는 실리콘-함유 필름을 증착시키기 위한 조성물이 제공된다:In another aspect, there is provided a composition for depositing a silicon-containing film comprising at least one precursor comprising a bisaminoalkoxysilane compound having the formula (I)

R1Si(NR2R3)(NR4R5)OR6 (I)R 1 Si (NR 2 R 3 ) (NR 4 R 5 ) OR 6 (I)

상기 식에서, In this formula,

R1은 수소 원자, C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, C4 내지 C10 방향족 탄화수소기로부터 선택되고; R2, R3, R4, 및 R5는 각각 독립적으로 수소 원자, C4 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; R6은 C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C2 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; 임의로 화학식 (I)에서 R2와 R3, R4와 R5, 또는 둘 모두는 함께 결합되어 고리를 형성할 수 있고; 임의로 화학식 (I)에서 R2 및 R4는 함께 결합되어 디아미노기를 형성할 수 있다. R 1 is a hydrogen atom, C 1 to C 10 linear alkyl, C 3 to C 10 branched alkyl, C 3 to C 10 cyclic alkyl groups, C 3 to C 10 alkenyl groups, C 3 to C 10 alkynyl group, C 4 to is selected from C 10 aromatic hydrocarbon group; Each of R 2 , R 3 , R 4 and R 5 is independently a hydrogen atom, a C 4 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 An alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; R 6 is a C 1 to C 10 linear alkyl group, a C 3 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 2 to C 10 alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; Optionally in formula (I), R 2 and R 3 , R 4 and R 5 , or both, may be joined together to form a ring; Optionally, in formula (I), R 2 and R 4 may be bonded together to form a diamino group.

본 발명의 상세한 설명DETAILED DESCRIPTION OF THE INVENTION

비스아미노알콕시실란 화합물이 다양한 증착 공정들을 사용하여 화학량론적 및 비화학량론적 실리콘 함유 필름, 예컨대, 비제한적으로 실리콘 옥사이드, 실리콘 옥시카바이드, 실리콘 니트라이드, 실리콘 옥시니트라이드 및 실리콘 옥시카보니트라이드를 증착시키기 위한 전구체로서 사용된다. 본원에서 기술된 비스아미노알콕시실란 전구체는 고순도(예를 들어, 가스 크로마토그래피 (GC)에 의해 측정되는 경우 약 90% 내지 약 99.9 또는 약 95% 내지 99% 범위의 분석 결과)의 휘발성 액체 전구체이다. The bisaminoalkoxysilane compounds can be deposited using a variety of deposition processes to deposit stoichiometric and non-stoichiometric silicon-containing films, such as, but not limited to, silicon oxide, silicon oxycarbide, silicon nitride, silicon oxynitride and silicon oxycarbonitride As a precursor. The bisaminoalkoxysilane precursors described herein are volatile liquid precursors of high purity (for example, from about 90% to about 99.9 or from about 95% to 99% of the assay results, as measured by gas chromatography (GC)) .

전구체는 전형적으로 기화되고, 가스로서 증착 챔버 또는 반응기에 전달되어 반도체 소자 제작에서의 화학 기상 증착 (CVD), 사이클릭 화학 기상 증착 (CCVD), 플라즈마 강화 화학 기상 증착 (PECVD), 유동성 화학 기상 증착 (FCVD), 원자층 증착 (ALD), 및 플라즈마 강화 원자층 증착 (PEALD)을 포함하나, 이로 제한되는 것은 아닌 다양한 증착 기술을 통해 실리콘 함유 필름을 증착시킨다. 그 밖의 구체예들에서, 비스아미노알콕시실란 전구체는 액체 기반 증착 또는 필름 형성 방법, 예컨대, 비제한적으로, 스핀-온(spin-on), 딥 코트(dip coat), 에어로졸(aerosol), 잉크 젯(ink jet), 스크린 프린팅(screen printing) 또는 분무 도포(spray application)에 사용될 수 있다. 증착을 위한 전구체 물질의 선택은 요망하는 결과의 유전체 물질 또는 필름에 의거한다. 예를 들어, 전구체 물질은 그것의 화학 원소의 함량, 그것의 화학 원소의 화학량론적 비, 및/또는 앞서 언급된 증착 공정들로 형성되는 결과의 실리콘-함유 필름 또는 코팅으로 선택될 수 있다. 전구체 물질은 또한 하기 특징들 중 어느 하나로 선택될 수 있다: 비용, 비독성, 핸들링 특성(handling characteristics), 실온에서 액상을 유지하는 능력, 휘발성, 분자량 및/또는 그 밖의 고려사항들. 특정 구체예에서, 본원에서 기술되는 전구체는 어떠한 수의 수단에 의해, 바람직하게는 액상 전구체를 증착 챔버 또는 반응기에 전달하도록 하는, 적합한 밸브 및 피팅이 장착된 가압가능한 스테인레스강 용기를 사용하여 반응기 시스템으로 전달될 수 있다. The precursors are typically vaporized and transferred to the deposition chamber or reactor as a gas for chemical vapor deposition (CVD), cyclic chemical vapor deposition (CCVD), plasma enhanced chemical vapor deposition (PECVD), liquid chemical vapor deposition Containing film through various deposition techniques including, but not limited to, chemical vapor deposition (FCVD), atomic layer deposition (ALD), and plasma enhanced atomic layer deposition (PEALD). In other embodiments, the bisaminoalkoxysilane precursors can be used in a liquid-based deposition or film formation process, such as, but not limited to, spin-on, dip coat, aerosol, ink jet, screen printing, or spray application. The choice of precursor material for deposition is based on the dielectric material or film of the desired result. For example, the precursor material may be selected as a silicon-containing film or coating, the content of which is determined by its chemical element content, its stoichiometric ratio of chemical elements, and / or the deposition processes mentioned above. The precursor materials may also be selected with any of the following features: cost, non-toxicity, handling characteristics, ability to maintain liquid at room temperature, volatility, molecular weight and / or other considerations. In certain embodiments, the precursors described herein may be used in a reactor system, such as a pressurized vessel, using a pressurizable stainless steel vessel equipped with suitable valves and fittings to deliver the liquid precursor to the deposition chamber or reactor, Lt; / RTI >

본원에서 기술되는 비스아미노알콕시실란 전구체는 전구체가 결합, 즉, Si-N, Si-O, 임의로 Si-H, 및 임의로 Si-NH 중 적어도 하나를 지니고, 이것이 이들을 기상 증착 공정 동안에 기판 표면 상에서 화학적으로 반응하게 하기 때문에, 화학 기상 증착 또는 원자층 증착 동안 기판 표면에 대해 보다 우수한 반응성을 제공할 수 있는 것으로 여겨진다. 본원에서 기술되는 비스아미노알콕시실란 전구체는 화학 기상 증착, 특히 사이클릭 CVD 증착, 또는 ALD 동안 기판 표면에 대해 보다 우수한 반응성을 제공하여 이들 결합으로 인해 Si-N-Si 연결 또는 Si-O-Si 연결을 형성할 수 있는 것으로 여겨진다. 상기 이점 이외에, 예컨대 사이클릭 CVD, ALD, 또는 PEALD 증착 방법을 사용하여 실리콘 옥사이드 또는 실리콘 니트라이드 필름을 증착시키기 위한 것들과 같은 특정 구체예들에서, 본원에서 기술되는 비스아미노알콕시실란 전구체는 비교적 낮은 증착 온도에서, 예를 들어, 500

Figure pat00005
또는 그 미만에서, 400
Figure pat00006
또는 그 미만에서, 또는 300
Figure pat00007
또는 그 미만에서 고밀도 물질을 증착시킬 수 있다. 그 밖의 구체예들에서, 본원에서 기술되는 전구체는 예를 들어, 약 500
Figure pat00008
내지 약 800 범위의 온도에서의 보다 고온 증착에 사용될 수 있다. The bisaminoalkoxysilane precursors described herein are characterized in that the precursors have at least one of the bonds Si-N, Si-O, optionally Si-H and optionally Si-NH, , It is believed that it can provide better reactivity to the substrate surface during chemical vapor deposition or atomic layer deposition. The bisaminoalkoxysilane precursors described herein provide a better reactivity to the substrate surface during chemical vapor deposition, especially cyclic CVD deposition, or ALD, such that Si-N-Si connections or Si-O-Si connections Can be formed. In certain embodiments, such as those for depositing silicon oxide or silicon nitride films using cyclic CVD, ALD, or PEALD deposition methods, in addition to the above advantages, the bisaminoalkoxysilane precursors described herein are relatively low At the deposition temperature, for example, 500
Figure pat00005
Or below, 400
Figure pat00006
Or less, or 300
Figure pat00007
Or less. ≪ / RTI > In other embodiments, the precursors described herein include, for example, about 500
Figure pat00008
To about 800 Lt; RTI ID = 0.0 > temperature. ≪ / RTI >

이론에 결부되지 않고, 본원에서 기술되는 화합물은 상대적 반응성이 변하는 치환체 또는 리간드를 지님으로써 종래 기술에서의 다른 전구체와 결부된 문제점들을 극복하는 것으로 여겨진다. 이와 관련하여, 화합물은 매우 반응성이고, 양성자성 시약 예컨대, 비제한적으로 물과 빠른 양상으로 반응하는 경향이 있는 실리콘-아민 치환기를 함유한다. 이러한 특징은 전구체가 전구체, 양성자성 시약, 및 임의의 조용매 간의 모세관 공축합 조건(capillary co-condensation condition) 하에 유동성 필름을 신속히 증착시키도록 한다. 신속한 반응이 조기 고화(premature solidification)를 유발하지 않도록 하기 위해, 매우 반응성인 치환기의 수를 실리콘 원자 당 두 개 이하로 제한할 수 있으며, 이는 빠르게 고화할 3차원 네트워크 폴리머(three-dimensional networked polymer)가 남아있는 보다 느린 반응성의 치환기가 반응될 때까지는 형성될 수 없음을 의미한다. 이것의 예가 화학식 (I)을 갖는 화합물이 R1=메틸 (Me), R2=R4=수소, R3=R5=3차-부틸 (But), R6=Me, n=3 내지 1000의 정수, 및 m= 4 내지 1,000의 정수를 갖는 하기 도식 A에서 보여진다. 도식 A는 3차-부틸 아미노 치환기가 빠르게, 또는 물과 접촉한 지 수초 내에 반응하는 반면, 메틸 하이드록실 치환기는 3차-부틸 아미노 치환기와 비교하여 비교적 느리게, 물과 접촉한 지 수분 내지 수시간 내에 반응함을 나타낸다. Without being bound by theory, it is believed that the compounds described herein overcome the problems associated with other precursors in the prior art by having substituents or ligands whose relative reactivity varies. In this regard, the compounds are highly reactive and contain silicon-amine substituents that tend to react with protic reagents, such as, but not limited to, water in a fast manner. This feature allows the precursors to rapidly deposit the flowable film under capillary co-condensation conditions between the precursor, the protic reagent, and any cosolvent. In order to prevent rapid reaction from causing premature solidification, the number of highly reactive substituents can be limited to no more than two per silicon atom, which is a fast three-dimensional networked polymer, Means that the remaining slower reactive substituents can not be formed until they are reacted. An example of this is a compound of formula (I) wherein R 1 = methyl (Me), R 2 = R 4 = hydrogen, R 3 = R 5 = tert-butyl, R 6 = Me, 1000, and m = an integer from 4 to 1,000. Scheme A shows that the tertiary-butylamino substituent reacts rapidly or within seconds of contact with water, while the methyl hydroxyl substituent is relatively slow compared to the tertiary-butylamino substituent, ranging from a few minutes to several hours Lt; / RTI >

Figure pat00010
Figure pat00010

도식 AScheme A

일 구체예에서, 본원에는 하기 화학식 (I)을 갖는 비스아미노알콕시실란 화합물을 사용하는 증착 공정이 기술된다:In one embodiment, a deposition process using a bisaminoalkoxysilane compound having the following formula (I) is described herein:

R1Si(NR2R3)(NR4R5)OR6 (I)R 1 Si (NR 2 R 3 ) (NR 4 R 5 ) OR 6 (I)

상기 식에서, In this formula,

R1은 수소 원자, C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, C4 내지 C10 방향족 탄화수소기로부터 선택되고; R2, R3, R4, 및 R5는 각각 독립적으로 수소 원자, C4 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; R6은 C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C2 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; 임의로 화학식 (I)에서 R2와 R3, R4와 R5, 또는 둘 모두는 함께 결합되어 고리를 형성할 수 있고; 임의로 화학식 (I)에서 R2 및 R4는 함께 결합되어 디아미노기를 형성할 수 있다. R 1 is a hydrogen atom, C 1 to C 10 linear alkyl, C 3 to C 10 branched alkyl, C 3 to C 10 cyclic alkyl groups, C 3 to C 10 alkenyl groups, C 3 to C 10 alkynyl group, C 4 to is selected from C 10 aromatic hydrocarbon group; Each of R 2 , R 3 , R 4 and R 5 is independently a hydrogen atom, a C 4 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 An alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; R 6 is a C 1 to C 10 linear alkyl group, a C 3 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 2 to C 10 alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; Optionally in formula (I), R 2 and R 3 , R 4 and R 5 , or both, may be joined together to form a ring; Optionally, in formula (I), R 2 and R 4 may be bonded together to form a diamino group.

화학식 (I)의 일 특정 구체예에서, R2 및 R4는 둘 모두 수소 원자이고, R3 및 R5는 독립적으로 C4 내지 C10 분지형 알킬기, 예컨대 3차-부틸 또는 3차-펜틸기로부터 선택된다. 어떠한 특정 이론에 의해 결부되지 않고, 분지형 알킬기의 입체 장애는 보다 우수한 열 안정성을 제공하는 것으로 여겨진다. 본원에서 사용되는 용어 "안정한"은 본원에서 기술된 전구체가 육(6) 개월 또는 그 초과, 일(1) 년 또는 그 초과, 이(2) 년 또는 그 초과의 기간, 또는 저장 안정성을 나타내는 그 밖의 기간 동안 저장된 후 그것의 초기 조성에서 0.5 중량(wt)% 또는 그 초과, 1 wt% 또는 그 초과, 2 wt% 또는 그 초과, 5 wt% 또는 그 초과, 또는 10 wt% 또는 그 초과로 변하지 않음을 의미한다. 예를 들어, 전구체의 농도는 본원에서 기술되는 바와 같이 안정하는 것으로 간주되도록 1년 동안 저장한 후 가스 크로마토그래피 (GC) 또는 그 밖의 분석 기술을 기반으로 한 그것의 초기 비율의 10% 초과로 조성적으로 변화하지 않아야 한다. 전구체의 우수한 열 및 조성 안정성은 기상 증착 챔버로의 일관된 전구체 전달 및 일관된 기상 증착 파라미터를 보장하는데 중요하다. 게다가, 우수한 열안정성은 또한 저장 및 취급 동안 치환체 또는 리간드의 교환 가능성을 감소시킨다. In one particular embodiment of formula (I), R 2 and R 4 are both hydrogen atoms and R 3 and R 5 are independently C 4 to C 10 branched alkyl groups such as tert-butyl or tert-pentyl Tyl group. Without being bound by any particular theory, it is believed that the steric hindrance of the branched alkyl group provides better thermal stability. As used herein, the term "stable" means that the precursors described herein are stable for a period of six (6) months or more, one (1) year or more, a period of (2) (Wt)% or more, 1 wt% or more, 2 wt% or more, 5 wt% or more, or 10 wt% or more in its initial composition after storage for a period of time . For example, the concentration of the precursor may be stored for one year to be considered stable as described herein, and then stored in a solution containing more than 10% of its initial proportion based on gas chromatography (GC) It should not change sexually. The excellent thermal and compositional stability of the precursor is important to ensure consistent precursor delivery to the vapor deposition chamber and consistent vapor deposition parameters. In addition, excellent thermal stability also reduces the exchangeability of substituents or ligands during storage and handling.

화학식 (I) 및 상세한 설명 전반에서, 용어 "선형 알킬"은 1 내지 10 개 또는 1 내지 4 개의 탄소 원자를 갖는 선형 작용기를 나타낸다. 예시적 선형 알킬기는 메틸, 에틸, n-프로필, n-부틸, n-펜틸, 및 헥실기를 포함하나, 이로 제한되는 것은 아니다. 화학식 (I) 및 상세한 설명 전반에서, 용어 "분지형 알킬"은 3 내지 10 개 또는 4 내지 6 개의 탄소 원자를 갖는 분지형 작용기를 나타낸다. 예시적 알킬기는 이소프로필, 이소부틸, 2차-부틸, 3차-부틸, 이소-펜틸, 3차-펜틸, 및 이소헥실을 포함하나, 이로 제한되는 것은 아니다. 특정 구체예에서, 알킬기는 이에 결합되는 하나 이상의 작용기, 예컨대, 비제한적으로, 알콕시기, 디알킬아미노기 또는 이들의 조합을 가질 수 있다. 그 밖의 구체예들에서, 알킬기는 이에 결합되는 하나 이상의 작용기를 갖지 않는다. In the context of formula (I) and throughout the description, the term "linear alkyl" denotes a linear functional group having 1 to 10 or 1 to 4 carbon atoms. Exemplary linear alkyl groups include, but are not limited to, methyl, ethyl, n-propyl, n-butyl, n-pentyl, and hexyl groups. In the context of general formula (I) and throughout the description, the term "branched alkyl" denotes a branched functional group having 3 to 10 or 4 to 6 carbon atoms. Exemplary alkyl groups include, but are not limited to, isopropyl, isobutyl, sec-butyl, tert-butyl, iso-pentyl, tert-pentyl, and isohexyl. In certain embodiments, the alkyl group may have one or more functional groups attached thereto, such as, but not limited to, an alkoxy group, a dialkylamino group, or combinations thereof. In other embodiments, the alkyl group has no more than one functional group attached thereto.

화학식 (I) 및 상세한 설명 전반에서, 용어 "사이클릭 알킬"은 3 내지 10 개 또는 4 내지 10 개의 탄소 원자를 갖는 사이클릭 작용기를 나타낸다. 예시적 사이클릭 알킬기는 사이클로부틸, 사이클로펜틸, 사이클로헥실, 및 사이클로옥틸기를 나타내나, 이로 제한되는 것은 아니다. In the context of formula (I) and throughout the description, the term "cyclic alkyl" refers to a cyclic functional group having 3 to 10 or 4 to 10 carbon atoms. Exemplary cyclic alkyl groups include, but are not limited to, cyclobutyl, cyclopentyl, cyclohexyl, and cyclooctyl groups.

화학식 (I) 및 상세한 설명 전반에서, 용어 "방향족 탄화수소"는 4 내지 10 개의 탄소 원자를 갖는 방향족 사이클릭 작용기를 나타낸다. 예시적 아릴기는 페닐, 벤질, 클로로벤질, 톨릴, 및 o-자일릴을 포함하나, 이로 제한되는 것은 아니다. 특정 구체예에서, 방향족 탄화수소기는 하나 이상의 작용기를 갖는다.In the context of formula (I) and throughout the description, the term "aromatic hydrocarbon" denotes an aromatic cyclic functional group having from 4 to 10 carbon atoms. Exemplary aryl groups include, but are not limited to, phenyl, benzyl, chlorobenzyl, tolyl, and o-xylyl. In certain embodiments, the aromatic hydrocarbon group has at least one functional group.

화학식 (I) 및 상세한 설명 전반에서, 용어 "알케닐기"는 하나 이상의 탄소-탄소 이중 결합을 갖고, 3 내지 10 개, 또는 2 내지 6 개의 탄소 원자를 갖는 기를 나타낸다. 예시적 알케닐기는 비닐 또는 알릴기를 포함하나, 이로 제한되는 것은 아니다. In the context of formula (I) and throughout the description, the term "alkenyl group" refers to a group having one or more carbon-carbon double bonds and having 3 to 10, or 2 to 6 carbon atoms. Exemplary alkenyl groups include, but are not limited to, vinyl or allyl groups.

화학식 (I) 및 상세한 설명 전반에서, 용어 "알키닐기"는 하나 이상의 탄소-탄소 삼중 결합을 갖고, 3 내지 10 개, 또는 2 내지 6 개의 탄소 원자를 갖는 기를 나타낸다. In the context of formula (I) and throughout the description, the term "alkynyl group " refers to a group having one or more carbon-carbon triple bonds and having 3 to 10, or 2 to 6 carbon atoms.

화학식 (I) 및 상세한 설명 전반에서, 용어 "알콕시"는 산소 원자 (예를 들어, R-O)에 연결되어 있고, 1 내지 12 개, 또는 1 내지 6 개의 탄소 원자를 갖는 알킬기를 나타낸다. 예시적 알콕시기는 메톡시 (-OCH3), 에톡시(-OCH2CH3), n-프로폭시 (-OCH2CH2CH3), 및 이소-프로폭시 (-OCHMe2)를 포함하나, 이로 제한되는 것은 아니다. In the context of formula (I) and throughout the description, the term "alkoxy" refers to an alkyl group having 1 to 12, or 1 to 6 carbon atoms, connected to an oxygen atom (eg, RO). Exemplary alkoxy groups are methoxy (-OCH 3), ethoxy (-OCH 2 CH 3), n- propoxy (-OCH 2 CH 2 CH 3) , and iso-propoxy, including, (-OCHMe 2), But is not limited thereto.

특정 구체예들에서, 화학식 (I)에서 알킬기, 알케닐기, 알키닐기, 알콕시기, 및/또는 아릴기 중 하나 이상은 치환되거나 예를 들어 수소 원자 대신에 치환된 하나 이상의 원자들 또는 원자들의 그룹을 지닐 수 있다. 예시적 치환체는 산소, 황, 할로겐 원자(예를 들어, F, Cl, I, 또는 Br), 질소, 및 인을 포함하나, 이로 제한되는 것은 아니다. 일 특정 구체예에서, 화학식 (I)에서 알킬기는 산소 또는 질소를 포함할 수 있다. 그 밖의 구체예들에서, 화학식 (I)에서 알킬기, 알케닐기, 알키닐기, 알콕시기, 및/또는 아릴기 중 하나 이상은 치환되지 않을 수 있다. In certain embodiments, at least one of the alkyl group, alkenyl group, alkynyl group, alkoxy group, and / or aryl group in formula (I) is substituted or substituted by, for example, a group of one or more atoms or atoms ≪ / RTI > Exemplary substituents include, but are not limited to, oxygen, sulfur, halogen atoms (e.g., F, Cl, I, or Br), nitrogen, and phosphorus. In one particular embodiment, the alkyl group in formula (I) may comprise oxygen or nitrogen. In other embodiments, at least one of the alkyl group, alkenyl group, alkynyl group, alkoxy group, and / or aryl group in formula (I) may be unsubstituted.

본원에서 기술되는 화학식 (I)의 비스아미노알콕시실란의 예는 비스(3차-부틸아미노)메톡시메틸실란, 비스(3차-부틸아미노)에톡시메틸실란, 비스(시스-2,6-디메틸피페리디노)메톡시메틸실란, 및 비스(시스-2,6-디메틸피페리디노)에톡시메틸실란을 포함하나, 이로 제한되는 것은 아니다.Examples of bisaminoalkoxysilanes of formula (I) described herein include bis (tert-butylamino) methoxymethylsilane, bis (tert-butylamino) ethoxymethylsilane, bis (cis- Dimethylpiperidino) methoxymethylsilane, and bis (cis-2,6-dimethylpiperidino) ethoxymethylsilane.

본원에서 기술되는 본 발명의 특정 구체예들에서, 상기 화학식(I)을 갖는 비스아미노알콕시실란 전구체는 디알킬아미노실란, 알콕시실란, 디알킬아미노알킬실란, 및 알콕시알킬실란으로 이루어진 군으로부터 선택된 하나 이상의 실리콘-함유 전구체와 배합되어 실리콘-함유 필름을 증착시키기 위한 조성물을 제공할 수 있다. 이러한 구체예들에서, 조성물은 화학식 (I)을 갖는 비스아미노알콕시실란 및 실리콘-함유 전구체를 포함한다. 이들 조성물에 대한 실리콘-함유 전구체의 예는 비스(3차-부틸아미노)실란 (BTBAS), 트리스(디메틸아미노)실란 (TRDMAS), 테트라에톡시실란 (TEOS), 트리에톡시실란 (TES), 디-3차-부톡시실란 (DTBOS), 디-3차-펜톡시실란 (DTPOS), 메틸트리에톡시실란 (MTES), 테트라메톡시실란 (TMOS), 트리메톡시실란 (TMOS), 메틸트리메톡시실란 (MTMOS), 디-3차-부톡시메틸실란, 디-3차-부톡시에틸실란, 디-3차-펜톡시메틸실란, 및 디-3차-펜톡시에틸실란을 포함하나 이로 제한되는 것은 아니다. In certain embodiments of the invention described herein, the bisaminoalkoxysilane precursor having the formula (I) is selected from the group consisting of dialkylaminosilanes, alkoxysilanes, dialkylaminoalkylsilanes, and alkoxyalkylsilanes. Lt; RTI ID = 0.0 > silicon-containing < / RTI > precursor to provide a composition for depositing a silicon-containing film. In these embodiments, the composition comprises a bisaminoalkoxysilane having the formula (I) and a silicon-containing precursor. Examples of silicon-containing precursors for these compositions are bis (tert-butylamino) silane (BTBAS), tris (dimethylamino) silane (TRDMAS), tetraethoxysilane (TEOS), triethoxysilane Di-tert-butoxysilane (DTBOS), di-tert-pentoxysilane (DTPOS), methyltriethoxysilane (MTES), tetramethoxysilane (TMOS), trimethoxysilane But are not limited to, trimethoxysilane (MTMOS), di-tert-butoxymethylsilane, di-tert-butoxyethylsilane, di-tert-pentoxymethylsilane, But is not limited to one.

실리콘-함유 전구체 및 화학식 (I)의 비스아미노알콕시실란을 포함하는 조성물의 예는 테트라에톡시실란 (TEOS) 및 디-에톡시(3차-부틸아미노)실란, 테트라에톡시실란 (TEOS) 및 디에톡시(3차-펜틸아미노)실란, 테트라에톡시실란 (TEOS) 및 디에톡시(이소-프로폭시아미노)실란, 트리에톡시실란 (TES) 및 디에톡시(3차-부틸아미노)실란, 트리에톡시실란 (TES) 및 디에톡시(3차-펜틸아미노)실란, 트리에톡시실란 (TES) 및 디에톡시(이소-프로폭시아미노)실란, 디-3차-부톡시실란 (DTBOS) 및 디-3차-부톡시(메틸아미노)실란, 디-3차-부톡시실란 (DTBOS) 및 디-3차-부톡시(에틸아미노)실란, 디-3차-부톡시실란 (DTBOS) 및 디-3차-부톡시(이소-프로필아미노)실란, 디-3차-부톡시실란 (DTBOS) 및 디-3차-부톡시(n-부틸아미노)실란, 디-3차-부톡시실란 (DTBOS) 및 디-3차-부톡시(sec-부틸아미노)실란, 디-3차-부톡시실란 (DTBOS) 및 디-3차-부톡시(이소-부틸아미노)실란, 디-3차-부톡시실란 (DTBOS) 및 디-3차-부톡시(3차-부틸아미노)실란, 디-3차-펜톡시실란 (DTPOS) 및 디-3차-펜톡시(메틸아미노)실란, 디-3차-펜톡시실란 (DTPOS) 및 디-3차-펜톡시(에틸아미노)실란, 디-3차-펜톡시실란 (DTPOS) 및 디-3차-펜톡시(이소-프로필아미노)실란, 디-3차-펜톡시실란 (DTPOS) 및 디-3차-펜톡시(n-부틸아미노)실란, 디-3차-펜톡시실란 (DTPOS) 및 디-3차-펜톡시(sec-부틸아미노)실란, 디-3차-펜톡시실란 (DTPOS) 및 디-3차-펜톡시(이소-부틸아미노)실란, 디-3차-펜톡시실란 (DTPOS) 및 디-3차-펜톡시(3차-부틸아미노)실란을 포함하나 이로 제한되는 것은 아니다. 일 특정 구체예에서, 조성물은 실리콘 옥사이드 필름을 유동성 화학 기상 증착에 의해 증착시키는데 사용되며, 이때 화학식 (I)을 갖는 비스아미노알콕시실란은 촉매로서 작용한다. 상기 또는 그 밖의 구체예들에서, 실리콘-함유 전구체는 예를 들어, 동일한 알콕시 치환체를 가짐으로써 비스아미노알콕시실란과 상용성을 갖도록 선택된다. Examples of compositions comprising a silicon-containing precursor and a bisaminoalkoxysilane of formula (I) include tetraethoxysilane (TEOS) and di-ethoxy (tert-butylamino) silane, tetraethoxysilane (TEOS) Triethoxysilane (TES) and diethoxy (tert-butylamino) silane, tetraethoxysilane (TEOS) and diethoxy (iso-propoxyamino) silane, triethoxysilane Ethoxy silane (TES) and diethoxy (tert-pentylamino) silane, triethoxysilane (TES) and diethoxy (iso-propoxyamino) silane, di- tert-butoxysilane (DTBOS) Butoxy silane (DTBOS), di-tert-butoxy silane (DTBOS), di-tert-butoxy Butoxy silane, di-tert-butoxy silane (DTBOS) and di-tert-butoxy (n-butylamino) silane, di-tert-butoxy silane DTBOS) and di-tert-butoxy (sec-butylamino) silane Butoxy silane (DTBOS) and di-tert-butoxy silane (DTBOS) and di-tert-butoxy silane (DTBOS) (DTPOS) and di-tert-pentoxy (methylamino) silane, di-tert-pentoxy silane (DTPOS) (Diethylamino) silane, di-tert-pentoxysilane (DTPOS) and di-tert-pentoxy (iso-propylamino) silane, di- (DTPOS) and di-tert-pentoxy (sec-butylamino) silane, di-tert-pentoxysilane (DTPOS) and But are not limited to, di-tert-pentoxy (iso-butylamino) silane, di-tert-pentoxysilane (DTPOS) and di-tert-pentoxy (tert-butylamino) . In one particular embodiment, the composition is used to deposit a silicon oxide film by flow chemical vapor deposition, wherein the bisaminoalkoxysilane having the formula (I) serves as a catalyst. In these or other embodiments, the silicon-containing precursor is selected to be compatible with the bisaminoalkoxysilane, for example, by having the same alkoxy substituent.

앞서 언급된 바와 같이, 실리콘-함유 필름 또는 코팅을 형성시키는데 사용되는 증착 방법이 증착 공정들이다. 본원에 기술된 방법에 대해 적합한 증착 공정들의 예는 사이클릭 CVD (CCVD), 열화학 기상 증착, 플라즈마 강화 화학 기상 증착 (PECVD), 고밀도 PECVD, 광자 보조 CVD, 플라즈마-광자 보조 (PPECVD), 극저온 화학 기상 증착, 화학 보조 기상 증착, 고온-필라멘트 화학 기상 증착, 액체 폴리머 전구체의 CVD, 및 저에너지 CVD (LECVD), 및 유동성 화학 기상 증착 (FCVD)을 포함하나, 이로 제한되는 것은 아니다. As previously mentioned, deposition processes used to form silicon-containing films or coatings are deposition processes. Examples of suitable deposition processes for the methods described herein include, but are not limited to, chemical vapor deposition processes such as chemical vapor deposition (CCVD), thermal chemical vapor deposition, plasma enhanced chemical vapor deposition (PECVD), high density PECVD, photon assisted CVD, But are not limited to, vapor deposition, chemical assisted vapor deposition, high temperature-filament chemical vapor deposition, CVD of liquid polymer precursors, and low energy chemical vapor deposition (LECVD), and fluid chemical vapor deposition (FCVD).

전형적인 FCVD 공정들을 사용하여 실리콘 옥사이드를 증착시키기 위한 것과 같은 특정 구체예에서, 본원에서 기술되는 비스아미노알콕시실란 전구체는 상대적으로 낮은 증착 온도, 예를 들어, 100

Figure pat00011
또는 그 미만, 50
Figure pat00012
또는 그 미만, 20
Figure pat00013
또는 그 미만, 심지어 0
Figure pat00014
또는 그 미만에서 인시츄 촉매로서의 오가노아민의 방출로 인해 촉매로서 본원에서 기술된 그러한 조성물과 같은 다른 실리콘-함유 전구체들과 조합하여 사용될 수 있다. In certain embodiments, such as for depositing silicon oxide using typical FCVD processes, the bisaminoalkoxysilane precursors described herein have relatively low deposition temperatures, such as 100 < RTI ID = 0.0 >
Figure pat00011
Or less, 50
Figure pat00012
Or less, 20
Figure pat00013
Or less, even 0
Figure pat00014
Containing precursors such as those described herein as catalysts due to the release of the organoamines as in situ catalysts in the presence or in the absence of a catalyst.

본원에서 사용되는 용어 "화학 기상 증착 공정들"은 기판 표면 상에서 반응하고/거나 분해하는 하나 이상의 휘발성 전구체에 기판이 노출되어 요망하는 증착을 일으키는 어떠한 공정을 나타낸다. The term "chemical vapor deposition processes " as used herein refers to any process in which the substrate is exposed to one or more volatile precursors that react and / or decompose on the substrate surface, resulting in the desired deposition.

본원에서 사용되는 용어 "원자층 증착 공정"은 가변 조성의 기판에 필름 물질을 증착시키는, 자가-제한적(예를 들어, 각각의 반응 사이클에서 증착된 필름 물질의 양이 일정함) 연속 표면 화학을 나타낸다. 일 구체예에서, 필름은 택일적으로 하나 이상의 실리콘-함유 전구체, 산소 공급원, 질소-함유 공급원, 또는 그 밖의 전구체 또는 시약에 기판 표면을 노출시킴으로써 ADL 공정을 통해 증착된다. 필름 성장은 표면 반응, 각 전구체 또는 시약의 펄스 길이, 및 증착 온도의 자기-제한적 제어에 의해 진행한다. 그러나, 기판의 표면이 포화되면, 필름 성장은 멈춘다. As used herein, the term "atomic layer deposition process" refers to a self-limiting (e.g., constant amount of film material deposited in each reaction cycle) continuous surface chemistry that deposits film material on a variable composition substrate . In one embodiment, the film is alternatively deposited via an ADL process by exposing the substrate surface to one or more silicon-containing precursors, an oxygen source, a nitrogen-containing source, or other precursor or reagent. Film growth proceeds by self-limiting control of surface reaction, pulse length of each precursor or reagent, and deposition temperature. However, when the surface of the substrate is saturated, the film growth stops.

본원에서 사용되는 전구체, 시약 및 공급원이 때때로 "가스상"으로서 기술될 수 있지만, 전구체는 불활성 가스와 함께 또는 없이 직접 기화, 버블링(bubbling) 또는 승화를 통해 반응기로 전달되는 액체 또는 고체일 수 있는 것으로 이해된다. 몇몇 경우에, 기화된 전구체는 플라즈마 생성기를 통과할 수 있다. Although the precursors, reagents and sources used herein may sometimes be described as "gaseous ", the precursor may be a liquid or solid that is passed to the reactor via direct vaporization, bubbling or sublimation with or without inert gas . In some cases, the vaporized precursor may pass through the plasma generator.

본원에서 사용되는 용어 "반응기"는 비제한적으로 반응 챔버 또는 증착 챔버를 포함한다. The term "reactor" as used herein includes, but is not limited to, a reaction chamber or a deposition chamber.

증착 방법에 의거하여, 특정 구체예에서 화학식 (I)을 갖는 비스아미노알콕시실란 전구체, 다른 실리콘-함유 전구체가 선결된 몰부피, 또는 약 0.1 내지 약 1000 마이크로몰로 반응기에 도입될 수 있다. 상기 또는 그 밖의 구체예들에서, 비스아미노알콕시실란 전구체는 선결된 기간 동안 반응기에 도입될 수 있다. 특정 구체예에서, 기간은 약 0.001 내지 약 500 초의 범위이다. Based on the deposition method, the bisaminoalkoxysilane precursor having the formula (I) in certain embodiments, other silicon-containing precursors, may be introduced into the reactor at a pre-determined molar volume, or from about 0.1 to about 1000 micromoles. In these or other embodiments, the bisaminoalkoxysilane precursor may be introduced into the reactor for a predefined period of time. In certain embodiments, the duration ranges from about 0.001 to about 500 seconds.

특정 구체예들에서, 본원에서 기술되는 방법을 사용하여 증착되는 실리콘-함유 필름은 산소를 포함하는 산소 공급원, 시약 또는 전구체를 사용하여 산소의 존재 하에 형성된다. In certain embodiments, the silicon-containing film deposited using the methods described herein is formed in the presence of oxygen using an oxygen source, reagent, or precursor comprising oxygen.

산소 공급원은 적어도 하나의 산소 공급원의 형태로 반응기에 도입될 수 있고/거나 증착 공정에서 사용되는 나머지 전구체에 우연히 존재할 수 있다. The oxygen source may be introduced into the reactor in the form of at least one oxygen source and / or may be inadvertently present in the remaining precursor used in the deposition process.

적합한 산소 공급원 가스들은 예를 들어, 물(H2O) (예를 들어, 탈이온수, 정제수 및/또는 증류수, 물과 다른 유기 액체를 함유하는 혼합물), 산소 (O2), 산소 플라즈마, 오존 (O3), NO, NO2, 일산화탄소 (CO), 과산화수소, 수소 및 산소를 포함하는 조성물, 이산화탄소(CO2) 및 이들의 조합물을 포함할 수 있다. 혼합물 중 유기 액체는 탄화수소, 방향족 탄화수소, 에테르, 아민, 케톤, 에스테르, 알코올, 유기 산, 디올, 아세틸렌계 알코올 및 유기 아미드로부터 선택될 수 있다.Suitable oxygen source gases include, for example, water (H 2 O) (e.g., deionized water, purified water and / or distilled water, a mixture containing water and other organic liquids), oxygen (O 2 ) (O 3 ), NO, NO 2 , carbon monoxide (CO), hydrogen peroxide, a composition comprising hydrogen and oxygen, carbon dioxide (CO 2 ), and combinations thereof. The organic liquid in the mixture may be selected from hydrocarbons, aromatic hydrocarbons, ethers, amines, ketones, esters, alcohols, organic acids, diols, acetylenic alcohols and organic amides.

특정 구체예들에서, 산소 공급원은 약 1 내지 약 2000 제곱 평방 센티미터(square cubic centimeter)(sccm) 또는 약 1 내지 약 1000 sccm 범위의 유량으로 반응기에 도입되는 산소 공급원 가스를 포함한다. 산소 공급원은 약 0.1 내지 약 100 초 범위의 시간 동안 도입될 수 있다. In certain embodiments, the oxygen source comprises an oxygen source gas introduced into the reactor at a flow rate ranging from about 1 to about 2000 square centimeters (sccm) or from about 1 to about 1000 sccm. The oxygen source may be introduced for a time ranging from about 0.1 to about 100 seconds.

일 특정 구체예에서, 산소 공급원은 10

Figure pat00015
또는 그 초과의 온도를 갖는 물을 포함한다. In one particular embodiment, the oxygen source is 10
Figure pat00015
Or higher. ≪ / RTI >

필름이 ALD 또는 사이클릭 CVD 공정에 의해 증착되는 구체예에서, 전구체 펄스는 0.01초 초과인 펄스 폭(pulse duration)을 지닐 수 있으며, 산소 공급원은 0.01초 미만의 펄스 폭을 지닐 수 있고, 물의 펄스 폭은 0.01초 미만인 펄스 폭을 지닐 수 있다.In embodiments where the film is deposited by an ALD or cyclic CVD process, the precursor pulse may have a pulse duration of greater than 0.01 seconds, the oxygen source may have a pulse width of less than 0.01 seconds, The width can have a pulse width less than 0.01 second.

여전히 또 다른 구체예에서, 0초 만큼 낮을 수 있는 펄스들 간의 펄스 폭은 사이에 퍼지(purge) 없이 연속해서 펄싱된다. 산소 공급원 또는 시약은 적어도 일부 탄소가 증착된 실리콘-함유 필름에 보유되도록 실리콘 전구체에 대해 1:1 미만의 분자량으로 제공된다. In still another embodiment, the pulse width between pulses, which may be as low as zero second, is pulsed continuously without purge. The oxygen source or reagent is provided in a molecular weight of less than 1: 1 relative to the silicon precursor such that at least some of the carbon is retained in the deposited silicon-containing film.

특정 구체예들에서, 산소 공급원은 전구체 펄스 및 플라즈마가 순차적으로 도입되는 동안 반응기에 연속적으로 유입된다. 전구체 펄스는 0.01초 초과의 펄스 폭을 가질 수 있고, 플라즈마 폭은 0.01초 내지 100초 범위일 수 있다. In certain embodiments, the oxygen source is continuously introduced into the reactor while the precursor pulses and plasma are introduced sequentially. The precursor pulse may have a pulse width of greater than 0.01 seconds, and the plasma width may range from 0.01 to 100 seconds.

특정 구체예들에서, 실리콘-함유 필름은 실리콘 및 질소를 포함한다. 이러한 구체예들에서, 본원에서 기술되는 방법을 사용하여 증착된 실리콘-함유 필름은 질소-함유 공급원의 존재 하에 형성된다. 질소-함유 공급원은 하나 이상의 질소 공급원의 형태로 반응기에 도입될 수 있고/거나 증착 공정에서 사용되는 나머지 전구체들 중에 우연히 존재할 수 있다. In certain embodiments, the silicon-containing film comprises silicon and nitrogen. In these embodiments, a silicon-containing film deposited using the methods described herein is formed in the presence of a nitrogen-containing source. The nitrogen-containing source may be introduced into the reactor in the form of one or more nitrogen sources and / or may be accidentally present in the remaining precursors used in the deposition process.

적합한 질소-함유 공급원 가스는 예를 들어, 암모니아, 하이드라진, 모노알킬하이드라진, 대칭 또는 비대칭 디알킬하이드라진, 질소, NO, N2O, NO2, 질소/수소, 암모니아 플라즈마, 질소 플라즈마, 암모니아/질소 플라즈마, 질소/수소 플라즈마, 오가노아민 플라즈마, 및 이들의 혼합물을 포함할 수 있다. 오가노아민 플라즈마가 질소-함유 공급원으로서 사용되는 구체예들에서, 예시적 유기 아민 플라즈마는 디에틸아민 플라즈마, 디메틸아민 플라즈마, 트리메틸 플라즈마, 트리메틸아민 플라즈마, 에틸렌디아민 플라즈마, 및 알콕시아민, 예컨대 에탄올아민 플라즈마가 포함되나, 이로 제한되는 것은 아니다.Suitable nitrogen-containing source gas, e.g., ammonia, hydrazine, monoalkyl hydrazine, symmetric or asymmetric dialkyl hydrazine, N, NO, N 2 O, NO 2, nitrogen / hydrogen, ammonia plasma, nitrogen plasma, ammonia / nitrogen Plasma, a nitrogen / hydrogen plasma, an organoamine plasma, and mixtures thereof. In embodiments in which the organoamine plasma is used as a nitrogen-containing source, exemplary organic amine plasma includes diethylamine plasma, dimethylamine plasma, trimethyl plasma, trimethylamine plasma, ethylenediamine plasma, and alkoxy amines such as ethanolamine But are not limited to, plasma.

특정 구체예들에서, 질소-함유 공급원은 약 1 내지 약 2000 제곱 평방 센티미터(sccm) 또는 약 1 내지 약 1000 sccm 범위의 유량으로 반응기에 도입되는 수소 및 질소를 포함하는 플라즈마 공급원 가스 또는 암모니아 플라즈마를 포함한다. In certain embodiments, the nitrogen-containing source comprises a plasma source gas or an ammonia plasma comprising hydrogen and nitrogen introduced into the reactor at a flow rate ranging from about 1 to about 2000 square centimeters (sccm) or from about 1 to about 1000 sccm .

질소-함유 공급원은 약 0.1 내지 약 100초 범위인 시간 동안 도입될 수 있다. 필름이 ALD 또는 사이클릭 CVD 공정에 의해 증착되는 구체예에서, 전구체 펄스는 0.01초 초과의 펄스 폭을 가질 수 있고, 질소-함유 공급원은 0.01초 미만인 펄스 폭을 가질 수 있는 반면, 물의 펄스 폭은 0.01초 미만인 펄스 폭을 가질 수 있다. 여전히 또 다른 구체예에서, 0초 만큼 낮을 수 있는 펄스들 간의 펄스 폭은 사이에 퍼지 없이 연속해서 펄싱된다.The nitrogen-containing source may be introduced for a time ranging from about 0.1 to about 100 seconds. In embodiments in which the film is deposited by an ALD or cyclic CVD process, the precursor pulse may have a pulse width in excess of 0.01 seconds and the nitrogen-containing source may have a pulse width of less than 0.01 seconds, And may have a pulse width less than 0.01 second. In yet another embodiment, the pulse width between pulses, which may be as low as zero seconds, is pulsed continuously without purging.

본원에서 기술되는 증착 방법들은 하나 이상의 퍼지 가스를 포함할 수 있다. 소모되지 않은 반응물 및/또는 반응 부산물들을 퍼어징시켜 내는데 사용되는 퍼지 가스는 전구체와 반응하지 않은 불활성 가스이다. 예시적 퍼지 가스는 아르곤 (Ar), 질소 (N2), 헬륨 (He), 네온, 수소 (H2), 및 이들의 혼합물을 포함하나, 이로 제한되는 것은 아니다. 특정 구체예에서, 퍼지 가스, 예컨대 Ar은 약 0.1 내지 1000초 동안 약 10 내지 약 2000 sccm의 유량 범위로 반응기에 공급됨으로써 반응기에 잔류할 수 있는 미반응 물질 및 어떠한 부산물을 퍼징시킨다. The deposition methods described herein may include one or more purge gases. The purge gas used to purge unburned reactants and / or reaction by-products is an inert gas that has not reacted with the precursor. Exemplary purge gases include, but are not limited to, argon (Ar), nitrogen (N 2 ), helium (He), neon, hydrogen (H 2 ), and mixtures thereof. In certain embodiments, the purge gas, such as Ar, is pumped into the reactor in a flow rate range of from about 10 to about 2000 sccm for from about 0.1 to about 1000 seconds to purge unreacted materials and any byproducts that may remain in the reactor.

전구체, 산소 공급원, 질소-함유 공급원, 및/또는 그 밖의 전구체, 소스(source) 가스, 및/또는 시약을 공급하는 각 단계는 형성되는 필름의 화학량론적 조성이 변화되도록 그것들을 공급하는 시간을 변동시킴으로써 수행될 수 있다. Each step of supplying a precursor, an oxygen source, a nitrogen-containing source, and / or other precursors, a source gas, and / or a reagent may vary the time of supplying them so that the stoichiometric composition of the formed film is changed. . ≪ / RTI >

전구체, 질소-함유 공급원, 환원제, 그 밖의 전구체 또는 이들의 조합물 중 적어도 하나에 에너지가 가해져 반응을 유도하고 기판 상에 필름 또는 코팅을 형성한다. 이러한 에너지는 비제한적으로, 열, 플라즈마, 펄스드(pulsed) 플라즈마, 헬리콘 플라즈마, 고밀도 플라즈마, 유도 결합 플라즈마, X-선, e-빔, 포톤(photon), 원격(remote) 플라즈마 방법들, 및 이들의 조합에 의해 제공될 수 있다.Energy is applied to at least one of a precursor, a nitrogen-containing source, a reducing agent, another precursor, or a combination thereof to induce a reaction and form a film or coating on the substrate. These energies include, but are not limited to, thermal, plasma, pulsed plasma, helicon plasma, high density plasma, inductively coupled plasma, X-ray, e-beam, photon, remote plasma methods, And combinations thereof.

특정 구체예들에서, 2차 RF 주파수 소스가 기판 표면에서 플라즈마 특징을 변형시키는데 사용될 수 있다. 증착이 플라즈마를 포함하는 구체예들에서, 플라즈마-생성 공정은 플라즈마가 반응기에서 직접 생성되는 직접 플라즈마-생성 공정, 또는 다르게는 플라즈마가 반응기 외부에서 생성되고, 반응기에 공급되는 원격 플라즈마-생성 공정을 포함할 수 있다. In certain embodiments, a secondary RF frequency source may be used to modify the plasma characteristics at the substrate surface. In embodiments where the deposition includes a plasma, the plasma-generating process may be a direct plasma-generating process in which the plasma is generated directly in the reactor, or alternatively a remote plasma-generating process in which the plasma is generated outside the reactor and fed to the reactor .

비스아미노알콕시실란 전구체 및/또는 그 밖의 실리콘-함유 전구체는 여러 방식으로 반응 챔버, 예컨대 CVD 또는 ALD 반응기에 전달될 수 있다. 일 구체예에서, 액체 전달 시스템이 이용될 수 있다. 대안의 구체예에서, 결합형 액체 전달 및 플래쉬 기화 공정 유닛, 예컨대, 예를 들어, MSP 코포레이션(MSP Corporation, Shoreview, MN)에 의해 제작된 터보 기화기가 사용되어 저휘발성 물질을 용량적으로 전달되게 할 수 있고, 이는 전구체의 열분해 없이 재현가능한 수송 및 증착을 유도한다. 액체 전달 포뮬레이션에서, 본원에서 기술되는 전구체는 순수 액체 형태로 전달될 수 있거나, 다르게는 이를 포함하는 용매 포뮬레이션 또는 조성물에 사용될 수 있다. 따라서, 특정 구체예들에서, 전구체 포뮬레이션은 기판 상에 필름을 형성하기 위해 제시되는 최종 사용 용도에서 바람직할 수 있고 유리할 수 있음에 따라 적합한 특징의 용매 성분(들)을 포함할 수 있다. The bisaminoalkoxysilane precursor and / or other silicon-containing precursors may be delivered to the reaction chamber, such as a CVD or ALD reactor, in a number of ways. In one embodiment, a liquid delivery system can be used. In alternative embodiments, a combined liquid transfer and flash vaporization process unit, such as a turbo vaporizer manufactured by, for example, MSP Corporation (Shoreview, Minn.) May be used to volatilize the low volatility material Which leads to reproducible transport and deposition without pyrolysis of the precursor. In liquid delivery formulations, the precursors described herein can be delivered in the form of a pure liquid, or alternatively can be used in solvent formulations or compositions containing them. Thus, in certain embodiments, precursor formulations may include solvent component (s) of suitable characteristics as may be desirable and advantageous in the end use applications presented to form a film on a substrate.

상기 또는 그 밖의 구체예들에서, 본원에서 기술되는 방법의 단계들은 다양한 순서로 수행될 수 있고, 순차적으로 또는 동시에(예를 들어, 또 다른 단계의 적어도 일부 동안에) 수행될 수 있고, 이들의 어떠한 조합으로 수행될 수 있는 것으로 이해된다. 전구체 및 질소-함유 공급원 가스를 공급하는 각각의 단계는 그것들을 공급하는 기간을 달리하여 형성되는 실리콘-함유 필름의 화학량론적 조성을 변동시킴으로써 수행될 수 있다.In the above or other embodiments, the steps of the method described herein may be performed in various orders, and may be performed sequentially or simultaneously (e.g., during at least a portion of another step) It should be understood that the invention may be practiced in combination. Each step of supplying the precursor and the nitrogen-containing source gas may be performed by varying the stoichiometric composition of the silicon-containing film formed by varying the duration of feeding them.

본원에서 기술되는 방법의 또 다른 구체예에서, 실리콘-함유 필름은 In another embodiment of the method described herein, the silicon-containing film comprises

ALD 반응기에 기판을 제공하는 단계; Providing a substrate to an ALD reactor;

ALD 반응기에 하기 화학식 (I)을 갖는 비스아미노알콕시실란 화합물을 포함하는 적어도 하나의 전구체를 도입하는 단계:Introducing at least one precursor comprising a bisaminoalkoxysilane compound having the following formula (I) in an ALD reactor:

R1Si(NR2R3)(NR4R5)OR6 (I)R 1 Si (NR 2 R 3 ) (NR 4 R 5 ) OR 6 (I)

[상기 식에서, R1은 수소 원자, C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, C4 내지 C10 방향족 탄화수소기로부터 선택되고; R2, R3, R4, 및 R5는 각각 독립적으로 수소 원자, C4 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; R6은 C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C2 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; 임의로 화학식 (I)에서 R2와 R3, R4와 R5, 또는 둘 모두는 함께 결합되어 고리를 형성할 수 있고; 임의로 화학식 (I)에서 R2 및 R4는 함께 결합되어 디아미노기를 형성할 수 있음];Wherein R 1 is a hydrogen atom, a C 1 to C 10 linear alkyl group, a C 3 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 alkynyl group, A C 4 to C 10 aromatic hydrocarbon group; Each of R 2 , R 3 , R 4 and R 5 is independently a hydrogen atom, a C 4 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 An alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; R 6 is a C 1 to C 10 linear alkyl group, a C 3 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 2 to C 10 alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; Optionally in formula (I), R 2 and R 3 , R 4 and R 5 , or both, may be joined together to form a ring; Optionally R < 2 > and R < 4 > in the formula (I) may be joined together to form a diamino group;

적어도 하나의 비스아미노알콕시실란 전구체를 기판 상에 화학흡착시키는 단계;Chemisorbing at least one bisaminoalkoxysilane precursor onto a substrate;

반응되지 않은 적어도 하나의 비스아미노알콕시실란 전구체를 퍼지 가스를 사용하여 퍼징시켜 내는 단계; Purging at least one unreacted bisaminoalkoxysilane precursor using a purge gas;

질소-함유 공급원을 가열된 기판 상의 적어도 하나의 비스아미노알콕시실란 전구체에 제공하여 흡착된 적어도 하나의 비스아미노알콕시실란 전구체와 반응시키는 단계; 및Providing a nitrogen-containing source to at least one bisaminoalkoxysilane precursor on a heated substrate to react with at least one adsorbed bisaminoalkoxysilane precursor; And

임의로 어떠한 미반응된 질소-함유 공급원을 퍼징시켜 내는 단계를 포함하는 ALD 증착 방법을 사용하여 형성된다. And optionally purging any unreacted nitrogen-containing source.

본원에서 기술되는 방법의 또 다른 구체예에서, 실리콘-함유 필름은 In another embodiment of the method described herein, the silicon-containing film comprises

반응기에 기판을 제공하는 단계; Providing a substrate to the reactor;

반응기에 하기 화학식 (I)을 갖는 비스아미노알콕시실란 화합물을 포함하는 적어도 하나의 전구체를 도입하는 단계:Introducing at least one precursor comprising a bisaminoalkoxysilane compound having the following formula (I) in a reactor:

R1Si(NR2R3)(NR4R5)OR6 (I)R 1 Si (NR 2 R 3 ) (NR 4 R 5 ) OR 6 (I)

[상기 식에서, R1은 수소 원자, C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, C4 내지 C10 방향족 탄화수소기로부터 선택되고; R2, R3, R4, 및 R5는 각각 독립적으로 수소 원자, C4 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; R6은 C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C2 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; 임의로 화학식 (I)에서 R2와 R3, R4와 R5, 또는 둘 모두는 함께 결합되어 고리를 형성할 수 있고; 임의로 화학식 (I)에서 R2 및 R4는 함께 결합되어 디아미노기를 형성할 수 있음];Wherein R 1 is a hydrogen atom, a C 1 to C 10 linear alkyl group, a C 3 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 alkynyl group, A C 4 to C 10 aromatic hydrocarbon group; Each of R 2 , R 3 , R 4 and R 5 is independently a hydrogen atom, a C 4 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 An alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; R 6 is a C 1 to C 10 linear alkyl group, a C 3 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 2 to C 10 alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; Optionally in formula (I), R 2 and R 3 , R 4 and R 5 , or both, may be joined together to form a ring; Optionally R < 2 > and R < 4 > in the formula (I) may be joined together to form a diamino group;

적어도 하나의 비스아미노알콕시실란 전구체를 기판 상에 화학흡착시키는 단계;Chemisorbing at least one bisaminoalkoxysilane precursor onto a substrate;

반응되지 않은 적어도 하나의 비스아미노알콕시실란 전구체를 퍼지 가스를 사용하여 퍼징시켜 내는 단계; Purging at least one unreacted bisaminoalkoxysilane precursor using a purge gas;

산소 공급원을 가열된 기판 상의 적어도 하나의 비스아미노알콕시실란 전구체에 제공하여 흡착된 적어도 하나의 비스아미노알콕시실란 전구체와 반응시키는 단계; 및Providing an oxygen source to at least one bisaminoalkoxysilane precursor on a heated substrate to react with at least one adsorbed bisaminoalkoxysilane precursor; And

임의로 어떠한 미반응된 산소 공급원을 퍼징시켜 내는 단계를 포함하는 ALD 증착 방법을 사용하여 형성된다. Optionally purge any unreacted oxygen source. ≪ RTI ID = 0.0 >

상기 단계들은 본원에서 기술되는 방법에 대한 하나의 사이클을 규정하고; 사이클은 실리콘-함유 필름의 요망하는 두께가 얻어질 때까지 반복될 수 있다. 상기 또는 그 밖의 구체예들에서, 본원에서 기술되는 방법의 단계들은 다양한 순서로 수행될 수 있고, 순차적으로 또는 동시에(예를 들어, 또 다른 단계의 적어도 일부 동안에) 수행될 수 있고, 이 둘의 조합으로 수행될 수 있는 것으로 이해된다. 전구체 및 산소 공급원을 공급하는 각 단계는, 항상 이용가능한 실리콘에 대한 화학량론적 양보다 적게 산소를 사용하는 것은 아니지만, 형성되는 실리콘-함유 필름의 화학량론적 조성이 변하도록 그것들을 공급하는 기간을 변경함으로써 수행될 수 있다. 다성분 실리콘-함유 필름에 있어서, 그 밖의 전구체, 예컨대 실리콘-함유 전구체, 질소-함유 전구체, 환원제, 또는 그 밖의 시약은 다르게는 반응기 챔버에 도입될 수 있다. The steps define one cycle for the method described herein; The cycle may be repeated until the desired thickness of the silicon-containing film is achieved. In the above or other embodiments, the steps of the method described herein may be performed in various orders, and may be performed sequentially or simultaneously (e.g., during at least a portion of another step) It should be understood that the invention may be practiced in combination. Each step of supplying the precursor and the oxygen source does not always use less than the stoichiometric amount of silicon for the available silicon, but it is also possible to vary the duration of supplying them so that the stoichiometric composition of the formed silicon- . In multi-component silicon-containing films, other precursors such as silicon-containing precursors, nitrogen-containing precursors, reducing agents, or other reagents can alternatively be introduced into the reactor chamber.

본원에 기술된 방법의 추가의 구체예에서, 실리콘 및 옥사이드 함유 필름은 열 CVD 공정을 사용하여 증착된다. 이러한 구체예에서, 방법은 In further embodiments of the methods described herein, the silicon and oxide containing films are deposited using a thermal CVD process. In this embodiment,

하나 이상의 기판을 주위 온도 내지 약 700

Figure pat00016
범위의 온도로 가열되고, 10 Torr 또는 그 미만의 압력에서 유지되는 반응기에 배치하고; The at least one substrate is heated from ambient temperature to about 700 <
Figure pat00016
Lt; RTI ID = 0.0 > 10 Torr < / RTI > or less;

하기 화학식 (I)을 갖는 비스아미노알콕시실란 화합물을 포함하는 적어도 하나의 전구체를 도입하는 단계:Introducing at least one precursor comprising a bisaminoalkoxysilane compound having the formula (I)

R1Si(NR2R3)(NR4R5)OR6 (I)R 1 Si (NR 2 R 3 ) (NR 4 R 5 ) OR 6 (I)

[상기 식에서, R1은 수소 원자, C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, C4 내지 C10 방향족 탄화수소기로부터 선택되고; R2, R3, R4, 및 R5는 각각 독립적으로 수소 원자, C4 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; R6은 C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C2 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; 임의로 화학식 (I)에서 R2와 R3, R4와 R5, 또는 둘 모두는 함께 결합되어 고리를 형성할 수 있고; 임의로 화학식 (I)에서 R2 및 R4는 함께 결합되어 디아미노기를 형성할 수 있음]; Wherein R 1 is a hydrogen atom, a C 1 to C 10 linear alkyl group, a C 3 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 alkynyl group, A C 4 to C 10 aromatic hydrocarbon group; Each of R 2 , R 3 , R 4 and R 5 is independently a hydrogen atom, a C 4 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 An alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; R 6 is a C 1 to C 10 linear alkyl group, a C 3 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 2 to C 10 alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; Optionally in formula (I), R 2 and R 3 , R 4 and R 5 , or both, may be joined together to form a ring; Optionally R < 2 > and R < 4 > in the formula (I) may be joined together to form a diamino group;

반응기에 산소 공급원을 공급하여 적어도 하나의 비스아미노알콕시실란 전구체와 적어도 부분적으로 반응시키고, 필름을 하나 이상의 기판 상에 증착시키는 것을 포함한다. CVD 방법의 특정 구체예들에서, 반응기는 도입 단계 동안에 100 mTorr 내지 10 Torr 범위의 압력에서 유지된다. Supplying an oxygen source to the reactor to at least partially react with the at least one bisaminoalkoxysilane precursor, and depositing the film on the at least one substrate. In certain embodiments of the CVD method, the reactor is maintained at a pressure in the range of 100 mTorr to 10 Torr during the introduction step.

상기 단계들은 본원에서 기술되는 방법에 대한 하나의 사이클을 규정하고; 사이클은 실리콘-함유 필름의 요망하는 두께가 얻어질 때까지 반복될 수 있다. 상기 또는 그 밖의 구체예들에서, 본원에서 기술되는 방법의 단계들은 다양한 순서로 수행될 수 있고, 순차적으로 또는 동시에(예를 들어, 또 다른 단계의 적어도 일부 동안에) 수행될 수 있고, 이 둘의 조합으로 수행될 수 있는 것으로 이해된다. 전구체 및 산소 공급원을 공급하는 각 단계는, 항상 이용가능한 실리콘에 대한 화학량론적 양보다 적게 산소를 사용하는 것은 아니지만, 형성되는 실리콘-함유 필름의 화학량론적 조성이 변하도록 그것들을 공급하는 기간을 변경함으로써 수행될 수 있다. 다성분 실리콘-함유 필름에 있어서, 그 밖의 전구체, 예컨대 실리콘-함유 전구체, 질소-함유 전구체, 산소 공급원, 환원제, 또는 그 밖의 시약은 다르게는 반응기 챔버에 도입될 수 있다. The steps define one cycle for the method described herein; The cycle may be repeated until the desired thickness of the silicon-containing film is achieved. In the above or other embodiments, the steps of the method described herein may be performed in various orders, and may be performed sequentially or simultaneously (e.g., during at least a portion of another step) It should be understood that the invention may be practiced in combination. Each step of supplying the precursor and the oxygen source does not always use less than the stoichiometric amount of silicon for the available silicon, but it is also possible to vary the duration of supplying them so that the stoichiometric composition of the formed silicon- . In multi-component silicon-containing films, other precursors, such as silicon-containing precursors, nitrogen-containing precursors, oxygen sources, reducing agents, or other reagents, may alternatively be introduced into the reactor chamber.

본원에 기술된 방법의 추가의 구체예에서, 실리콘 및 옥사이드 함유 필름은 열 CVD 공정을 사용하여 증착된다. 이러한 구체예에서, 방법은 In further embodiments of the methods described herein, the silicon and oxide containing films are deposited using a thermal CVD process. In this embodiment,

하나 이상의 기판을 주위 온도 내지 약 700

Figure pat00017
범위의 온도로 가열되고, 10 Torr 또는 그 미만의 압력에서 유지되는 반응기에 배치하고; The at least one substrate is heated from ambient temperature to about 700 <
Figure pat00017
Lt; RTI ID = 0.0 > 10 Torr < / RTI > or less;

하기 화학식 (I)을 갖는 비스아미노알콕시실란 화합물을 포함하는 적어도 하나의 전구체를 도입하고:Introducing at least one precursor comprising a bisaminoalkoxysilane compound having the formula (I)

R1Si(NR2R3)(NR4R5)OR6 (I)R 1 Si (NR 2 R 3 ) (NR 4 R 5 ) OR 6 (I)

[상기 식에서, R1은 수소 원자, C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, C4 내지 C10 방향족 탄화수소기로부터 선택되고; R2, R3, R4, 및 R5는 각각 독립적으로 수소 원자, C4 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; R6은 C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C2 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; 임의로 화학식 (I)에서 R2와 R3, R4와 R5, 또는 둘 모두는 함께 결합되어 고리를 형성할 수 있고; 임의로 화학식 (I)에서 R2 및 R4는 함께 결합되어 디아미노기를 형성할 수 있음]; 및Wherein R 1 is a hydrogen atom, a C 1 to C 10 linear alkyl group, a C 3 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 alkynyl group, A C 4 to C 10 aromatic hydrocarbon group; Each of R 2 , R 3 , R 4 and R 5 is independently a hydrogen atom, a C 4 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 An alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; R 6 is a C 1 to C 10 linear alkyl group, a C 3 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 2 to C 10 alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; Optionally in formula (I), R 2 and R 3 , R 4 and R 5 , or both, may be joined together to form a ring; Optionally R < 2 > and R < 4 > in the formula (I) may be joined together to form a diamino group; And

반응기에 질소-함유 공급원을 공급하여 적어도 하나의 비스아미노알콕시실란 전구체와 적어도 부분적으로 반응시키고, 실리콘-함유 필름을 하나 이상의 기판 상에 증착시키는 것을 포함한다. CVD 방법의 특정 구체예들에서, 반응기는 도입 단계 동안에 100 mTorr 내지 10 Torr 범위의 압력에서 유지된다. Providing a reactor with a nitrogen-containing source to at least partially react with at least one bisaminoalkoxysilane precursor, and depositing a silicon-containing film onto the at least one substrate. In certain embodiments of the CVD method, the reactor is maintained at a pressure in the range of 100 mTorr to 10 Torr during the introduction step.

앞서 언급된 바와 같이, 본원에서 기술되는 공정은 추가의 전구체, 예컨대 본원에서 기술되는 것들과 같은 또 다른 실리콘-함유 전구체와 함께 화학식 (I)을 갖는 비스아미노알콕시실란 화합물과 같이 하나 초과의 전구체를 사용하여 필름을 증착시키는데 사용될 수 있다. 이러한 구체예들에서, 하나 이상의 전구체는 사용되는 여러 전구체의 수에 의거하여 제1 전구체, 제2 전구체, 제3 전구체 등으로서 기술된다. 공정은 예를 들어, 사이클릭 화학 기상 증착 또는 원자층 증착에 사용될 수 있다. 이들 또는 그 밖의 구체예들에서, 전구체는 여러 방식으로 도입될 수 있다(예를 들어, a. 제1 전구체 도입; b. 퍼징; c. 제2 전구체 도입; d. 퍼징; e. 제3 전구체 도입; f. 퍼징 등 또는, 다르게는, a. 제1 전구체 도입; b. 퍼징; c. 제2 전구체 도입; d. 퍼징; e. 제2 전구체 도입; 등). 일 특정 구체예에서, As noted above, the processes described herein may be combined with additional precursors, such as, for example, bis-aminoalkoxysilane compounds having formula (I) in combination with another silicon-containing precursor such as those described herein, Can be used to deposit films. In these embodiments, the at least one precursor is described as a first precursor, a second precursor, a third precursor, etc. based on the number of different precursors used. The process can be used, for example, for cyclic chemical vapor deposition or atomic layer deposition. In these or other embodiments, the precursor may be introduced in a variety of ways (e.g., a. Introducing a first precursor; b. Purging; c. Introducing a second precursor; d. Fusing, etc., or alternatively, a) introduction of a first precursor, b) purging, c. Introduction of a second precursor, d) purging, e. In one particular embodiment,

a. 제1 전구체로부터 생성된 증기를 가열된 기판과 접촉시켜 가열된 기판 상에 제1 전구체를 화학적으로 흡착시키는 단계;a. Contacting the vapor generated from the first precursor with the heated substrate to chemically adsorb the first precursor on the heated substrate;

b. 어떠한 흡착되지 않은 전구체를 퍼징시키는 단계;b. Purging any unadsorbed precursor;

c. 가열된 기판 상에 산소 공급원을 도입하여 흡착된 제1 전구체와 반응시키는 단계; c. Introducing an oxygen source onto the heated substrate to react with the adsorbed first precursor;

d. 어떠한 미반응된 산소 공급원을 퍼징시켜 내는 단계; d. Purging any unreacted oxygen source;

e. 제1 전구체와 상이한 제2 전구체로부터 생성된 증기를 가열된 기판과 접촉시켜 가열된 기판 상에 제2 전구체를 화학적으로 흡착시키는 단계;e. Contacting the heated precursor with a vapor generated from a second precursor different from the first precursor to chemically adsorb a second precursor on the heated substrate;

f.어떠한 흡착되지 않은 전구체를 퍼징시키는 단계;f. purging any unadsorbed precursor;

g. 가열된 기판 상에 산소 공급원을 도입하여 흡착된 제1 및 제2 전구체와 반응시키는 단계; 및g. Introducing an oxygen source onto the heated substrate to react with the adsorbed first and second precursors; And

h. 어떠한 흡착되지 않은 산소 공급원을 퍼징시키는 단계를 포함하며; h. Purging any unadsorbed oxygen source;

단계 a 내지 h는 요망하는 두께에 도달할 때까지 반복되는, 실리콘-함유 필름을 증착시키는 공정이 제공된다. Steps a to h provide a process for depositing a silicon-containing film that repeats until a desired thickness is reached.

본원에서 기술되는 공정의 여전히 또 다른 구체예에서, In still another embodiment of the process described herein,

a. 제1 전구체로부터 생성된 증기를 가열된 기판과 접촉시켜 가열된 기판 상에 제1 전구체를 화학적으로 흡착시키는 단계;a. Contacting the vapor generated from the first precursor with the heated substrate to chemically adsorb the first precursor on the heated substrate;

b. 어떠한 흡착되지 않은 제1 전구체를 퍼징시키는 단계;b. Purging any unadsorbed first precursor;

c. 가열된 기판 상에 질소 공급원을 도입하여 흡착된 제1 전구체와 반응시키는 단계; c. Introducing a nitrogen source onto the heated substrate to react with the adsorbed first precursor;

d. 어떠한 미반응된 질소 공급원을 퍼징시켜 내는 단계; d. Purifying any unreacted nitrogen source;

e. 제1 전구체와 상이한 제2 전구체로부터 생성된 증기를 가열된 기판과 접촉시켜 가열된 기판 상에 제2 전구체를 화학적으로 흡착시키는 단계;e. Contacting the heated precursor with a vapor generated from a second precursor different from the first precursor to chemically adsorb a second precursor on the heated substrate;

f.어떠한 흡착되지 않은 제2 전구체를 퍼징시키는 단계;f. purging any unadsorbed second precursor;

g. 가열된 기판 상에 질소 공급원을 도입하여 흡착된 제2 전구체와 반응시키는 단계; 및g. Introducing a nitrogen source onto the heated substrate to react with the adsorbed second precursor; And

h. 어떠한 흡착되지 않은 질소 공급원을 퍼징시키는 단계를 포함하며; h. Purging any unadsorbed nitrogen source;

단계 a 내지 h는 요망하는 두께에 도달할 때까지 반복되는, 실리콘-함유 필름을 증착시키는 방법이 제공된다. Steps a to h provide a method of depositing a silicon-containing film that is repeated until a desired thickness is reached.

또 다른 구체예에서, 기판이 적어도 하나의 표면 피쳐(feature)를 갖는 유동성 화학 기상 증착 공정으로 실리콘-함유 필름을 증착시키는 방법이 기술된다. 본원에서 사용되는 용어 "표면 피쳐"는 피쳐, 예컨대, 비제한적으로, 기공, 트렌치(trench), 웰(well), 스텝(step), 갭(gap), 비아(via), 및 이들의 조합을 의미한다. In another embodiment, a method is disclosed for depositing a silicon-containing film in a fluid chemical vapor deposition process wherein the substrate has at least one surface feature. As used herein, the term "surface feature" refers to a feature, such as, but not limited to, pores, trenches, wells, steps, gaps, vias, it means.

일 특정 구체예에서, 유동성 화학 기상 증착 공정은 In one particular embodiment, the flowable chemical vapor deposition process comprises

-20

Figure pat00018
내지 약 400
Figure pat00019
범위의 온도에서 유지되는 반응기에 표면 피쳐를 지닌 기판을 배치하는 단계; -20
Figure pat00018
To about 400
Figure pat00019
Disposing a substrate having surface features in a reactor maintained at a temperature in the range;

반응기에 하기 화학식 (I)을 갖는 비스아미노알콕시실란 화합물을 포함하는 적어도 하나의 전구체 및 질소 공급원을 도입하는 단계로서, 적어도 하나의 화합물이 질소 공급원과 반응하여 표면 피쳐의 적어도 일부 상에 니트라이드 함유 필름을 형성하는 단계:Introducing at least one precursor and a nitrogen source comprising a bisaminoalkoxysilane compound having the formula (I) in the reactor, wherein at least one compound reacts with a nitrogen source to form a nitrate containing Forming a film:

R1Si(NR2R3)(NR4R5)OR6 (I)R 1 Si (NR 2 R 3 ) (NR 4 R 5 ) OR 6 (I)

[상기 식에서, R1은 수소 원자, C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, C4 내지 C10 방향족 탄화수소기로부터 선택되고; R2, R3, R4, 및 R5는 각각 독립적으로 수소 원자, C4 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; R6은 C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C2 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; 임의로 화학식 (I)에서 R2와 R3, R4와 R5, 또는 둘 모두는 함께 결합되어 고리를 형성할 수 있고; 임의로 화학식 (I)에서 R2 및 R4는 함께 결합되어 디아미노기를 형성할 수 있음]; 및 Wherein R 1 is a hydrogen atom, a C 1 to C 10 linear alkyl group, a C 3 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 alkynyl group, A C 4 to C 10 aromatic hydrocarbon group; Each of R 2 , R 3 , R 4 and R 5 is independently a hydrogen atom, a C 4 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 An alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; R 6 is a C 1 to C 10 linear alkyl group, a C 3 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 2 to C 10 alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; Optionally in formula (I), R 2 and R 3 , R 4 and R 5 , or both, may be joined together to form a ring; Optionally R < 2 > and R < 4 > in the formula (I) may be joined together to form a diamino group; And

기판을 약 100

Figure pat00020
내지 약 1000
Figure pat00021
범위의 하나 이상의 온도에서 산소 공급원으로 처리하여 표면 피쳐의 적어도 일부 상에 필름을 형성시키는 단계를 포함한다. 대안의 구체예에서, 필름은 약 100
Figure pat00022
내지 약 1000
Figure pat00023
범위의 온도에서 UV 조사에 노출되는 동안 산소 공급원에 노출된다. 공정 단계들은 표면 피쳐가 고품질의 실리콘 옥사이드 필름으로 채워질 때까지 반복될 수 있다. The substrate was baked at about 100
Figure pat00020
To about 1000
Figure pat00021
Lt; RTI ID = 0.0 > 1 < / RTI > of the surface to form a film on at least a portion of the surface feature. In an alternate embodiment, the film is about 100 < RTI ID = 0.0 >
Figure pat00022
To about 1000
Figure pat00023
Lt; RTI ID = 0.0 > UV < / RTI > The process steps can be repeated until the surface feature is filled with a high quality silicon oxide film.

본원에 기술된 방법의 추가의 구체예에서, 필름은 유동성 CVD 공정을 사용하여 증착된다. 이러한 구체예에서, 방법은 In further embodiments of the methods described herein, the film is deposited using a flowable CVD process. In this embodiment,

표면 피쳐를 포함하는 하나 이상의 기판을 -20

Figure pat00024
내지 약 400
Figure pat00025
범위의 온도에서 가열되고, 100 Torr 또는 그 미만의 압력에서 유지되는 반응기에 배치하고;One or more substrates comprising surface features are treated with -20
Figure pat00024
To about 400
Figure pat00025
Lt; RTI ID = 0.0 > 100 Torr < / RTI > or less;

화학식 (I)을 갖는 비스아미노알콕시실란 화합물을 포함하는 적어도 하나의 전구체를 도입하고:Introducing at least one precursor comprising a bisaminoalkoxysilane compound having the formula (I)

R1Si(NR2R3)(NR4R5)OR6 (I)R 1 Si (NR 2 R 3 ) (NR 4 R 5 ) OR 6 (I)

[상기 식에서, R1은 수소 원자, C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, C4 내지 C10 방향족 탄화수소기로부터 선택되고; R2, R3, R4, 및 R5는 각각 독립적으로 수소 원자, C4 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; R6은 C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C2 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; 임의로 화학식 (I)에서 R2와 R3, R4와 R5, 또는 둘 모두는 함께 결합되어 고리를 형성할 수 있고; 임의로 화학식 (I)에서 R2 및 R4는 함께 결합되어 디아미노기를 형성할 수 있음]; Wherein R 1 is a hydrogen atom, a C 1 to C 10 linear alkyl group, a C 3 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 alkynyl group, A C 4 to C 10 aromatic hydrocarbon group; Each of R 2 , R 3 , R 4 and R 5 is independently a hydrogen atom, a C 4 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 An alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; R 6 is a C 1 to C 10 linear alkyl group, a C 3 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 2 to C 10 alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; Optionally in formula (I), R 2 and R 3 , R 4 and R 5 , or both, may be joined together to form a ring; Optionally R < 2 > and R < 4 > in the formula (I) may be joined together to form a diamino group;

반응기에 산소 공급원을 공급하여 적어도 하나의 화합물과 반응시킴으로써 필름을 형성시키고 표면 피쳐의 적어도 일부를 피복시키고;Supplying an oxygen source to the reactor to react with at least one compound to form a film and coat at least a portion of the surface feature;

필름을 약 100

Figure pat00026
내지 약 1000
Figure pat00027
범위의 하나 이상의 온도에서 어닐링하여 실리콘-함유 필름이 표면 피쳐의 적어도 일부를 피복시키는 것을 포함한다. 상기 구체예의 산소 공급원은 수증기, 물 플라즈마, 오존, 산소, 산소 플라즈마, 산소/헬륨 플라즈마, 산소/아르곤 플라즈마, 질소 옥사이드 플라즈마, 이산화탄소 플라즈마, 과산화수소, 유기 퍼옥사이드, 및 이들의 혼합물로 이루어진 군으로부터 선택된다. 공정은 표면 피쳐가 실리콘-함유 필름으로 채워질 때까지 반복될 수 있다. 수증기가 이러한 구체예에서 산소 공급원으로서 사용되는 경우, 기판 온도는 바람직하게는 -20 내지 100
Figure pat00028
, 매우 바람직하게는 -10 내지 80
Figure pat00029
이다.The film was coated at about 100
Figure pat00026
To about 1000
Figure pat00027
Lt; RTI ID = 0.0 > silicon-containing < / RTI > film to cover at least a portion of the surface feature. The oxygen source of the embodiments is selected from the group consisting of water vapor, water plasma, ozone, oxygen, oxygen plasma, oxygen / helium plasma, oxygen / argon plasma, nitrogen oxide plasma, carbon dioxide plasma, hydrogen peroxide, organic peroxide, do. The process can be repeated until the surface features are filled with the silicon-containing film. When water vapor is used as the oxygen source in these embodiments, the substrate temperature is preferably between -20 and 100
Figure pat00028
, Very preferably -10 to 80
Figure pat00029
to be.

일 특정 구체예에서, 실리콘 옥시니트라이드 및 카본-도핑된 실리콘 옥시니트라이드로부터 선택된 필름을 유동성 화학 기상 증착 공정으로 증착시키기 위한 방법은 In one particular embodiment, a method for depositing a film selected from silicon oxynitride and carbon-doped silicon oxynitride with a fluid chemical vapor deposition process comprises

표면 피쳐를 지닌 기판을 -20

Figure pat00030
내지 약 400
Figure pat00031
범위의 온도에서 유지되는 반응기에 배치하고; A substrate having a surface feature is referred to as -20
Figure pat00030
To about 400
Figure pat00031
Placing in a reactor maintained at a temperature in the range of;

반응기에 화학식 (I)을 갖는 비스아미노알콕시실란 화합물을 포함하는 적어도 하나의 전구체 및 질소 공급원을 도입하는 단계로서, 적어도 하나의 화합물이 질소 공급원과 반응하여 표면 피쳐의 적어도 일부 상에 니트라이드 함유 필름을 형성하고:Introducing into the reactor at least one precursor comprising a bisaminoalkoxysilane compound having the formula (I) and a nitrogen source, wherein at least one compound reacts with a nitrogen source to form a nitrile-containing film on at least a portion of the surface features Lt; / RTI >

R1Si(NR2R3)(NR4R5)OR6 (I)R 1 Si (NR 2 R 3 ) (NR 4 R 5 ) OR 6 (I)

[상기 식에서, R1은 수소 원자, C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, C4 내지 C10 방향족 탄화수소기로부터 선택되고; R2, R3, R4, 및 R5는 각각 독립적으로 수소 원자, C4 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; R6은 C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C2 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; 임의로 화학식 (I)에서 R2와 R3, R4와 R5, 또는 둘 모두는 함께 결합되어 고리를 형성할 수 있고; 임의로 화학식 (I)에서 R2 및 R4는 함께 결합되어 디아미노기를 형성할 수 있음];Wherein R 1 is a hydrogen atom, a C 1 to C 10 linear alkyl group, a C 3 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 alkynyl group, A C 4 to C 10 aromatic hydrocarbon group; Each of R 2 , R 3 , R 4 and R 5 is independently a hydrogen atom, a C 4 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 An alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; R 6 is a C 1 to C 10 linear alkyl group, a C 3 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 2 to C 10 alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; Optionally in formula (I), R 2 and R 3 , R 4 and R 5 , or both, may be joined together to form a ring; Optionally R < 2 > and R < 4 > in the formula (I) may be joined together to form a diamino group;

기판을 질소 공급원으로 처리하여 실리콘 옥시니트라이드 또는 카본-도핑된 실리콘 옥시니트라이드 필름을 형성함으로써 표면 피쳐의 적어도 일부를 피복시키는 것을 포함한다. 임의로, 필름은 약 100

Figure pat00032
내지 약 1000
Figure pat00033
범위의 온도에서 UV 조사에 노출되어 형성되는 필름을 치밀화시킬 수 있다. And treating the substrate with a nitrogen source to form at least a portion of the surface feature by forming a silicon oxynitride or carbon-doped silicon oxynitride film. Optionally, the film may be about 100
Figure pat00032
To about 1000
Figure pat00033
It is possible to densify the film formed by exposure to UV radiation at a range of temperatures.

추가의 구체예에서, 본원에는 사이클릭 화학 기상 증착 (CCVD) 또는 원자층 증착 (ALD) 기술들, 예컨대, 비제한적으로 플라즈마 강화 ALD (PEALD) 또는 플라즈마 강화 CCVD (PECCVD) 공정을 사용하여 실리콘-함유 필름을 증착시키는 공정이 기술된다. 이러한 구체예들에서, 증착 온도는 특정 반도체 용도에서 요구되는 필름 특성들의 세부사항들을 제어하기 위해 비교적 높거나, 약 500 내지 800

Figure pat00034
일 수 있다. 일 특정 구체예에서, 공정은 화학식 (I) 또는 A를 지닌 비스아미노알콕시실란로부터 생성된 증기를 가열된 기판과 접촉시켜 전구체를 가열된 기판 상에 화학적으로 흡착시키는 단계; 어떠한 흡착되지 않은 전구체를 퍼징시켜 내는 단계; 환원제를 도입하여 흡착된 전구체를 환원시키는 단계; 및 어떠한 미반응된 환원제를 퍼징시켜 내는 단계를 포함한다.In a further embodiment, the present disclosure provides a method of fabricating a silicon-on-insulator substrate using cyclic chemical vapor deposition (CCVD) or atomic layer deposition (ALD) techniques, such as, but not limited to, plasma enhanced ALD (PEALD) or plasma enhanced CCVD (PECCVD) Containing film is described. In these embodiments, the deposition temperature may be relatively high to control the details of the film properties required in a particular semiconductor application,
Figure pat00034
Lt; / RTI > In one particular embodiment, the process comprises the steps of chemically adsorbing a precursor on a heated substrate by contacting a vapor produced from a bisaminoalkoxysilane having the formula (I) or A with a heated substrate; Purging any unadsorbed precursor; Introducing a reducing agent to reduce the adsorbed precursor; And purifying any unreacted reducing agent.

또 다른 구체예에서, 화학식 (I)을 갖는 하나 이상의 비스아미노알콕시실란 전구체 화합물을 포함하는 실리콘-함유 필름을 증착시키기 위한 용기가 본원에 기술된다. 일 특정 구체예에서, 용기는 하나 이상의 전구체를 CVD 또는 ALD 공정을 위한 반응기에 전달하도록 하는 적당한 밸브 및 피팅이 장착된 적어도 하나의 가압가능한 용기(바람직하게는 스테인레스강으로 이루어짐)를 포함한다. 상기 또는 그 밖의 구체예들에서, 비스아미노알콕시실란 전구체는 스테인레스강으로 이루어진 가압가능한 용기에 제공되고, 전구체의 순도는 대다수의 반도체 용도에 적합한 98 중량% 또는 그 초과 또는 99.5 중량% 또는 그 초과이다. 특정 구체예에서, 이러한 용기는 또한 전구체를 요망에 따라 하나 이상의 추가의 전구체와 혼합하기 위한 수단을 지닐 수 있다. 상기 또는 그 밖의 구체예들에서, 용기(들)의 내용물이 추가의 전구체와 사전혼합될 수 있다. 다르게는, 비스아미노알콕시실란 전구체 및/또는 다른 전구체는 별도의 용기에서, 또는 저장 동안 비스아미노알콕시실란 전구체 및 다른 전구체를 분리된 채로 유지시키기 위한 분리 수단을 지닌 단일 용기에서 유지될 수 있다. In another embodiment, a container for depositing a silicon-containing film comprising at least one bisaminoalkoxysilane precursor compound having the formula (I) is described herein. In one particular embodiment, the vessel comprises at least one pressurizable vessel (preferably made of stainless steel) equipped with suitable valves and fittings to deliver one or more precursors to a reactor for CVD or ALD processing. In these or other embodiments, the bisaminoalkoxysilane precursor is provided in a pressurizable container of stainless steel, and the purity of the precursor is 98 wt% or greater or 99.5 wt% or greater, which is suitable for most semiconductor applications . In certain embodiments, such a container may also have means for mixing the precursor with one or more additional precursors as desired. In these or other embodiments, the contents of the container (s) may be premixed with further precursors. Alternatively, the bisaminoalkoxysilane precursor and / or other precursor may be maintained in a separate vessel, or in a single vessel with separate means for maintaining the bisaminoalkoxysilane precursor and the other precursor separately during storage.

본원에서 기술되는 방법의 일 구체예에서, 사이클릭 증착 공정, 예컨대 CCVD, ALD, 또는 PEALD가 사용될 수 있으며, 화학식 (I)을 갖는 적어도 하나의 비스아미노알콕시실란 전구체 및 임의로 질소-함유 공급원 예컨대, 예를 들어, 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 질소, 질소/수소, 암모니아 플라즈마, 질소 플라즈마, 질소 및 수소를 포함하는 플라즈마가 사용된다. In one embodiment of the process described herein, a cyclic deposition process such as CCVD, ALD, or PEALD can be used, wherein at least one bisaminoalkoxysilane precursor having the formula (I) and optionally a nitrogen- For example, a plasma containing ammonia, hydrazine, monoalkyl hydrazine, dialkyl hydrazine, nitrogen, nitrogen / hydrogen, ammonia plasma, nitrogen plasma, nitrogen and hydrogen is used.

명세서 전반에서, 용어 "ALD 또는 ALD-유사"는 하기 공정들을 포함하나, 그로 제한되지 않는 공정을 나타낸다: a) 비스아미노알콕시실란 전구체 및 반응성 가스를 포함하는 각각의 반응물이 반응기, 예컨대 단일 웨이퍼 ALD 반응기, 세미-배치(semi-batch) ALD 반응기, 또는 배치 로 (batch furnace) ALD 반응기로 순차적으로 도입되고; b) 비스아미노알콕시실란 전구체 및 반응성 가스를 포함하는 각각의 반응물은 반응기의 상이한 섹션으로 기판으로 이동시키거나 그에 대해 회전시킴으로써 기판에 노출되고, 각 섹션은 불활성 가스 커튼(inert gas curtain), 즉, 공간적 ALD 반응기 또는 롤 투 롤(roll to roll) ALD 반응기에 의해 분리된다. Throughout the specification, the term "ALD or ALD-like" refers to a process including, but not limited to, a) reacting each reactant comprising a bisaminoalkoxysilane precursor and a reactive gas in a reactor such as a single wafer ALD Are sequentially introduced into a reactor, a semi-batch ALD reactor, or a batch furnace ALD reactor; b) each reactant comprising a bisaminoalkoxysilane precursor and a reactive gas is exposed to the substrate by moving to or rotating relative to the substrate in a different section of the reactor, each section comprising an inert gas curtain, Space ALD reactor, or a roll-to-roll ALD reactor.

특정 구체예들에서, 실리콘-함유 필름은 유동성 화학 기상 증착 (FCVD) 공정을 사용하여 증착된다. FCVD 공정의 일 특정 구체예에서, 본원에서 기술되는 비스아미노알콕시실란 전구체는 양성자성 시약, 예컨대 물과 반응하여 기판의 표면 피쳐의 적어도 일부를 채울 수 있는 유동성 액체를 형성하고, 임의로 열 어닐링(thermal annealing), 자외선(UV) 광 노출, 적외선(IR)으로 이루어진 군으로부터 선택된 적어도 하나의 처리로 기판을 처리하여 고체 실리콘 및 산소 함유 필름을 제공한다. FCVD 공정의 또 다른 구체예에서, 본원에서 기술되는 비스아미노알콕시실란 전구체는 산소 공급원 (물 제외)과 반응하여 기판의 표면 피쳐의 적어도 일부를 채울 수 있는 유동성 액체를 형성하고, 임의로 열 어닐링, 자외선(UV) 광 노출, 적외선(IR)으로 이루어진 군으로부터 선택된 적어도 하나의 처리로 기판을 처리하여 고체 실리콘 및 산소 함유 필름을 제공한다. 본원에서 기술되는 또한 추가의 구체예에서, 본원에서 기술되는 비스아미노알콕시실란 전구체는 질소 공급원과 반응하여 기판의 표면 피쳐의 적어도 일부를 채울 수 있는 유동성 액체를 형성하고, 열 어닐링, 자외선(UV) 광 노출, 적외선(IR)으로 이루어진 군으로부터 선택된 적어도 하나의 처리로 기판을 처리하여 고체 실리콘 및 질소 함유 필름을 제공하고, 임의로, 산소 공급원에 의해 처리함으로써 고체 실리콘 및 질소 함유 필름을 고체 실리콘 및 옥사이드 함유 필름으로 전환시킨다. 질소-함유 공급원은 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 질소 및 수소를 포함하는 플라즈마, 암모니아 플라즈마, 질소 플라즈마, 메틸아민, 디메틸아민, 트리메틸아민, 에틸아민, 디에틸아민, 트리메틸아민, 3차-부틸아민, 에틸렌디아민, 에탄올아민을 포함하나 이로 제한되는 것은 아닌 유기 아민, 유기 아민 플라즈마로 이루어진 군으로부터 선택될 수 있다. FCVD 공정의 여전히 또 다른 구체예에서, 본원에서 기술되는 비스아미노알콕시실란 전구체는 플라즈마 공급원과 반응하여 기판의 표면 피쳐의 적어도 일부를 채울 수 있는 유동성 액체를 형성하고, 임의로 열 어닐링, 자외선(UV) 광 노출, 적외선(IR)으로 이루어진 군으로부터 선택된 적어도 하나의 처리로 기판을 처리하여 고체 실리콘 함유 필름을 제공한다. 플라즈마 공급원은 헬륨 플라즈마, 아르곤 플라즈마, 헬륨 및 수소를 포함하는 플라즈마, 아르곤 및 수소를 포함하는 플라즈마로 이루어진 군으로부터 선택될 수 있다. 플라즈마가 FCVD 또는 그 밖의 증착 공정들에 적용되는 경우, 플라즈마는 인시츄 또는 원격으로 생성될 수 있다. FCVD 증착 공정을 사용하는 구체예와 관련하여, 일 특정 구체예에서 원격 플라즈마 생성기는 그것이 기판 상의 구조물이 덜 손상되게 하기 때문에 사용된다. In certain embodiments, the silicon-containing film is deposited using a fluid chemical vapor deposition (FCVD) process. In one particular embodiment of the FCVD process, the bisaminoalkoxysilane precursor described herein is reacted with a protic reagent, such as water, to form a flowable liquid that can fill at least a portion of the surface features of the substrate, optionally with thermal annealing wherein the substrate is treated with at least one process selected from the group consisting of annealing, annealing, ultraviolet (UV) light exposure, and infrared (IR) to provide solid silicon and an oxygen containing film. In another embodiment of the FCVD process, the bisaminoalkoxysilane precursor described herein is reacted with an oxygen source (other than water) to form a flowable liquid that can fill at least a portion of the surface features of the substrate, optionally followed by thermal annealing, ultraviolet (UV) light exposure, and infrared (IR) to provide a solid silicon and oxygen containing film. In still further embodiments described herein, the bisaminoalkoxysilane precursors described herein form a flowable liquid that can react with the nitrogen source to fill at least a portion of the surface features of the substrate and can be thermally annealed, ultraviolet (UV) Treating the substrate with at least one process selected from the group consisting of light exposure, infrared light (IR) to provide a solid silicon and nitrogen containing film, optionally treating the solid silicon and nitrogen containing film with an oxygen source, Containing film. The nitrogen-containing source may be a plasma comprising ammonia, hydrazine, monoalkyl hydrazine, dialkyl hydrazine, nitrogen and hydrogen, ammonia plasma, nitrogen plasma, methylamine, dimethylamine, trimethylamine, ethylamine, diethylamine, Tertiary-butylamine, ethylenediamine, ethanolamine, but not limited to, organic amines, organic amine plasmas. In yet another embodiment of the FCVD process, the bisaminoalkoxysilane precursor described herein forms a flowable liquid that can react with a plasma source to fill at least a portion of the surface features of the substrate and optionally perform thermal annealing, ultraviolet (UV) Light exposure, and infrared (IR), to provide a solid silicon-containing film. The plasma source may be selected from the group consisting of a helium plasma, an argon plasma, a plasma comprising helium and hydrogen, a plasma comprising argon and hydrogen. When the plasma is applied to FCVD or other deposition processes, the plasma may be generated in situ or remotely. With respect to embodiments employing FCVD deposition processes, in one particular embodiment the remote plasma generator is used because it makes the structure on the substrate less subject to damage.

앞서 언급된 바와 같이, 본원에서 기술되는 방법은 기판의 적어도 일부 상에 실리콘-함유 필름을 증착시키는데 사용될 수 있다. 적합한 기판의 예는 비제한적으로, 실리콘, SiO2, Si3N4, OSG, FSG, 실리콘 카바이드, 수소화된 실리콘 카바이드, 실리콘 니트라이드, 수소화된 실리콘 니트라이드, 실리콘 카보니트라이드, 수소화된 실리콘 카보니트라이드, 보로니트라이드, 반사방지 코팅, 포토레지스트(photoresist), 유기 폴리머, 다공성 유기 및 무기 물질, 금속, 예컨대 구리 및 알루미늄, 및 확산 배리어층, 예컨대, 비제한적으로 TiN, Ti(C) N, TaN, Ta(C) N, Ta, W, 또는 WN를 포함한다. 필름은 여러 후속 가공 단계들, 예컨대, 예를 들어, 화학적 기계적 평탄화(chemical mechanical planarization)(CMP) 및 이방성 에칭 공정들과 상용성이다. As noted above, the methods described herein can be used to deposit a silicon-containing film on at least a portion of a substrate. Examples of suitable substrates include, but are not limited to, silicon, SiO 2, Si 3 N 4, OSG, FSG, silicon carbide, hydrogenated silicon carbide, silicon nitride, hydrogenated silicon nitride, silicon carbonitride, hydrogenated silicon carbonyl Such as, but not limited to, TiN, Ti (C) N, TiN, TiN, and the like, as well as other materials such as, for example, nitrides, boronitrides, antireflective coatings, photoresists, organic polymers, porous organic and inorganic materials, metals such as copper and aluminum, , TaN, Ta (C) N, Ta, W, or WN. The film is compatible with several subsequent processing steps such as, for example, chemical mechanical planarization (CMP) and anisotropic etching processes.

증착된 필름은 컴퓨터 칩, 광학 소자, 자기 정보 저장, 지지 물질 또는 기판 상의 코팅, 마이크로전자기계 시스템(MEMS), 나노전자기계 시스템, 박막 트랜지스터(TFF), 및 액정 디스플레이(LCD)를 포함하나, 이로 제한되는 것은 아닌 용도를 갖는다. The deposited film may include a computer chip, an optical element, a magnetic information storage, a coating on a support material or substrate, a microelectromechanical system (MEMS), a nanoelectromechanical system, a thin film transistor (TFF), and a liquid crystal display (LCD) But are not limited to these.

실시예Example

실시예 1: 비스 (3차-부틸아미노) 에톡시메틸실란의 합성 Example 1: Synthesis of bis (tert-butylamino) ethoxymethylsilane

-30

Figure pat00035
로 냉각된 1.5L의 무수 THF 중의 72.0g (481.7mmol)의 메틸트리클로로실란의 용액에 반응의 내부 온도를 -30
Figure pat00036
로 유지하면서 추가의 깔때기를 통해 140.9g (1926.7mmol)의 3차-부틸아민을 적가하였다. 형성된 백색 슬러리를 기계식 교반기로 교반하였다. 3차-부틸아민 하이드로클로라이드 염을 형성된 진한 슬러리로부터 여과해 내고, 추가의 200mL의 헥산으로 세척하고, 가압하여 염 안에 포집될 가능성이 있는 잔류 생성물을 축출하였다. 별도의 반응에서, -30
Figure pat00037
에서의 300mL의 무수 THF 중의 22.2g (480.8mmol) 에탄올의 용액에, 내부 온도를 -30
Figure pat00038
로 유지하면서 헥산 중의 205.0mL (512.5mmol)의 2.5M n-부틸리튬 용액을 적가하였다. nBuLi의 첨가가 완료된 후, 반응 혼합물은 등명한 색에서 밝은 황색 현탁액으로 변하였다. 이후, 반응 혼합물을 실온으로 가온되게 하고, 자기 교반 막대로 교반하였다. 밤새 교반한 후, 리튬 에톡사이드 반응 혼합물은 밝은 황색 현탁액에서 백색 슬러리로 변하였다. 이후, 리튬 에톡사이드 반응물을 <0
Figure pat00039
에서 반응을 유지하면서, 단계 1로부터의 여과된 반응 혼합물에 부가 깔때기를 통해 인시튜로 첨가하였다. 리튬 에톡사이드의 첨가는 반응이 그러한 발열반응이지 않음이 목격됨에 따라 다소 빠르게 이루어졌다. 형성된 백색 현탁액을 실온으로 가온되게 하고 교반하였다. 수시간의 경과 후, 반응 혼합물은 백색 현탁액에서 황색으로, 오렌지색으로 변하였다. 미정제 반응 혼합물을 중간 프릿을 통해 여과하여 염화리튬을 연상시키는 25.0g의 갈색 고형물을 형성시켰다. 여액은 호박 오렌지색 용액이었고, 용매를 제거하기 위해 50
Figure pat00040
의 오일조 온도로 100Torr에서 회전증발 처리하였다. 109.26g의 미정제 물질의 분리 및 정제를 82
Figure pat00041
및 10Torr에서 분별 증류에 의해 수행하였다. 51.4g의 등명한 액체가 92.5% 검정에서 분리되었다. 열중량 분석(Thermogravimetric analysis) (TGA)/ 시차 주사 열량법(differential scanning calorimetry) (DCS)은 205
Figure pat00042
에서의 비점 및 2.52% 잔여물을 나타냈다. 안정성 시험은 80
Figure pat00043
에서 4일 동안 가열 후 0.38%의 평균 순도 증가를 나타냈다. 분석은 90.18%에서 90.56%로 증가한 것으로 나타났다. -30
Figure pat00035
To a solution of 72.0 g (481.7 mmol) of methyltrichlorosilane in 1.5 L of anhydrous THF cooled to -30
Figure pat00036
140.9 g (1926.7 mmol) of tert-butylamine was added dropwise through an additional funnel while maintaining the temperature at < RTI ID = 0.0 &gt; The resulting white slurry was stirred with a mechanical stirrer. The tert-butylamine hydrochloride salt was filtered from the thick slurry formed, washed with an additional 200 mL of hexane, and pressurized to remove any residual product that could be trapped in the salt. In a separate reaction, -30
Figure pat00037
To a solution of 22.2 g (480.8 mmol) ethanol in 300 mL dry THF at -30
Figure pat00038
(512.5 mmol) of a 2.5M solution of n-butyllithium in hexane was added dropwise. After the addition of nBuLi was complete, the reaction mixture turned from a light color to a light yellow suspension. The reaction mixture was then allowed to warm to room temperature and stirred with a magnetic stir bar. After stirring overnight, the lithium ethoxide reaction mixture turned from a light yellow suspension to a white slurry. Thereafter, the lithium ethoxide reactant was allowed to react at &lt; 0
Figure pat00039
Was added in-situ via an addition funnel to the filtered reaction mixture from step 1, maintaining the reaction at &lt; RTI ID = 0.0 &gt; The addition of lithium ethoxide was somewhat faster as the reaction was observed to be not such an exothermic reaction. The resulting white suspension was allowed to warm to room temperature and stirred. After several hours, the reaction mixture turned from a white suspension to yellow and orange. The crude reaction mixture was filtered through an intermediate frit to form 25.0 g of brown solids reminiscent of lithium chloride. The filtrate was an orange pumpkin solution and 50 &lt; RTI ID = 0.0 &gt;
Figure pat00040
At 100 Torr with the oil bath temperature of &lt; RTI ID = 0.0 &gt; Separation and purification of 109.26 g of crude material was carried out as described in 82
Figure pat00041
And fractional distillation at 10 Torr. 51.4 g of clarified liquid was separated in 92.5% assay. Thermogravimetric analysis (TGA) / differential scanning calorimetry (DCS)
Figure pat00042
Lt; / RTI &gt; and a 2.52% residue. The stability test was 80
Figure pat00043
Showed an average purity increase of 0.38% after heating for 4 days. The analysis showed an increase from 90.18% to 90.56%.

실시예 2: 비스(3차-부틸아미노)메톡시메틸실란의 합성Example 2: Synthesis of bis (tert-butylamino) methoxymethylsilane

-30

Figure pat00044
로 냉각된 1.5L의 무수 THF 중의 72.0g (481.7mmol)의 메틸트리클로로실란의 용액에 반응의 내부 온도를 -30
Figure pat00045
로 유지하면서 추가의 깔때기를 통해 140.9g (1926.7mmol)의 3차-부틸아민을 적가하였다. 형성된 백색 슬러리를 기계식 교반기로 교반하였다. 3차-부틸아민 하이드로클로라이드 염을 형성된 진한 슬러리로부터 여과해 내고, 추가의 200mL의 헥산으로 세척하고, 가압하여 염 안에 포집될 가능성이 있는 잔류 생성물을 축출하였다. 별도의 반응에서, -30
Figure pat00046
에서의 300mL의 무수 THF 중의 15.4 g (481.7mmol) 메탄올의 용액에, 내부 온도를 -30
Figure pat00047
로 유지하면서 헥산 중의 202.3mL (505.8mmol)의 2.5M n-부틸리튬 용액을 적가하였다. nBuLi의 첨가가 완료된 후, 반응 혼합물을 실온으로 가온되게 하고, 자기 교반 막대로 교반하였다. 밤새 교반한 후, 리튬 메톡사이드 반응 혼합물을 <0
Figure pat00048
에서 반응을 유지하면서, 단계 1로부터의 여과된 반응 혼합물에 부가 깔때기를 통해 인시튜로 첨가하였다. 형성된 백색 현탁액을 실온으로 가온되게 하고 교반하였다. 총 250mL의 THF를 첨가하여 반응이 완료되게 하기 위한 충분한 용해도를 제공하였다. 여과에 의해 염화리튬을 연상시키는 21.5g으로 칭량되는 약간 황색의 분말을 얻었다. 여액으로부터 회전증발에 의해 용매를 제거하여 122.45g의 미정제 물질을 분리시켰다. 정제를 75 및 10Torr에서 패킹된 컬럼(packed column)을 사용하여 진공 증류에 의해 수행하였다. 78.7g의 양으로 등명한 액체가 분리되었다. 샘플을 안정성 및 TGA/DCS에 대해 처리하였다. DSC는 186.6
Figure pat00050
의 비점을 나타냈다. 추가 관측은 80
Figure pat00051
에서 3일 동안 3회 가열 후 0.08%의 평균 증가(90.79%에서 90.87%로)를 나타냈다. -30
Figure pat00044
To a solution of 72.0 g (481.7 mmol) of methyltrichlorosilane in 1.5 L of anhydrous THF cooled to -30
Figure pat00045
140.9 g (1926.7 mmol) of tert-butylamine was added dropwise through an additional funnel while maintaining the temperature at < RTI ID = 0.0 &gt; The resulting white slurry was stirred with a mechanical stirrer. The tert-butylamine hydrochloride salt was filtered from the thick slurry formed, washed with an additional 200 mL of hexane, and pressurized to remove any residual product that could be trapped in the salt. In a separate reaction, -30
Figure pat00046
To a solution of 15.4 g (481.7 mmol) methanol in 300 mL dry THF at -30
Figure pat00047
(505.8 mmol) of a 2.5M solution of n-butyllithium in hexane was added dropwise. After the addition of nBuLi was complete, the reaction mixture was allowed to warm to room temperature and stirred with a magnetic stir bar. After stirring overnight, the lithium methoxide reaction mixture was &lt; 0
Figure pat00048
Was added in-situ via an addition funnel to the filtered reaction mixture from step 1, maintaining the reaction at &lt; RTI ID = 0.0 &gt; The resulting white suspension was allowed to warm to room temperature and stirred. A total of 250 mL of THF was added to provide sufficient solubility to complete the reaction. A slightly yellow powder was obtained which was weighed to 21.5 g which was reminiscent of lithium chloride by filtration. The solvent was removed by rotary evaporation from the filtrate to isolate 122.45 g of crude material. Tablets 75 &Lt; / RTI &gt; and a packed column at 10 Torr. A clear liquid was separated in an amount of 78.7 g. Samples were processed for stability and TGA / DCS. DSC 186.6
Figure pat00050
Respectively. Additional observations are 80
Figure pat00051
Showed an average increase of 0.08% (from 90.79% to 90.87%) after 3 times of heating for 3 days.

실시예 3: 비스(3차-부틸아미노)이소프로폭시메틸실란의 합성Example 3: Synthesis of bis (tert-butylamino) isopropoxymethylsilane

-30

Figure pat00052
로 냉각된 50mL의 헥산 중의 3.35g (22.44mmol)의 메틸트리클로로실란의 용액에 반응의 내부 온도를 -30
Figure pat00053
로 유지하면서 6.56g (89.75 mmol)의 3차-부틸아민을 적가하였다. 형성된 백색 슬러리를 자기 교반 막대로 교반하였다. 백색 염으로서 3차-부틸아민 하이드로클로라이드를 형성된 진한 슬러리로부터 여과해 내고, 추가의 20mL의 헥산으로 세척하고, 가압하여 염 안에 포집될 가능성이 있는 잔류 생성물을 축출하였다. 별도의 반응에서, -30
Figure pat00054
에서의 30mL의 무수 THF 중의 1.35 g (22.44mmol) 이소프로필 알코올의 용액에, 내부 온도를 -30
Figure pat00055
로 유지하면서 헥산 중의 9.0mL (22.4mmol)의 2.5M n-부틸리튬 용액을 적가하였다. nBuLi의 첨가가 완료된 후, 반응 혼합물을 실온으로 가온되게 하고, 단계 1로부터의 여과된 반응 혼합물에 인시튜로 첨가하였다. 형성된 백색 현탁액을 밤새 교반한 후, 이를 중간 프릿을 통해 여과하여 LiCl을 연상시키는 백색 고형물을 분리시켰다. 여액은 오렌지색-황색 용액이었다. 증류를 240Torr 및 50
Figure pat00056
에서 수행하여 용매를 제거하였다. 용매를 제거하자, 보다 많은 LiCl 염이 침전하였고, 0.24g의 양으로 분리되었다. 벌브 투 벌브 진공 트랜스퍼(bulb to bulb vacuum transfer)를 <1Torr 및 90
Figure pat00057
에서 수행하여 2.67g의 등명한 액체를 분리시켰다. 정제된 물질의 GC/GC-MS를 실행하였다. TGA/DCS는 212.5
Figure pat00058
에서의 비점 및 0.70% 잔여물을 나타냈다. 3일 동안 80
Figure pat00059
로의 가열에 의해 수행된 안정성 시험은 평균 순도가 90.17%에서 90.12%로 감소하였음을 나타냈다. -30
Figure pat00052
To a solution of 3.35 g (22.44 mmol) of methyltrichlorosilane in 50 mL of hexane cooled to -30
Figure pat00053
6.56 g (89.75 mmol) of tert-butylamine was added dropwise. The resulting white slurry was stirred with a magnetic stir bar. Tert-Butylamine hydrochloride as a white salt was filtered from the thick slurry formed, washed with an additional 20 mL of hexane, and pressurized to remove any residual product that could be trapped in the salt. In a separate reaction, -30
Figure pat00054
To a solution of 1.35 g (22.44 mmol) isopropyl alcohol in 30 mL dry THF at -30
Figure pat00055
(22.4 mmol) of a 2.5 M solution of n-butyllithium in hexane was added dropwise. After the addition of nBuLi was complete, the reaction mixture was allowed to warm to room temperature and was added in-situ to the filtered reaction mixture from step 1. The resulting white suspension was stirred overnight, which was then filtered through an intermediate frit to isolate a white solid reminiscent of LiCl. The filtrate was orange-yellow solution. Distillation at 240 Torr and 50
Figure pat00056
To remove the solvent. Upon removal of the solvent, more LiCl salt precipitated and separated in an amount of 0.24 g. Bulb to bulb vacuum transfer to <1 Torr and 90
Figure pat00057
To isolate 2.67 g of the clear liquid. GC / GC-MS of the purified material was run. TGA / DCS is 212.5
Figure pat00058
Lt; / RTI &gt; and a 0.70% residue. 80 days for 3 days
Figure pat00059
Showed that the average purity decreased from 90.17% to 90.12%. &Lt; tb &gt;&lt; TABLE &gt;

실시예 4: 비스(이소프로필아미노)3차-부톡시메틸실란의 합성Example 4: Synthesis of bis (isopropylamino) tert-butoxymethylsilane

20mL의 헥산 중의 1.0 g (4.6 mmol)의 트리스(이소프로필아미노)메틸실란에 0.34g (4.6mmol)의 무수 3차-부탄올을 첨가하였다. 한달에 걸쳐, 가스 크로마토그래피 질량 분석(GC-MS)은 233amu의 모 피크를 갖는 요망하는 생성물을 입증하였다. 화합물의 안정성은 측정되지 않았다.0.34 g (4.6 mmol) of anhydrous tert-butanol was added to 1.0 g (4.6 mmol) of tris (isopropylamino) methylsilane in 20 mL of hexane. Over a month, gas chromatography mass spectrometry (GC-MS) demonstrated the desired product with a parent peak of 233 amu. The stability of the compounds was not determined.

비교 실시예 1: 비스(이소프로필아미노)에톡시메틸실란의 합성 Comparative Example 1: Synthesis of bis (isopropylamino) ethoxymethylsilane

20mL의 헥산 중의 1.0g (4.6mmol)의 트리스(이소프로필아미노)메틸실란에 0.21g (4.6mmol)의 무수 에탄올을 첨가하였다. GC-MS는 204amu의 모 피크를 지닌 요망하는 생성물을 나타냈다. 수일 후 반응 혼합물에 대해 GC-MS 및 가스 크로마토그래피 (GC)를 실행하였으며, 마지막 분석 이래로 두 개의 새로운 피크가 발현하였음을 나타냈다. GC/GC-MS는 혼합물이 (증가하는 체류 시간 순으로) 148amu의 모 피크를 지닌 2부의 의문의 피크, 4 부의 MTES, 191amu의 모 피크를 지닌 1 부의 이소프로필아미노-비스-에톡시메틸실란, 2 부의 요망하는 생성물, 및 10 부의 트리스(이소프로필아미노)메틸실란으로서 존재함을 나타냈다. 이는 리간드 변화가 일어나고 있고, 화합물이 안정하지 않음을 나타낸다. 비교로, 3차-부틸아미노기 갖는 실시예 1-3에서의 화합물들은 보다 안정하고, 보다 우수한 전구체일 것이다. 0.21 g (4.6 mmol) of anhydrous ethanol was added to 1.0 g (4.6 mmol) of tris (isopropylamino) methylsilane in 20 mL of hexane. GC-MS showed the desired product with a parent peak of 204 amu. After several days GC-MS and gas chromatography (GC) were performed on the reaction mixture and two new peaks were expressed since the last analysis. The GC / GC-MS showed that the mixture had two (2) doubtful peaks with a parent peak of 148 amu (in order of increasing residence time), four (4) MTES, one (1) isopropylamino-bis-ethoxymethylsilane with a parent peak of 191 amu , 2 parts of the desired product, and 10 parts of tris (isopropylamino) methylsilane. This indicates that a ligand change is taking place and the compound is not stable. By comparison, the compounds in Examples 1-3 with tert-butylamino groups would be more stable and better precursors.

비교 실시예 2: 비스(이소프로필아미노)이소프로폭시메틸실란 (비교 실시예)의 합성 Comparative Example 2: Synthesis of bis (isopropylamino) isopropoxymethylsilane (comparative example)

1.0L의 헥산 중의 145.67g (670mmol)의 트리스(이소프로필아미노)메틸실란에 -20

Figure pat00060
에서 40.27g (670mmol)의 무수 이소프로필 알코올을 첨가하였다. 한 달의 기간 후, GC/GC-MS는 13 대 6 대 43 대 31의 트리스-이소프로폭시 대 비스-이소프로폭시 대 치환된 하나의 이소프로폭시 대 트리스(이소프로필아미노)메틸실란의 비를 나타냈다. 비교 실시예 1과 같이, 이는 리간드 변화가 일어나고 있고, 화합물이 안정하지 않음을 나타낸다.To 145.67 g (670 mmol) of tris (isopropylamino) methylsilane in 1.0 L of hexane was added -20
Figure pat00060
Was added 40.27 g (670 mmol) of anhydrous isopropyl alcohol. After one month period, the GC / GC-MS showed a ratio of 13 to 6 versus 43 to 31 of tris-isopropoxy versus bis-isopropoxy versus one isopropoxy to tris (isopropylamino) methylsilane substituted Respectively. As in Comparative Example 1, this indicates that a ligand change is taking place and the compound is not stable.

실시예 5: 이소-프로필 알코올의 존재 하에서의 비스(3차-부틸아미노)에톡시메틸실란의 가수분해Example 5: Hydrolysis of bis (tert-butylamino) ethoxymethylsilane in the presence of iso-propyl alcohol

실시예 1에서 보여지는 바와 같이 화합물 비스(3차-부틸아미노)에톡시메틸실란을 제조하였다. 1 부피비의 비스(3차-부틸아미노)에톡시메틸실란을 10 부의 이소프로필 알코올 중의 20% 수용액과 혼합하였다. 혼합물을 초기 혼합 후 1시간 째에 GC로 모니터링하였으며, 비스-3차-부틸아미노에톡시메틸실란의 완전한 가수분해/축합이 일어났으나 겔화는 없었음을 나타냈다. 혼합물은 결국 16시간 내에 겔화되었고, 이는 그것의 가수분해/축합, 이후 겔화의 속도로 인해 FCVD 공정에서 안정할 것임을 나타낸다. 겔화는 충분한 가교가 일어나 자유-유동 액체를 고체로 변하게 하였으며, 이는 FCVD 전구체에 대한 중요한 특징임을 나타낸다. The compound bis (tert-butylamino) ethoxymethylsilane was prepared as shown in Example 1. One part by volume of bis (tert-butylamino) ethoxymethylsilane was mixed with 10 parts of a 20% aqueous solution in isopropyl alcohol. The mixture was monitored by GC at 1 hour after the initial mixing and showed complete hydrolysis / condensation of bis-tert-butylaminoethoxymethylsilane but no gelation. The mixture eventually geled within 16 hours, indicating that it would be stable in the FCVD process due to its hydrolysis / condensation, followed by the rate of gelation. Gelling resulted in sufficient cross-linking to convert the free-flowing liquid to a solid, indicating an important feature for the FCVD precursor.

실시예 6: 에이징 후 비스(3차-부틸아미노)에톡시메틸실란 스핀-코팅된 필름과 트리스-이소프로필아미노메틸실란 필름 간의 비교 Example 6: Comparison between bis (tert-butylamino) ethoxymethylsilane spin-coated film and tris-isopropylaminomethylsilane film after aging

화합물 비스(3차-부틸아미노)에톡시메틸실란을 실시예 1에서 보여지는 바와 같이 제조하였다. 1 부피비의 비스(3차-부틸아미노)에톡시메틸실란을 4부의 이소프로필 알코올 중의 20% 수용액과 혼합하고, 주위 조건 하에 2시간 동안 에이징시킨 후, 2000 rpm에서 Laurell WS-400 스핀 코터(spin coater)를 사용하여 실리콘 웨이퍼 상에서 스피닝시켰다. 웨이퍼를 10분 동안 150

Figure pat00061
에서 열처리하고, 푸리에 변환 적외선 분광법(Fourier Transform Infrared Spectroscopy)(FTIR)에 의해 분석하였다. 필름은 트리스-이소프로필아미노메틸실란 필름의 것과 유사한 Si-OH 결합이 증착되지 않음을 나타내었다. 비교용 트리스-이소프로필아미노메틸실란 필름을 하기와 같이 제조하였다: 1 부피부의 트리스-이소프로필아미노메틸실란을 5 부의 이소프로필 알코올 중의 20% 수용액과 혼합하였다. 혼합물을 주위 조건 하에서 1시간 동안 에이징시킨 후, 2000 rpm에서 Laurell WS-400 스핀 코터를 사용하여 실리콘 웨이퍼 상에서 스피닝시켰다. 트리스-이소프로필아미노메틸실란 필름이 그것의 보다 빠른 가수분해/축합 속도로 인해 비스(3차-부틸아미노)에톡시메틸실란 필름보다 더 짧은 시간 동안에 에이징되었다. 웨이퍼를 10분 동안 150
Figure pat00062
에서 열처리하고, FTIR에 의해 분석하였으며, Si-OH가 증착되지 않음을 나타내었고, 이는 필름이 완전히 가교됨을 나타낸다. 비교 용도는 어떠한 필름도 Si-OH 결합을 갖지 않음을 나타내었다. Compound bis (tert-butylamino) ethoxymethylsilane was prepared as shown in Example 1. (Tert-butylamino) ethoxymethylsilane was mixed with a 20% aqueous solution in 4 parts of isopropyl alcohol, aged for 2 hours under ambient conditions, and then transferred to a Laurell WS-400 spin coater at 2000 rpm coater on a silicon wafer. The wafer was immersed in 150 &lt; RTI ID =
Figure pat00061
, And analyzed by Fourier Transform Infrared Spectroscopy (FTIR). The films showed that Si-OH bonds similar to those of the tris-isopropylaminomethylsilane film were not deposited. A comparative tris-isopropylaminomethylsilane film was prepared as follows: Part 1 skin tris-isopropylaminomethylsilane was mixed with 5 parts of 20% aqueous solution in isopropyl alcohol. The mixture was aged under ambient conditions for 1 hour and then spun on a silicon wafer using a Laurell WS-400 spin coater at 2000 rpm. The tris-isopropylaminomethylsilane film was aged for a shorter time than the bis (tert-butylamino) ethoxymethylsilane film due to its faster hydrolysis / condensation rate. The wafer was immersed in 150 &lt; RTI ID =
Figure pat00062
And analyzed by FTIR, indicating that Si-OH was not deposited, indicating that the film was completely crosslinked. The comparison shows that no film has Si-OH bonds.

실시예 7: 이소-프로필 알코올 및 Surfynol® 61 (3,5-디메틸-1-헥신-3-올) 계면활성제의 존재 하에서의 비스(3차-부틸아미노)에톡시메틸실란의 가수분해Example 7: Hydrolysis of bis (tert-butylamino) ethoxymethylsilane in the presence of iso-propyl alcohol and Surfynol® 61 (3,5-dimethyl-1-hexyn-

화합물 비스(3차-부틸아미노)에톡시메틸실란을 실시예 1에서 보여지는 바와 같이 제조하였다. 1 부피부의 비스(3차-부틸아미노)에톡시메틸실란을 4부의Surfynol® 61 대 이소프로필 알코올의 1:4 혼합물 중의 20% 수용액과 혼합하였다. 혼합물을 주위 조건 하에 2시간 동안 에이징시킨 후, 2000 rpm에서 Laurell WS-400 스핀 코터를 사용하여 실리콘 웨이퍼 상에서 스피닝시켰다. 유사하게, 1 부피비의 비스-3차-부틸아미노에톡시메틸실란을 4부의 Surfynol® 61 대 이소프로필 알코올의 1:4 혼합물 중의 20% 수용액과 혼합하고, 2시간 동안 에이징시킨 후, 실시예 6에서 기술된 바와 같이 스피닝시켰다. Surfynol® 61의 첨가는 스피닝된 필름의 균일성을 향상시키지 못함을 나타냈다. Compound bis (tert-butylamino) ethoxymethylsilane was prepared as shown in Example 1. Bis (tert-butylamino) ethoxymethylsilane was mixed with 4 parts of a 20% aqueous solution in a 1: 4 mixture of Surfynol 61 versus isopropyl alcohol. The mixture was aged under ambient conditions for 2 hours and then spun on a silicon wafer using a Laurell WS-400 spin coater at 2000 rpm. Similarly, one part by volume of bis-tert-butylaminoethoxymethylsilane was mixed with 4 parts of a 20% aqueous solution in a 1: 4 mixture of Surfynol (R) 61 to isopropyl alcohol and aged for 2 hours, Lt; / RTI &gt; The addition of Surfynol® 61 did not improve the uniformity of the spinning film.

실시예 8: 에탄올의 존재 하에서의 비스(3차-부틸아미노)에톡시메틸실란의 가수분해Example 8: Hydrolysis of bis (tert-butylamino) ethoxymethylsilane in the presence of ethanol

화합물 비스(3차-부틸아미노)에톡시메틸실란을 실시예 1에서 보여지는 바와 같이 제조하였다. 1 부피부의 비스(3차-부틸아미노)에톡시메틸실란을 10부의 에탄올 중의 20% 수용액과 혼합하였다. 혼합물을 초기 혼합 후 한시간 째에 GC에 의해 모니터링하였으며, 비스-3차-부틸아미노에톡시메틸실란의 완전한 가수분해/축합이 일어났으나, 겔화는 일어나지 않음을 나타냈다. 혼합물은 결국 16시간 내에 겔화되었고, 이는 그것의 가수분해/축합, 이후 겔화의 속도로 인해 FCVD 공정에 적합할 것임을 나타낸다. Compound bis (tert-butylamino) ethoxymethylsilane was prepared as shown in Example 1. Bis (tert-butylamino) ethoxymethylsilane in one part skin was mixed with 10 parts of a 20% aqueous solution in ethanol. The mixture was monitored by GC one hour after the initial mixing and showed complete hydrolysis / condensation of bis-tert-butylaminoethoxymethylsilane, but no gelation occurred. The mixture eventually geled within 16 hours, indicating that it would be suitable for the FCVD process due to its hydrolysis / condensation, followed by the rate of gelation.

실시예 9: 에탄올의 존재 하에서의 비스(3차-부틸아미노)에톡시메틸실란의 가수분해Example 9: Hydrolysis of bis (tert-butylamino) ethoxymethylsilane in the presence of ethanol

화합물 비스(3차-부틸아미노)에톡시메틸실란을 실시예 1에서 보여지는 바와 같이 제조하였다. 1 부피부의 비스(3차-부틸아미노)에톡시메틸실란을 4부의 에탄올 중의 20% 수용액과 혼합하였다. 혼합물을 초기 혼합 후 한시간 째에 GC에 의해 모니터링하였으며, 비스-3차-부틸아미노에톡시메틸실란의 완전한 가수분해/축합이 일어났으나, 겔화는 일어나지 않음을 나타냈다. 혼합물은 결국 16시간 내에 겔화되었고, 이는 그것의 가수분해/축합, 이후 겔화의 속도로 인해 FCVD 공정에 적합할 것임을 나타낸다. Compound bis (tert-butylamino) ethoxymethylsilane was prepared as shown in Example 1. One part skin of bis (tert-butylamino) ethoxymethylsilane was mixed with 4 parts of a 20% aqueous solution in ethanol. The mixture was monitored by GC one hour after the initial mixing and showed complete hydrolysis / condensation of bis-tert-butylaminoethoxymethylsilane, but no gelation occurred. The mixture eventually geled within 16 hours, indicating that it would be suitable for the FCVD process due to its hydrolysis / condensation, followed by the rate of gelation.

실시예 10: 에탄올의 존재 하에서의 비스(3차-부틸아미노)에톡시메틸실란의 합성Example 10: Synthesis of bis (tert-butylamino) ethoxymethylsilane in the presence of ethanol

화합물 비스(3차-부틸아미노)에톡시메틸실란을 실시예 1에서 보여지는 바와 같이 제조하였다. 1 부피부의 비스-3차-부틸아미노에톡시메틸실란을 4부의 에틸 알코올 중의 20% 수용액과 혼합하였다. 혼합물을 주위 조건 하에 2시간 동안 에이징시킨 후, 2000 rpm에서 Laurell WS-400 스핀 코터를 사용하여 실리콘 웨이퍼 상에서 스피닝시켰다. 형성된 필름은 백색 분말이고, 균일하지 않았다. 본 실시예는 알코올의 첨가가 스피닝된 필름의 상이한 물리적 특징을 제공함을 나타낸다. Compound bis (tert-butylamino) ethoxymethylsilane was prepared as shown in Example 1. One part skin of bis-tert-butylaminoethoxymethylsilane was mixed with 4 parts of a 20% aqueous solution in ethyl alcohol. The mixture was aged under ambient conditions for 2 hours and then spun on a silicon wafer using a Laurell WS-400 spin coater at 2000 rpm. The formed film was a white powder and was not uniform. This example shows that the addition of alcohol provides different physical characteristics of the spinning film.

실시예 11: 이소-프로필 알코올의 존재 하에서의 비스(3차-부틸아미노)메톡시메틸실란의 가수분해Example 11: Hydrolysis of bis (tert-butylamino) methoxymethylsilane in the presence of iso-propyl alcohol

화합물 비스(3차-부틸아미노)에톡시메틸실란을 실시예 2에서 보여지는 바와 같이 제조하였다. 1 부피부의 비스-3차-부틸아미노메톡시메틸실란을 10부의 이소프로필 알코올 중의 20% 수용액과 혼합하였다. 혼합물을 초기 혼합 후 한시간 째에 GC에 의해 모니터링하였으며, 비스-3차-부틸아미노메톡시메틸실란의 완전한 가수분해/축합이 일어났으나, 겔화는 일어나지 않음을 나타냈다. 혼합물은 결국 16시간 내에 겔화되었고, 이는 그것의 가수분해/축합, 이후 겔화의 속도로 인해 FCVD 공정에서 적합할 것임을 나타낸다. Compound bis (tert-butylamino) ethoxymethylsilane was prepared as shown in Example 2. One part skin of bis-tert-butylaminomethoxymethylsilane was mixed with 10 parts of a 20% aqueous solution in isopropyl alcohol. The mixture was monitored by GC for one hour after the initial mixing and showed complete hydrolysis / condensation of bis-tert-butylaminomethoxymethylsilane, but no gelation occurred. The mixture eventually geled within 16 hours, indicating that its hydrolysis / condensation, followed by the rate of gelation, would be suitable in the FCVD process.

실시예 12: 이소-프로필 알코올의 존재 하에서의 비스(3차-부틸아미노)메톡시메틸실란의 가수분해Example 12: Hydrolysis of bis (tert-butylamino) methoxymethylsilane in the presence of iso-propyl alcohol

화합물 비스(3차-부틸아미노)에톡시메틸실란을 실시예 2에서 보여지는 바와 같이 제조하였다. 1 부피부의 비스-3차-부틸아미노메톡시메틸실란을 4부의 이소프로필 알코올 중의 20% 수용액과 혼합하였다. 혼합물을 GC에 의해 모니터링하여 초기 혼합 후 5분, 30분, 및 2시간 째에 전구체의 가수분해/축합도를 측정하였으며, 이는 상당량의 비스-3차-부틸아미노메톡시메틸실란이 가수분해/축합이 일어났으나, 겔화는 일어나지 않음을 입증하였다. 혼합물은 결국 16시간 내에 겔화되었고, 이는 그것의 가수분해/축합, 이후 겔화의 속도로 인해 FCVD 공정에 적합할 것임을 나타낸다. Compound bis (tert-butylamino) ethoxymethylsilane was prepared as shown in Example 2. One part skin of bis-tert-butylaminomethoxymethylsilane was mixed with 4 parts of 20% aqueous solution in isopropyl alcohol. The mixture was monitored by GC and the hydrolysis / condensation degree of the precursor was measured at 5 minutes, 30 minutes, and 2 hours after the initial mixing, indicating that a significant amount of bis-tert-butylaminomethoxymethylsilane was hydrolyzed / Condensation occurred but gelation did not occur. The mixture eventually geled within 16 hours, indicating that it would be suitable for the FCVD process due to its hydrolysis / condensation, followed by the rate of gelation.

실시예 13: 비스(3차-부틸아미노)메톡시메틸실란을 사용하는 유동성 카본-도핑된 실리콘 옥시니트라이드 필름의 증착 Example 13: Deposition of a flowable carbon-doped silicon oxynitride film using bis (tert-butylamino) methoxymethylsilane

유동성 CVD 필름을 중간 저항 (8-12

Figure pat00063
cm)의 단결정 실리콘 웨이퍼 기판 상 및 실리콘 패턴 웨이퍼 상에 증착시켰다. 특정 예에서, 기판은 사전-증착 처리, 예컨대, 비제한적으로, 플라즈마 처리, 열처리, 화학 처리, 자외선광 노출, 전자빔 노출 및/또는 필름의 하나 이상의 성질에 영향을 미치는 그 밖의 처리에 노출될 수 있다. The flowable CVD film was immersed in a medium resistance (8-12
Figure pat00063
cm &lt; / RTI &gt; monocrystalline silicon wafer substrate and on a silicon pattern wafer. In certain instances, the substrate may be exposed to a pre-deposition treatment such as, but not limited to, plasma treatment, heat treatment, chemical treatment, ultraviolet light exposure, electron beam exposure and / or other treatments affecting one or more properties of the film have.

증착을 실란 또는 TEOS 공정 키트를 사용하여 변형된 200 mm DXZ 챔버에서 Applied Materials Precision 5000 시스템으로 수행하였다. PECVD 챔버는 직접 액체 주입(DLI) 전달 능력을 갖추었다. 전구체는 전구체의 비점에 의거하여 전달 온도를 갖는 액체였다. 초기 유동성 니트라이드 필름을 증착시키기 위해, 전형적인 액체 전구체 유량은 100-5000 mg/min였고, 인시튜 플라즈마 파워 밀도(power density)는 0.25 - 3.5 W/cm2였고, 압력은 0.75 - 12 Torr였다. 증착된 유동성 필름을 치밀화시키기 위해, 필름을 100~500

Figure pat00064
또는 300~400
Figure pat00065
의 변형된 PECVD 챔버를 사용하여 열적으로 어닐링시키고, 진공 하에 UV 경화시켰다. 632 nm에서의 두께 및 굴절률(refractive index)(RI)을 SCI 반사계(reflectometer) 또는 Woollam 타원계(ellipsometer)에 의해 측정하였다. 전형적인 필름 두께는 10 내지 2000 nm의 범위였다. 실리콘-기반 필름의 수소 함유물(Si-H, C-H 및 N-H)의 결합 특성을 측정하고, Nicolet 전송 푸리에 변환 적외선 분광법(FTIR) 기구로 측정하였다. 모든 밀도 측정은 X-선 반사율(reflectivity)(XRR)을 사용하여 달성되었다. X-선 광전자 분광학(Photoelectron Spectroscopy)(XPS) 및 2차 이온 질량 분석법(Secondary ion mass spectrometry)(SIMS) 분석을 수행하여 필름의 원소 조성을 측정하였다. 패턴화된 웨이퍼에 대한 유동성 및 갭 필(gap fill) 효과를 2.0 nm의 해상도에서 Hitachi S-4700 시스템을 사용하여 단면 주사 전자 현미경 (Cross-sectional Scanning Electron Microscopy) (SEM)에 의해 관찰하였다.Deposition was performed with a Applied Materials Precision 5000 system in a modified 200 mm DXZ chamber using a silane or TEOS process kit. The PECVD chamber was equipped with direct liquid injection (DLI) delivery capability. The precursor was a liquid having a transfer temperature based on the boiling point of the precursor. To deposit the initial fluidity nitride film, were typical liquid precursor flow rate of 100-5000 mg / min, in-situ plasma power density (power density) of 0.25 - 3.5 was a W / cm 2, pressure of 0.75 - was 12 Torr. In order to densify the deposited flowable film,
Figure pat00064
Or 300 to 400
Figure pat00065
, &Lt; / RTI &gt; thermally annealed using a modified PECVD chamber and UV cured under vacuum. The thickness and refractive index (RI) at 632 nm were measured by an SCI reflectometer or a Woollam ellipsometer. Typical film thicknesses ranged from 10 to 2000 nm. The bonding properties of the hydrogen-containing materials (Si-H, CH and NH) of the silicon-based film were measured and measured by a Nicolet transfer Fourier transform infrared spectroscopy (FTIR) instrument. All density measurements were achieved using X-ray reflectivity (XRR). The composition of the film was measured by X-ray photoelectron spectroscopy (XPS) and secondary ion mass spectrometry (SIMS) analysis. Flowability and gap fill effects on patterned wafers were observed by Cross-sectional Scanning Electron Microscopy (SEM) using a Hitachi S-4700 system at a resolution of 2.0 nm.

실험 설계(design of experiment)(DOE) 방법론을 사용하여 유동성 CVD 증착을 수행하였다. 실험적 설계는 전구체 유량 100 내지 5000 mg/min, 바람직하게는 1000 내지 2000 mg/min; NH3 유량 100 sccm 내지 1000 sccm, 바람직하게는 100 내지 300 sccm; 압력 0.75 내지 12 Torr, 바람직하게는 6 내지 10 Torr; RF 파워 (13.56 MHz) 100 내지 1000 W, 바람직하게는 100~500 W; 저-주파수 (LF) 파워 0 내지 100 W이었고; 증착 온도는 0 내지 550

Figure pat00066
, 바람직하게는 0 내지 40
Figure pat00067
의 범위였다. DOE 실험을 사용하여 어떠한 공정 파라미터가 우수한 유동성을 지닌 최적의 필름을 생산하는지를 결정하였다.Flowable CVD deposition was performed using the design of experiment (DOE) methodology. Experimental designs include precursor flow rates of 100 to 5000 mg / min, preferably 1000 to 2000 mg / min; An NH 3 flow rate of 100 sccm to 1000 sccm, preferably 100 to 300 sccm; Pressure 0.75 to 12 Torr, preferably 6 to 10 Torr; RF power (13.56 MHz) 100-1000 W, preferably 100-500 W; A low-frequency (LF) power of 0 to 100 W; The deposition temperature ranges from 0 to 550
Figure pat00066
, Preferably 0 to 40
Figure pat00067
Respectively. DOE experiments were used to determine which process parameters produced the best film with good flowability.

한 실험에서, 가장 최적의 필름 성질들을 제공하기 위해 사용된 공정 조건들은 다음과 같다: 비스(3차-부틸아미노)메톡시메틸실란 유량=1000 mg/min, NH3 유량=0~450 sccm, He=100 sccm, 압력=8 torr, 파워= 300~600 W, 및 온도=30~40

Figure pat00068
. 블랭킷 Si 웨이퍼 상에, 습윤되고 점착성인 SiCON 필름을, 열적 어닐링 및 UV 경화 후 10% 내지 50% 범위의 수축률로 증착시켰다. 단면 주사 전자 현미경(SEM) 이미지의 검토에 의해 유동성 CVD 공정에서 비스(3차-부틸아미노)메톡시메틸실란을 사용함으로써 상향식(bottom-up)의, 이음매가 없고, 기공이 없는 갭-필링이 패턴 웨이퍼, 또는 적어도 하나의 표면 피쳐를 지닌 웨이퍼에 대해 달성되었음이 나타났다. In one experiment, the process conditions used to provide the most optimal film properties were: Bis (tert-butylamino) methoxymethylsilane flow rate = 1000 mg / min, NH 3 flow rate = 0 to 450 sccm, He = 100 sccm, pressure = 8 torr, power = 300 to 600 W, and temperature = 30 to 40
Figure pat00068
. On the blanket Si wafer, a wet and tacky SiCON film was deposited with a shrinkage in the range of 10% to 50% after thermal annealing and UV curing. Bottom-up, seamless, pore-free gap-filling by using bis (tertiary-butylamino) methoxymethylsilane in a fluid CVD process by reviewing a cross-sectional scanning electron microscope (SEM) Pattern wafers, or wafers with at least one surface feature.

Claims (12)

하기 화학식 (I)을 갖는 비스아미노알콕시실란 화합물:
R1Si(NR2R3)(NR4R5)OR6 (I)
상기 식에서,
R1은 수소 원자, C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, C4 내지 C10 방향족 탄화수소기로부터 선택되고; R2, R3, R4, 및 R5는 각각 독립적으로 수소 원자, C4 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; R6은 C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C2 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; 임의로 화학식 (I)에서 R2와 R3, R4와 R5, 또는 둘 모두는 함께 결합되어 고리를 형성할 수 있고; 임의로 화학식 (I)에서 R2 및 R4는 함께 결합되어 디아미노기를 형성할 수 있다.
A bisaminoalkoxysilane compound having the formula (I)
R 1 Si (NR 2 R 3 ) (NR 4 R 5 ) OR 6 (I)
In this formula,
R 1 is a hydrogen atom, C 1 to C 10 linear alkyl, C 3 to C 10 branched alkyl, C 3 to C 10 cyclic alkyl groups, C 3 to C 10 alkenyl groups, C 3 to C 10 alkynyl group, C 4 to is selected from C 10 aromatic hydrocarbon group; Each of R 2 , R 3 , R 4 and R 5 is independently a hydrogen atom, a C 4 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 An alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; R 6 is a C 1 to C 10 linear alkyl group, a C 3 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 2 to C 10 alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; Optionally in formula (I), R 2 and R 3 , R 4 and R 5 , or both, may be joined together to form a ring; Optionally, in formula (I), R 2 and R 4 may be bonded together to form a diamino group.
제1 항에 있어서, R1이 메틸기이고; R2 및 R4가 각각 수소 원자이고; R3 및 R5가 각각 3차-부틸 및 3차-펜틸로부터 선택된 C3 내지 C10 분지형 알킬기이고; R6이 C1 내지 C3 선형 알킬기 및 C3 내지 C5 분지형 알킬기로부터 선택되는, 비스아미노알콕시실란 화합물. The compound according to claim 1, wherein R 1 is a methyl group; R 2 and R 4 are each a hydrogen atom; R 3 and R 5 are each a C 3 to C 10 branched alkyl group selected from tert-butyl and tert-pentyl; R 6 is selected from C 1 to C 3 linear alkyl groups and C 3 to C 5 branched alkyl groups. 제1 항에 있어서, 화합물이 비스(3차-부틸아미노)메톡시메틸실란, 비스(3차-부틸아미노)에톡시메틸실란, 비스(3차-부틸아미노)이소프로폭시메틸실란, 비스(시스-2,6-디메틸피페리디노)메톡시메틸실란, 및 비스(시스-2,6-디메틸피페리디노)에톡시메틸실란으로 이루어진 군으로부터 선택된 적어도 하나인, 비스아미노알콕시실란 화합물. The composition of claim 1 wherein the compound is selected from the group consisting of bis (tert-butylamino) methoxymethylsilane, bis (tert-butylamino) ethoxymethylsilane, bis (tert- butylamino) isopropoxymethylsilane, bis Bis (cis-2,6-dimethylpiperidino) methoxymethylsilane, and bis (cis-2,6-dimethylpiperidino) ethoxymethylsilane. 기판의 적어도 하나의 표면 상에 실리콘-함유 필름을 형성시키는 방법으로서,
반응기에 기판을 제공하고;
하기 화학식 (I)을 갖는 비스아미노알콕시실란을 포함하는 적어도 하나의 전구체를 사용하는 증착 공정에 의해 적어도 하나의 표면 상에 실리콘-함유 필름을 형성시키는 것을 포함하는 방법:
R1Si(NR2R3)(NR4R5)OR6 (I)
상기 식에서,
R1은 수소 원자, C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, C4 내지 C10 방향족 탄화수소기로부터 선택되고; R2, R3, R4, 및 R5는 각각 독립적으로 수소 원자, C4 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; R6은 C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C2 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; 임의로 화학식 (I)에서 R2와 R3, R4와 R5, 또는 둘 모두는 함께 결합되어 고리를 형성할 수 있고; 임의로 화학식 (I)에서 R2 및 R4는 함께 결합되어 디아미노기를 형성할 수 있다.
A method of forming a silicon-containing film on at least one surface of a substrate,
Providing a substrate to the reactor;
A method comprising forming a silicon-containing film on at least one surface by a deposition process using at least one precursor comprising a bisaminoalkoxysilane having the formula (I)
R 1 Si (NR 2 R 3 ) (NR 4 R 5 ) OR 6 (I)
In this formula,
R 1 is a hydrogen atom, C 1 to C 10 linear alkyl, C 3 to C 10 branched alkyl, C 3 to C 10 cyclic alkyl groups, C 3 to C 10 alkenyl groups, C 3 to C 10 alkynyl group, C 4 to is selected from C 10 aromatic hydrocarbon group; Each of R 2 , R 3 , R 4 and R 5 is independently a hydrogen atom, a C 4 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 An alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; R 6 is a C 1 to C 10 linear alkyl group, a C 3 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 2 to C 10 alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; Optionally in formula (I), R 2 and R 3 , R 4 and R 5 , or both, may be joined together to form a ring; Optionally, in formula (I), R 2 and R 4 may be bonded together to form a diamino group.
제4 항에 있어서, 증착 공정이 사이클릭 화학 기상 증착 (CCVD), 열화학 기상 증착, 플라즈마 강화 화학 기상 증착 (PECVD), 고밀도 PECVD, 광자 보조 CVD, 플라즈마-광자 보조 (PPECVD), 극저온 화학 기상 증착, 화학 보조 기상 증착, 고온-필라멘트 화학 기상 증착, 액체 폴리머 전구체의 CVD, 저에너지 CVD (LECVD), 및 유동성 화학 기상 증착으로 이루어진 군으로부터 선택되는 방법. 5. The method of claim 4, wherein the deposition process is selected from the group consisting of Cyclic Chemical Vapor Deposition (CCVD), Thermochemical Vapor Deposition, Plasma Enhanced Chemical Vapor Deposition (PECVD), High Density PECVD, Photon Assisted CVD, Plasma- , Chemical assisted vapor deposition, high temperature-filament chemical vapor deposition, liquid chemical precursor CVD, low energy chemical vapor deposition (LECVD), and fluid chemical vapor deposition. 제4 항에 있어서, 증착 공정이 원자층 증착 (ALD), 플라즈마 강화 ALD (PEALD), 및 플라즈마 강화 사이클릭 CVD (PECCVD) 공정으로 이루어진 군으로부터 선택되는 방법.5. The method of claim 4, wherein the deposition process is selected from the group consisting of atomic layer deposition (ALD), plasma enhanced ALD (PEALD), and plasma enhanced cyclic CVD (PECCVD) processes. 제5 항에 있어서, 증착 공정이 유동성 화학 기상 증착 (FCVD)인 방법.6. The method of claim 5, wherein the deposition process is fluid chemical vapor deposition (FCVD). 유동성 화학 기상 증착 공정으로 표면 피쳐(surface feature)를 갖는 기판의 적어도 일부 상에 실리콘-함유 필름을 증착시키는 방법으로서,
-20
Figure pat00069
내지 약 400
Figure pat00070
범위의 하나 이상의 온도에서 유지되는 반응기에 표면 피쳐를 갖는 기판을 배치하는 단계;
반응기에 하기 화학식 (I)을 갖는 비스아미노알콕시실란 화합물을 포함하는 적어도 하나의 전구체 및 질소 공급원을 도입하는 단계로서, 적어도 하나의 화합물이 질소 공급원과 반응하여 표면 피쳐의 적어도 일부 상에 니트라이드 함유 필름을 형성하는 단계:
R1Si(NR2R3)(NR4R5)OR6 (I)
[상기 식에서, R1은 수소 원자, C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, C4 내지 C10 방향족 탄화수소기로부터 선택되고; R2, R3, R4, 및 R5는 각각 독립적으로 수소 원자, C4 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C3 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; R6은 C1 내지 C10 선형 알킬기, C3 내지 C10 분지형 알킬기, C3 내지 C10 사이클릭 알킬기, C3 내지 C10 알케닐기, C2 내지 C10 알키닐기, 및 C4 내지 C10 방향족 탄화수소기로부터 선택되고; 임의로 화학식 (I)에서 R2와 R3, R4와 R5, 또는 둘 모두는 함께 결합되어 고리를 형성할 수 있고; 임의로 화학식 (I)에서 R2 및 R4는 함께 결합되어 디아미노기를 형성할 수 있음];
산소 공급원, 질소-함유 공급원, 또는 둘 모두로부터 선택된 공급원을 도입하여 기판 상의 비스아미노알콕시실란 화합물과 반응시킴으로써 표면 피쳐의 적어도 일부 상에 유동성 필름을 형성시키는 단계; 및
유동성 필름을 약 100
Figure pat00071
내지 약 1000
Figure pat00072
범위의 하나 이상의 온도에서 산소 공급원으로 처리하여 표면 피쳐의 적어도 일부 상에 고체 실리콘 및 산소 함유 필름을 형성시키는 단계를 포함하는 방법.
A method of depositing a silicon-containing film on at least a portion of a substrate having a surface feature with a fluid chemical vapor deposition process,
-20
Figure pat00069
To about 400
Figure pat00070
Disposing a substrate having surface features in a reactor maintained at one or more temperatures in the range;
Introducing at least one precursor and a nitrogen source comprising a bisaminoalkoxysilane compound having the formula (I) in the reactor, wherein at least one compound reacts with a nitrogen source to form a nitrate containing Forming a film:
R 1 Si (NR 2 R 3 ) (NR 4 R 5 ) OR 6 (I)
Wherein R 1 is a hydrogen atom, a C 1 to C 10 linear alkyl group, a C 3 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 alkynyl group, A C 4 to C 10 aromatic hydrocarbon group; Each of R 2 , R 3 , R 4 and R 5 is independently a hydrogen atom, a C 4 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 3 to C 10 An alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; R 6 is a C 1 to C 10 linear alkyl group, a C 3 to C 10 branched alkyl group, a C 3 to C 10 cyclic alkyl group, a C 3 to C 10 alkenyl group, a C 2 to C 10 alkynyl group, and a C 4 to C 10 aromatic hydrocarbon group; Optionally in formula (I), R 2 and R 3 , R 4 and R 5 , or both, may be joined together to form a ring; Optionally R &lt; 2 &gt; and R &lt; 4 &gt; in the formula (I) may be joined together to form a diamino group;
Forming a flowable film on at least a portion of the surface feature by reacting with a bisaminoalkoxysilane compound on the substrate by introducing a source selected from an oxygen source, a nitrogen-containing source, or both; And
The flowable film was coated at about 100
Figure pat00071
To about 1000
Figure pat00072
Lt; RTI ID = 0.0 &gt; 1, &lt; / RTI &gt; at a temperature of at least one of the ranges, to form a solid silicon and oxygen containing film on at least a portion of the surface feature.
제8 항에 있어서, 유동성 필름을 약 100
Figure pat00073
내지 약 1000
Figure pat00074
범위의 하나 이상의 온도에서 자외선 조사에 노출시키는 것을 추가로 포함하는 방법.
9. The method of claim 8 wherein the flowable film is about 100 &lt; RTI ID = 0.0 &gt;
Figure pat00073
To about 1000
Figure pat00074
Lt; RTI ID = 0.0 &gt; 1, &lt; / RTI &gt;
제8 항에 있어서, 산소 공급원이 물, 산소 플라즈마, 오존 및 이들의 조합물로 이루어진 군으로부터 선택되는 방법. 9. The method of claim 8 wherein the oxygen source is selected from the group consisting of water, oxygen plasma, ozone, and combinations thereof. 제8 항에 있어서, 질소-함유 공급원이 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 유기 아민, 질소 및 수소를 포함하는 플라즈마, 암모니아 플라즈마, 질소 플라즈마, 및 유기 아민 플라즈마로 이루어진 군으로부터 선택되는 방법.9. The method of claim 8 wherein the nitrogen-containing source is selected from the group consisting of ammonia, hydrazine, monoalkyl hydrazine, dialkyl hydrazine, organic amines, plasma comprising nitrogen and hydrogen, ammonia plasma, nitrogen plasma, and organic amine plasma Way. 제8 항에 있어서, 단계들이 표면 피쳐가 고체 실리콘 및 산소 함유 필름으로 실질적으로 채워질 때까지 반복될 수 있는 방법. 9. The method of claim 8, wherein the steps can be repeated until the surface feature is substantially filled with solid silicon and oxygen containing film.
KR1020160016581A 2015-02-13 2016-02-12 Bisaminoalkoxysilane compounds and methods for using same to deposit silicon-containing films KR101856132B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562115729P 2015-02-13 2015-02-13
US62/115,729 2015-02-13
US15/017,913 US10421766B2 (en) 2015-02-13 2016-02-08 Bisaminoalkoxysilane compounds and methods for using same to deposit silicon-containing films
US15/017,913 2016-02-08

Publications (2)

Publication Number Publication Date
KR20160100260A true KR20160100260A (en) 2016-08-23
KR101856132B1 KR101856132B1 (en) 2018-05-11

Family

ID=55353129

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160016581A KR101856132B1 (en) 2015-02-13 2016-02-12 Bisaminoalkoxysilane compounds and methods for using same to deposit silicon-containing films

Country Status (7)

Country Link
US (2) US10421766B2 (en)
EP (1) EP3056500B1 (en)
JP (1) JP6317377B2 (en)
KR (1) KR101856132B1 (en)
CN (1) CN105906660B (en)
SG (1) SG10201601102XA (en)
TW (2) TW201808973A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190058698A (en) * 2016-11-01 2019-05-29 버슘머트리얼즈 유에스, 엘엘씨 A precursor for making a surface-feature low-K film for filling and a flowable CVD method
WO2020111405A1 (en) * 2018-11-30 2020-06-04 주식회사 한솔케미칼 Silicon precursor and method for manufacturing silicon-containing thin film using same
US11267828B2 (en) 2018-11-30 2022-03-08 Hansol Chemical Co., Ltd. Silicon precursor and method of manufacturing silicon-containing thin film using the same

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101875183B1 (en) * 2014-06-11 2018-07-06 (주)디엔에프 Novel amino-silyl amine compound and the manufacturing method of dielectric film containing Si-N bond by using atomic layer deposition
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
AU2017382163B2 (en) * 2016-12-22 2022-06-09 Illumina Cambridge Limited Imprinting apparatus
US11591692B2 (en) * 2017-02-08 2023-02-28 Versum Materials Us, Llc Organoamino-polysiloxanes for deposition of silicon-containing films
US10822458B2 (en) * 2017-02-08 2020-11-03 Versum Materials Us, Llc Organoamino-functionalized linear and cyclic oligosiloxanes for deposition of silicon-containing films
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN115233183A (en) * 2017-05-16 2022-10-25 Asm Ip 控股有限公司 Selective PEALD of oxide on dielectric
US11049714B2 (en) * 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films
US10483099B1 (en) * 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
CN109935519B (en) * 2019-03-26 2020-11-03 深圳市华星光电技术有限公司 Method for improving film forming uniformity of gate insulating layer
WO2020257550A1 (en) * 2019-06-21 2020-12-24 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
KR20210105289A (en) * 2020-02-14 2021-08-26 에이에스엠 아이피 홀딩 비.브이. Method of forming dielectric material layers using pulsed plasma power, structures and devices including the layers, and systems for forming the layers
CN112210769B (en) * 2020-09-29 2023-04-25 合肥安德科铭半导体科技有限公司 Atomic layer deposition method of low-temperature high-growth-rate silicon oxide film
JP2022099123A (en) * 2020-12-22 2022-07-04 東京エレクトロン株式会社 Insulating film forming method and processing device
CN112812134A (en) * 2020-12-26 2021-05-18 浙江博瑞电子科技有限公司 Refining method of bis (tert-butylamino) silane
CN112885713A (en) * 2021-01-29 2021-06-01 合肥维信诺科技有限公司 Method for improving film quality and display panel
EP4300546A1 (en) * 2021-02-26 2024-01-03 Kokusai Electric Corporation Method for producing semiconductor device, substrate processing apparatus, and program

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3458556A (en) 1966-10-20 1969-07-29 Gen Electric Acyloxyaminosilanes
US4491669A (en) 1980-11-12 1985-01-01 Petrarch Systems Inc. Mixed alkoxyaminosilanes, methods of making same and vulcanizing silicons prepared therefrom
US4345088A (en) 1981-03-31 1982-08-17 Union Carbide Corporation Preparation of alkoxyaminohydridosilanes
US6114558A (en) 1997-12-23 2000-09-05 Sivento Inc. Preparation of alkyl(amino)dialkoxysilanes
CN1125074C (en) 1999-01-13 2003-10-22 西文图公司 Preparation of alkyl (amino) dialkoxysilanes
AU2003281815A1 (en) 2002-08-02 2004-02-23 The Government Of The United States Of America, Represented By The Secretary, Dept. Of Health And Hu Cross-linked nitric oxide-releasing polyamine coated substrates, compositions comprising same and method of making same
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
BRPI0611189B1 (en) 2005-05-31 2017-06-06 Toho Titanium Co Ltd catalyst for polymerization of olefins, and process for producing an olefin polymer
WO2007018280A1 (en) 2005-08-08 2007-02-15 Toho Catalyst Co., Ltd. Catalyst component and catalyst for olefin polymerization and method for producing olefin polymer using those
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
JP2011511881A (en) * 2007-06-28 2011-04-14 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Precursor for silicon dioxide gap filler
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
US8460753B2 (en) * 2010-12-09 2013-06-11 Air Products And Chemicals, Inc. Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
US8840630B2 (en) * 2011-06-15 2014-09-23 Cook Medical Technologies Llc Button release handle
US9200167B2 (en) * 2012-01-27 2015-12-01 Air Products And Chemicals, Inc. Alkoxyaminosilane compounds and applications thereof
US10279959B2 (en) 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
US9777378B2 (en) * 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190058698A (en) * 2016-11-01 2019-05-29 버슘머트리얼즈 유에스, 엘엘씨 A precursor for making a surface-feature low-K film for filling and a flowable CVD method
WO2020111405A1 (en) * 2018-11-30 2020-06-04 주식회사 한솔케미칼 Silicon precursor and method for manufacturing silicon-containing thin film using same
US11267828B2 (en) 2018-11-30 2022-03-08 Hansol Chemical Co., Ltd. Silicon precursor and method of manufacturing silicon-containing thin film using the same

Also Published As

Publication number Publication date
US20160237100A1 (en) 2016-08-18
KR101856132B1 (en) 2018-05-11
US20190359637A1 (en) 2019-11-28
US11142658B2 (en) 2021-10-12
CN105906660A (en) 2016-08-31
JP2016147861A (en) 2016-08-18
EP3056500B1 (en) 2019-01-30
TWI602827B (en) 2017-10-21
TW201630923A (en) 2016-09-01
EP3056500A1 (en) 2016-08-17
JP6317377B2 (en) 2018-04-25
CN105906660B (en) 2019-05-07
US10421766B2 (en) 2019-09-24
TW201808973A (en) 2018-03-16
SG10201601102XA (en) 2016-09-29

Similar Documents

Publication Publication Date Title
KR101856132B1 (en) Bisaminoalkoxysilane compounds and methods for using same to deposit silicon-containing films
US10899500B2 (en) Alkoxysilylamine compounds and applications thereof
JP6310018B2 (en) Halogenated organoaminosilane precursor and method for depositing a film containing the same
KR101820397B1 (en) Alkoxyaminosilane compounds and applications thereof
KR20190058698A (en) A precursor for making a surface-feature low-K film for filling and a flowable CVD method
TW201805343A (en) Si-containing film forming compositions and methods of making and using the same
US9677178B2 (en) Alkoxyaminosilane compounds and applications thereof

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant