KR20150081738A - Semiconductor device having air spacer - Google Patents

Semiconductor device having air spacer Download PDF

Info

Publication number
KR20150081738A
KR20150081738A KR1020140001512A KR20140001512A KR20150081738A KR 20150081738 A KR20150081738 A KR 20150081738A KR 1020140001512 A KR1020140001512 A KR 1020140001512A KR 20140001512 A KR20140001512 A KR 20140001512A KR 20150081738 A KR20150081738 A KR 20150081738A
Authority
KR
South Korea
Prior art keywords
source
gate electrode
spacer
drain region
spacers
Prior art date
Application number
KR1020140001512A
Other languages
Korean (ko)
Other versions
KR102131325B1 (en
Inventor
박제민
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020140001512A priority Critical patent/KR102131325B1/en
Publication of KR20150081738A publication Critical patent/KR20150081738A/en
Application granted granted Critical
Publication of KR102131325B1 publication Critical patent/KR102131325B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

The present invention includes a semiconductor pin which is formed on a semiconductor substrate and includes a first source and drain region, a second source and drain region, and a channel region, a gate electrode which crosses the surface of the channel region and is formed on the semiconductor substrate, a gate dielectric layer which is located between the gate electrode and the channel region, a contact plug which is in contact with the first source and drain region and the second source and drain region, and an insulation spacer with a multilayer structure to cover both sidewalls of the gate electrode. The insulation spacer includes an air spacer.

Description

에어 스페이서를 구비한 반도체 소자{Semiconductor device having air spacer} Technical Field [0001] The present invention relates to a semiconductor device having an air spacer,

본 발명의 기술적 사상은 반도체 소자에 관한 것으로, 특히 핀(fin) 구조의 트랜지스터를 구비하는 반도체 소자에 관한 것이다. TECHNICAL FIELD The present invention relates to a semiconductor device, and more particularly to a semiconductor device having a fin structure transistor.

집적도는 높이면서 상기 셀 트랜지스터의 채널 면적을 증가시키기 위한 핀 구조의 트랜지스터가 소개된 바 있다. 상기 핀 구조의 트랜지스터는 활성영역의 상부표면뿐만 아니라 활성영역의 측면에도 채널을 형성시켜 제한된 면적 내에서 유효채널의 폭을 증가시킬 수 있는 핀 구조의 활성영역을 갖는다. 이러한 핀구조의 트랜지스터에서는, 콘택 플러그와 게이트 전도체 사이의 기생 캐패시턴스(Parasitic capacitance)를 억제할 필요가 있다. A transistor having a pin structure for increasing the channel area of the cell transistor while increasing the degree of integration has been disclosed. The fin structure transistor has a fin structure active region that can increase the width of the effective channel within a limited area by forming a channel on the side of the active region as well as the upper surface of the active region. In such a fin structure transistor, it is necessary to suppress the parasitic capacitance between the contact plug and the gate conductor.

본 발명의 기술적 사상이 이루고자 하는 기술적 과제는 미세화된 반도체 소자에서의 전기적 특성 저하를 방지하고 신뢰성을 유지할 수 있는 반도체 소자를 제공하는 것이다. SUMMARY OF THE INVENTION It is an object of the present invention to provide a semiconductor device capable of preventing deterioration of electrical characteristics in a miniaturized semiconductor device and maintaining reliability.

본 발명의 기술적 사상에 의한 일 양태에 따른 반도체 소자는 반도체 기판 상에 형성되고, 제1 소스/드레인 영역, 제2 소스/드레인 영역 및 채널 영역을 포함하는 반도체 핀과, 상기 채널영역 표면 위를 횡단하며, 상기 반도체 기판 상에 형성되는 게이트 전극과,상기 게이트 전극과 상기 채널 영역 사이에 위치하는 게이트 유전막과, 상기 제1 소스/드레인 영역 및 상기 제2 소스/드레인 영역에 접촉하는 콘택 플러그와, 상기 게이트 전극의 양 측벽을 덮는 다중층 구조의 절연 스페이서를포함하고, 상기 절연 스페이서는 에어 스페이서를 포함한다.A semiconductor device according to an aspect of the present invention includes a semiconductor fin formed on a semiconductor substrate and including a first source / drain region, a second source / drain region, and a channel region; A gate dielectric layer disposed between the gate electrode and the channel region; a contact plug contacting the first source / drain region and the second source / drain region; And a multilayered insulating spacer covering both side walls of the gate electrode, wherein the insulating spacer comprises an air spacer.

일부 실시예에서, 상기 절연 스페이서는 라이너 질화막을 더 포함하고, 상기 에어 스페이서는 상기 라이너 질화막을 사이에 두고 상기 게이트 전극과 이격되어 있을 수 있다.In some embodiments, the insulating spacer further comprises a liner nitride film, and the air spacer may be spaced apart from the gate electrode via the liner nitride film.

일부 실시예에서, 상기 절연 스페이서는 상기 에어 스페이서를 사이에 두고 상기 게이트 전극의 반대측에 있는 외측 스페이서를 더 포함하고, 상기 콘택 플러그는 상기 외측 스페이서에 의해 자기정렬될 수 있다.In some embodiments, the insulating spacer further comprises an outer spacer on the opposite side of the gate electrode with the air spacer therebetween, and the contact plug can be self-aligned by the outer spacer.

일부 실시예에서, 상기 에어 스페이서는 상기 게이트 전극의 상면보다 높은 레벨까지 연장되어 있을 수 있고, 상기 에어 스페이서는상기 제1 소스/드레인 영역 및 상기 제2 소스/드레인 영역의 상면보다 높은 레벨까지 연장되어 있을 수 있다.In some embodiments, the air spacers may extend to a level higher than the top surface of the gate electrode, and the air spacers extend to a level higher than the top surfaces of the first source / drain regions and the second source / .

일부 실시예에서, 상기 에어 스페이서는 상기 게이트 전극의 측벽을 따라 연속적으로 연장될 수 있다. 상기 에어 스페이서 중 상기 반도체 핀이 위치하는 영역의 에어 스페이서의 길이는 상기 반도체 핀이 위치하지 않는 영역의 에어 스페이서의길이보다 짧을 수 있다. In some embodiments, the air spacers may extend continuously along the sidewalls of the gate electrode. The length of the air spacers in the region where the semiconductor pins are located may be shorter than the length of the air spacers in the region where the semiconductor pins are not located.

일부 실시예에서, 상기 제1 소스/드레인 영역 및 상기 제2 소스/드레인 영역의 상면은 상기 채널 영역의 상면보다 높은 레벨에 위치할 수 있다. 한편, 상기 제1 소스/드레인 영역 및 상기 제2 소스/드레인 영역과 상기 채널 영역은 동일 물질로 이루어질 수 있다. 상기 반도체 핀이 연장되는 방향으로서, 상기 에어 스페이서의 상측 폭은 상기 에어 스페이서의 하측 폭보다 작을 수 있다.In some embodiments, the top surfaces of the first source / drain region and the second source / drain region may be located at a higher level than the top surface of the channel region. The first source / drain region and the second source / drain region and the channel region may be formed of the same material. The upper side width of the air spacers may be smaller than the lower side width of the air spacers as a direction in which the semiconductor pins extend.

본 발명의 기술적 사상에 의한 반도체 소자는 반도체 소자가 고도로 미세화된 피쳐 사이즈를 가지는 경우에도, 콘택 플러그와 게이트 전도체 사이에 에어 스페이서를 형성함으로써 에어 스페이서의 낮은 유전율로 인해 기생 캐패시턴스를 감소시킬 수 있는 효과가 있다. 기생 캐패시턴스가 감소함에 따라, 동작 속도 저하, 센싱 마진(sensing margin) 감소 등과 같은 문제들이 발생하는 것을 억제할 수 있으며, 반도체 소자의 전기적 특성 저하를 방지하고 반도체 소자의 높은 신뢰성을 유지할 수 있다.The semiconductor device according to the technical idea of the present invention can reduce the parasitic capacitance due to the low dielectric constant of the air spacer by forming an air spacer between the contact plug and the gate conductor even when the semiconductor device has a highly miniaturized feature size . As the parasitic capacitance is reduced, it is possible to suppress the occurrence of problems such as a reduction in operation speed and a reduction in sensing margin, a reduction in electrical characteristics of the semiconductor device, and a high reliability of the semiconductor device can be maintained.

도 1a는 본 발명의 기술적 사상에 의한 일 실시예에 따른 반도체 소자의 일부 구성을 보여주는 사시도이다.
도 1b는 도 1a의 x-y 평면을 따라 절개한 평면도이다.
도 1c는 도 1b의 C1 - C1' 선 단면도이다.
도 1d는 도 1b의 D1 - D1' 선 단면도이다.
도 2는 본 발명의 기술적 사상에 의한 일 실시예에 따른 반도체 소자(200)로서, 도 1b의 C1 - C1'선에 대응하는 선 단면도이다.
도 3은 본 발명의 기술적 사상에 의한 일 실시예에 따른 반도체 소자(300)로서, 도 1b의 D1 - D1'선에 대응하는 선 단면도이다.
도 4는 본 발명의 기술적 사상에 의한 일 실시예에 따른 반도체 소자(400)로서, 도 1b의 C1 - C1'선에 대응하는 선 단면도이다.
도 5a 내지 도 5i는 본 발명의 기술적 사상에 의한 일 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 사시도들이다.
도 6a 내지 도 6h는 본 발명의 기술적 사상에 의한 일 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 사시도들이다.
도 7a 내지 도 7j는 본 발명의 기술적 사상에 의한 일 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 사시도들이다.
도 8a 내지 도 8g는 본 발명의 기술적 사상에 의한 일 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 사시도들이다.
FIG. 1A is a perspective view showing a part of a semiconductor device according to an embodiment of the present invention. FIG.
1B is a plan view cut along the xy plane of FIG. 1A.
1C is a sectional view taken along the line C1-C1 'in FIG. 1B.
1D is a sectional view taken along the line D1-D1 'of FIG. 1B.
FIG. 2 is a cross-sectional view taken along line C1-C1 'of FIG. 1B, illustrating a semiconductor device 200 according to an embodiment of the present invention.
3 is a cross-sectional view of the semiconductor device 300 according to one embodiment of the present invention, taken along line D1-D1 'in FIG. 1B.
4 is a cross-sectional view taken along line C1-C1 'of FIG. 1B, illustrating a semiconductor device 400 according to an embodiment of the present invention.
5A to 5I are perspective views illustrating a method of fabricating a semiconductor device according to an embodiment of the present invention.
6A to 6H are perspective views illustrating a method of fabricating a semiconductor device according to an embodiment of the present invention.
7A to 7J are perspective views illustrating a method of fabricating a semiconductor device according to an embodiment of the present invention.
8A to 8G are perspective views illustrating a method of fabricating a semiconductor device according to an embodiment of the present invention.

이하, 첨부 도면을 참조하여 본 발명의 실시예들을 상세히 설명한다. 도면상의 동일한 구성요소에 대해서는 동일한 참조부호를 사용하고, 이들에 대한 중복된 설명은 생략한다.Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings. The same reference numerals are used for the same constituent elements in the drawings, and a duplicate description thereof will be omitted.

본발명의 실시예들은 당해 기술 분야에서 통상의 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위하여 제공되는 것으로, 아래의 실시예들은 여러 가지 다른 형태로 변형될 수 있으며, 본 발명의 범위가 아래의 실시예들로한정되는 것은 아니다. 오히려, 이들 실시예는 본 개시를 더욱 충실하고 완전하게 하며 당업자에게 본 발명의 사상을 완전하게 전달하기 위하여 제공되는 것이다. Embodiments of the present invention will now be described more fully hereinafter with reference to the accompanying drawings, in which exemplary embodiments of the invention are shown. These embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the scope of the invention to those skilled in the art. The present invention is not limited to the following embodiments. Rather, these embodiments are provided so that this disclosure will be more thorough and complete, and will fully convey the concept of the invention to those skilled in the art.

본명세서에서 제1, 제2 등의 용어가 다양한 부재, 영역, 층들, 부위 및/또는 구성 요소들을 설명하기 위하여 사용되지만, 이들 부재, 부품, 영역, 층들, 부위 및/또는 구성 요소들은 이들 용어에 의해 한정되어서는 안 됨은 자명하다. 이들 용어는 특정 순서나 상하, 또는 우열을 의미하지 않으며, 하나의 부재, 영역, 부위, 또는 구성 요소를 다른 부재, 영역, 부위 또는 구성 요소와 구별하기 위하여만 사용된다. 따라서, 이하 상술할 제1 부재, 영역, 부위 또는 구성 요소는 본 발명의 가르침으로부터 벗어나지 않고서도 제2 부재, 영역, 부위 또는 구성 요소를 지칭할 수 있다. 예를 들어, 본 발명의 권리 범위로부터이탈되지 않은 채 제1 구성 요소는 제2 구성 요소로 명명될 수 있고, 유사하게 제2 구성 요소도 제1 구성 요소로 명명될 수 있다.Although the terms first, second, etc. are used herein to describe various elements, regions, layers, regions and / or elements, these elements, components, regions, layers, regions and / It should not be limited by. These terms do not imply any particular order, top, bottom, or top row, and are used only to distinguish one member, region, region, or element from another member, region, region, or element. Thus, a first member, region, region, or element described below may refer to a second member, region, region, or element without departing from the teachings of the present invention. For example, without departing from the scope of the present invention, the first component may be referred to as a second component, and similarly, the second component may also be referred to as a first component.

달리 정의되지 않는 한, 여기에 사용되는 모든 용어들은 기술 용어와 과학 용어를 포함하여 본 발명 개념이 속하는 기술 분야에서 통상의 지식을 가진 자가 공통적으로 이해하고 있는 바와 동일한 의미를 지닌다. 또한, 통상적으로 사용되는, 사전에 정의된 바와 같은 용어들은 관련되는 기술의 맥락에서 이들이 의미하는 바와 일관되는 의미를 갖는 것으로 해석되어야 하며, 여기에 명시적으로 정의하지 않는 한 과도하게 형식적인 의미로 해석되어서는 아니 될 것임은 이해될 것이다.Unless otherwise defined, all terms used herein have the same meaning as commonly understood by one of ordinary skill in the art to which the inventive concept belongs, including technical terms and scientific terms. In addition, commonly used, predefined terms are to be interpreted as having a meaning consistent with what they mean in the context of the relevant art, and unless otherwise expressly defined, have an overly formal meaning It will be understood that it will not be interpreted.

어떤 실시예가 달리 구현 가능한 경우에 특정한 공정 순서는 설명되는 순서와 다르게 수행될 수도 있다. 예를 들어, 연속하여 설명되는 두 공정이 실질적으로 동시에 수행될 수도 있고, 설명되는 순서와 반대의 순서로 수행될 수도 있다.If certain embodiments are otherwise feasible, the particular process sequence may be performed differently from the sequence described. For example, two processes that are described in succession may be performed substantially concurrently, or may be performed in the reverse order to that described.

첨부 도면에 있어서, 예를 들면, 제조 기술 및/또는 공차에 따라, 도시된 형상의 변형들이 예상될 수 있다. 따라서, 본 발명의 실시예들은 본 명세서에 도시된 영역의 특정 형상에 제한된 것으로 해석되어서는 아니 되며, 예를 들면 제조 과정에서 초래되는 형상의 변화를 포함하여야 한다. In the accompanying drawings, for example, variations in the shape shown may be expected, depending on manufacturing techniques and / or tolerances. Accordingly, embodiments of the present invention should not be construed as limited to any particular shape of the regions shown herein, but should include variations in shape resulting from, for example, manufacturing processes.

도 1a는 본 발명의 기술적 사상에 의한 일 실시예에 따른 반도체 소자의 일부 구성을 보여주는 사시도이다. 도 1b는 도 1a의 x-y 평면을 따라 절개한 평면도이다. 도 1c는 도 1b의 C1 - C1' 선 단면도이다. 도 1d는 도 1b의 D1 - D1' 선 단면도이다.FIG. 1A is a perspective view showing a part of a semiconductor device according to an embodiment of the present invention. FIG. 1B is a plan view cut along the x-y plane of FIG. 1A. 1C is a sectional view taken along the line C1-C1 'in FIG. 1B. 1D is a sectional view taken along the line D1-D1 'of FIG. 1B.

도 1a 내지 도 1d를 참조하면, 반도체 소자(100)는 반도체 기판(101), 기판 절연층(102), 반도체 핀(110), 게이트 전극(120), 게이트 유전막(122), 층간 절연막(130), 콘택 플러그(140) 및 절연 스페이서(150)를 포함한다.1A to 1D, a semiconductor device 100 includes a semiconductor substrate 101, a substrate insulating layer 102, a semiconductor fin 110, a gate electrode 120, a gate dielectric layer 122, an interlayer insulating layer 130 A contact plug 140, and an insulating spacer 150.

본 예에서는 SOI(Silicon-On-Insulator)기판을 사용하여 반도체 소자(100)를 구현한 예를 들어 설명한다. 그러나, 본 발명의 기술적 사상은 이에 한정되지 않으며, 벌크형(bulk-type) 실리콘 기판을 이용하여 구현될 수도 있다.In this example, an example in which the semiconductor device 100 is implemented using an SOI (Silicon-On-Insulator) substrate will be described. However, the technical idea of the present invention is not limited to this, and may be implemented using a bulk-type silicon substrate.

일부 실시예에서, 반도체 기판(101)은 실리콘으로 이루어질 수 있다. 기판 절연층(102)은 산화막으로 이루어 질 수 있다.In some embodiments, the semiconductor substrate 101 may be made of silicon. The substrate insulating layer 102 may be an oxide film.

반도체 핀(110)은 Si, Ge, SiGe, SiC, GaAs, InAs, InP 또는 이들의 조합으로 이루어질 수 있다.The semiconductor fin 110 may be made of Si, Ge, SiGe, SiC, GaAs, InAs, InP, or a combination thereof.

반도체 핀(110)은 제1 소스/드레인 영역(110a), 제2 소스/드레인 영역(110b) 및 채널 영역(110c)을 포함한다. The semiconductor fin 110 includes a first source / drain region 110a, a second source / drain region 110b, and a channel region 110c.

도5e에서 후술하는 바와 같이, 제1 소스/드레인 영역(110a) 및 제2 소스/드레인 영역(110b)과 채널 영역(110c)은 동일 물질로 이루어 질 수 있다. 다만 이에 한정되지 않고, 제1 소스/드레인 영역(110a) 및 제2 소스/드레인 영역(110b)과 채널 영역(110c)은 다른 물질로 이루어 질 수 있다.The first source / drain region 110a and the second source / drain region 110b and the channel region 110c may be formed of the same material, as described later with reference to FIG. 5E. The first source / drain region 110a and the second source / drain region 110b and the channel region 110c may be formed of different materials.

제1 소스/드레인 영역(110a) 및 제2 소스/드레인 영역(110b)은 기초 반도체 핀(110x)을 선택적 에피텍셜 성장(Selective Epitaxial Growth: SEG)시켜 형성되며, 자세한 제조 방법은 도 5e에서 후술하도록 한다.The first source / drain region 110a and the second source / drain region 110b are formed by selective epitaxial growth (SEG) of the base semiconductor fin 110x, .

일부 실시예에서, 제1 소스/드레인 영역(110a)의 상면(110aT) 및 제2 소스/드레인 영역(110b)의 상면(110bT)은 채널 영역(110c)의 상면(110cT)보다 높은 레벨에 위치할 수 있다.In some embodiments, the top surface 110aT of the first source / drain region 110a and the top surface 110bT of the second source / drain region 110b are located at a level higher than the top surface 110cT of the channel region 110c can do.

게이트 전극(120)은 반도체 핀(110)의 채널 영역(110c) 표면 위를 횡단하여, 기판 절연층(102) 상에 형성된다. 일부 실시예에서, 게이트 전극(120) 중 반도체 핀(110)이 위치하지 않는 영역의 게이트 전극(120)의 높이(120h1)는 게이트 전극(120) 중 반도체 핀(110)이 위치하는 영역의 게이트 전극(120)의 높이(120h2)보다 높다.The gate electrode 120 is formed on the substrate insulating layer 102 across the surface of the channel region 110c of the semiconductor fin 110. [ In some embodiments, the height 120h1 of the gate electrode 120 in the region where the semiconductor fin 110 is not located in the gate electrode 120 is greater than the height 120h1 of the gate electrode 120 in the region where the semiconductor fin 110 is located. Is higher than the height 120h2 of the electrode 120.

게이트 유전막(122)은 게이트 전극(120)과 기판 절연층(102) 사이 또는 게이트 전극(120)과 채널 영역(110c) 사이에 개재될 수 있다. 즉, 게이트 유전막(122)은 게이트 전극(120)의 하면에 위치한다. 일부 실시예에 있어서, 게이트 유전막(122)은 실리콘 옥사이드일 수 있다. 그러나, 게이트 유전막(122)은 이에 한정되지 않으며, 하프늄 옥사이드, 란탄 옥사이드 등의 고유전 물질 또는 이들의 조합으로 이루어질 수 있다.A gate dielectric layer 122 may be interposed between the gate electrode 120 and the substrate insulation layer 102 or between the gate electrode 120 and the channel region 110c. That is, the gate dielectric layer 122 is located on the lower surface of the gate electrode 120. In some embodiments, the gate dielectric 122 may be silicon oxide. However, the gate dielectric layer 122 is not limited thereto, and may be made of a high dielectric material such as hafnium oxide, lanthanum oxide, or a combination thereof.

층간 절연막(130)은 게이트 전극(120)과 절연 스페이서(150)를 덮도록 형성된다. 층간 절연막(130)은 예를 들면, 산화막, 질화막, 산질화막 또는 이들의 조합일 수 있다.The interlayer insulating film 130 is formed to cover the gate electrode 120 and the insulating spacer 150. The interlayer insulating film 130 may be, for example, an oxide film, a nitride film, an oxynitride film, or a combination thereof.

콘택 플러그(140)는 제1 소스/드레인 영역(110a) 및 상기 제2 소스/드레인 영역(110b)에 접촉한다. 일부 실시예에서, 콘택 플러그(140)는 비트 라인 콘택(Direct Contact: DC) 또는 스토리지 콘택(Buried Contact: BC)일 수 있다. 도시되지 않았으나, 일부 실시예에서 비트라인 콘택은 후속공정에서 형성될 수 있는 비트라인(도시되지 않음)에 전기적으로 연결되고, 스토리지 콘택은 후속공정에서 형성될 수 있는 캐패시터(도시되지 않음)에 전기적으로 연결될 수 있다.The contact plug 140 contacts the first source / drain region 110a and the second source / drain region 110b. In some embodiments, the contact plug 140 may be a bit line contact (DC) or a buried contact (BC). Although not shown, in some embodiments the bit line contacts are electrically connected to bit lines (not shown) that may be formed in a subsequent process, and the storage contacts are electrically connected to capacitors (not shown) .

절연 스페이서(150)는 게이트 전극(120)의 양 측벽을 덮는 다중층 구조를 가질 수 있다. 일부 실시예에서, 절연 스페이서(150)는 에어 스페이서(150a) 및 외측 스페이서(150b)를 포함한다. The insulating spacers 150 may have a multi-layer structure that covers both sidewalls of the gate electrode 120. In some embodiments, insulating spacer 150 includes air spacers 150a and outer spacers 150b.

도 1c에 도시된 바와 같이, 외측 스페이서(150b) 및 게이트 전극(120) 사이에는 공기가 채워진 에어 스페이서(150a)가 생성되어 있다. 이와 같이, 게이트 전극(120)과 콘택 플러그(140) 사이에 낮은 유전율을 가지는 공기가 채워짐으로서, 게이트 전극(120)과 콘택 플러그(140) 사이에서 발생하는 기생 캐패시턴스가 감소된다.An air spacer 150a filled with air is formed between the outer spacers 150b and the gate electrode 120 as shown in FIG. As described above, parasitic capacitance generated between the gate electrode 120 and the contact plug 140 is reduced by filling air having a low dielectric constant between the gate electrode 120 and the contact plug 140.

일부 실시예에서, 에어 스페이서(150a)는 상기 게이트 전극(120)의 상면(120T)보다 높은 레벨까지 연장될 수 있다. 에어 스페이서(150a)는 제1 소스/드레인 영역(110a)의 상면(110aT) 및 제2 소스/드레인 영역(110b)의 상면(110bT)보다 높은 레벨까지 연장될 수 있다.In some embodiments, the air spacers 150a may extend to a level higher than the top surface 120T of the gate electrode 120. The air spacers 150a may extend to a level higher than the upper surface 110aT of the first source / drain region 110a and the upper surface 110bT of the second source / drain region 110b.

일부 실시예에서, 에어 스페이서(150a)는 게이트 전극(120)의 측벽을 따라 연속적으로 연장된다. 여기서, 에어 스페이서(150a) 중 반도체 핀(110)이 위치하는 영역의 에어 스페이서의 길이(150h2)는 반도체 핀(110)이 위치하지 않는 영역의 에어 스페이서의길이(150h1)보다 짧을 수 있다.In some embodiments, the air spacers 150a extend continuously along the sidewalls of the gate electrode 120. Here, the length 150h2 of the air spacer in the region where the semiconductor fin 110 is located in the air spacer 150a may be shorter than the length 150h1 of the air spacer in the region where the semiconductor fin 110 is not located.

반도체 핀(110)이 연장되는 방향에서, 에어 스페이서(150a)의 상측 폭(D1)은 에어 스페이서(150a)의 하측 폭(D2)보다 작을 수 있다.The upper width D1 of the air spacers 150a may be smaller than the lower width D2 of the air spacers 150a in the direction in which the semiconductor pins 110 extend.

외측 스페이서(150b)는 에어 스페이서(150a)를 사이에 두고 게이트 전극(120)의 반대측에 형성된다. 외측 스페이서(150b)는 도 5f에서 후술할 제조 공정에 의해 형성될 수 있다. 일부 실시예에서, 외측 스페이서(150b)는 층간 절연막(130)과 동일 물질일 수 있다. 다른 실시예에서, 외측 스페이서(150b)는 층간 절연막(130)과 다른 물질일 수 있다. 예를 들면, 외측 스페이서(150b)는 산화막, 질화막, 산질화막 또는 이들의 조합일 수 있다.
The outer spacers 150b are formed on the opposite side of the gate electrode 120 with the air spacers 150a therebetween. The outer spacers 150b may be formed by the manufacturing process described later in FIG. In some embodiments, the outer spacers 150b may be the same material as the interlayer insulating layer 130. [ In another embodiment, the outer spacers 150b may be a material different from the interlayer insulating film 130. [ For example, the outer spacers 150b may be an oxide film, a nitride film, an oxynitride film, or a combination thereof.

도2는 본 발명의 기술적 사상에 의한 일 실시예에 따른 반도체 소자(200)로서, 도 1b의 C1 - C1'선에 대응하는 선 단면도이다. 도 2에 있어서, 도 1a 내지 도 1d에서와 동일한 참조 부호는 동일 부재를 나타내며, 여기서는 설명의 간략화를 위하여 이들에 대한 중복 설명은 생략한다. FIG. 2 is a cross-sectional view taken along line C1-C1 'of FIG. 1B, illustrating a semiconductor device 200 according to an embodiment of the present invention. In Fig. 2, the same reference numerals as in Figs. 1A to 1D denote the same members, and a duplicate description thereof will be omitted for the sake of simplicity.

도 2를 참조하면, 반도체 소자(200)는 반도체 기판(101), 기판 절연층(102), 게이트 전극(120), 게이트 유전막(122), 층간 절연막(230), 콘택 플러그(140) 및 절연 스페이서(250)를 포함한다.2, the semiconductor device 200 includes a semiconductor substrate 101, a substrate insulating layer 102, a gate electrode 120, a gate dielectric layer 122, an interlayer insulating layer 230, a contact plug 140, And includes a spacer 250.

층간 절연막(230)은 게이트 전극(120)과 절연 스페이서(250)를 덮도록 형성된다. 층간 절연막(230)은 예를 들면, 산화막, 질화막, 산질화막 또는 이들의 조합일 수 있다.The interlayer insulating film 230 is formed to cover the gate electrode 120 and the insulating spacer 250. The interlayer insulating film 230 may be, for example, an oxide film, a nitride film, an oxynitride film, or a combination thereof.

절연 스페이서(250)는 에어 스페이서(250a), 외측 스페이서(250b) 및 라이너 질화막(250c)을 포함한다.The insulating spacer 250 includes an air spacer 250a, an outer spacer 250b, and a liner nitride film 250c.

도 2에 도시된 바와 같이, 에어 스페이서(250a)는 라이너 질화막(250c)을 사이에 두고 게이트 전극(120)과 이격되어 있다. As shown in FIG. 2, the air spacers 250a are spaced apart from the gate electrode 120 with the liner nitride film 250c interposed therebetween.

외측 스페이서(250b)는 에어 스페이서(250a) 및 라이너 질화막(250c)을 사이에 두고 게이트 전극(120)의 반대측에 형성된다. 외측 스페이서(250b)는 도 6e에서 후술할 제조 공정에 의해 형성될 수있다. 일부 실시예에서, 외측 스페이서(250b)는 층간 절연막(230)과 동일 물질일 수 있다. 다른 실시예에서, 외측 스페이서(250b)는 층간 절연막(230)과 다른 물질일 수 있다. 예를 들면, 외측 스페이서(250b)는 산화막, 질화막, 산질화막 또는 이들의 조합일 수 있다.The outer spacer 250b is formed on the opposite side of the gate electrode 120 with the air spacer 250a and the liner nitride film 250c interposed therebetween. The outer spacers 250b may be formed by the manufacturing process described later in FIG. 6E. In some embodiments, the outer spacers 250b may be the same material as the interlayer insulating layer 230. [ In another embodiment, the outer spacers 250b may be a different material from the interlayer insulating layer 230. [ For example, the outer spacers 250b may be an oxide film, a nitride film, an oxynitride film, or a combination thereof.

라이너 질화막(250c)은 실리콘 나이트라이드 또는 실리콘 옥시나이트라이드로이루어질 수 있다. 그러나 본 발명은 이에 한정되는 것은 아니며, 라이너 질화막(250c)은 본 발명의 기술적 사상의 범위 내에서 다른 물질을 포함할 수 있다.The liner nitride film 250c may be made of silicon nitride or silicon oxynitride. However, the present invention is not limited to this, and the liner nitride film 250c may include other materials within the technical scope of the present invention.

절연 스페이서(250)가 라이너 질화막(250c)을 포함함으로써, 에어 스페이서(250a)의 형성 공정에서 발생할 수 있는 게이트 전극(120)의 손상을 방지할 수 있다.Since the insulating spacer 250 includes the liner nitride film 250c, it is possible to prevent damage to the gate electrode 120 that may occur in the process of forming the air spacer 250a.

도3은 본 발명의 기술적 사상에 의한 일 실시예에 따른 반도체 소자(300)로서, 도 1b의 D1 - D1'선에 대응하는 선 단면도이다. 도 3에 있어서, 도 1a 내지 도 2에서와 동일한 참조 부호는 동일 부재를 나타내며, 여기서는 설명의 간략화를 위하여 이들에 대한 중복 설명은 생략한다. 3 is a cross-sectional view of the semiconductor device 300 according to one embodiment of the present invention, taken along line D1-D1 'in FIG. 1B. In Fig. 3, the same reference numerals as in Figs. 1A and 2 denote the same members, and a duplicate description thereof will be omitted for the sake of simplicity.

도 3을 참조하면, 반도체 소자(300)는 반도체 기판(101), 기판 절연층(102), 반도체 핀(110), 게이트 전극(120), 게이트 유전막(122), 고유전막(324), 층간 절연막(130), 콘택 플러그(140) 및 절연 스페이서(150)를 포함한다.3, the semiconductor device 300 includes a semiconductor substrate 101, a substrate insulation layer 102, a semiconductor fin 110, a gate electrode 120, a gate dielectric layer 122, a high-k dielectric layer 324, An insulating film 130, a contact plug 140, and an insulating spacer 150.

일부 실시예에서, 고유전막(324)은 게이트 전극(120)과 게이트 유전막(122) 사이에 개재될 수 있다(도 7d 참조).In some embodiments, the high-k film 324 may be interposed between the gate electrode 120 and the gate dielectric layer 122 (see FIG. 7D).

고유전막(324)은 실리콘 산화막보다 유전율이 더 큰 물질로 이루어질 수 있다. 예를 들어, 고유전막(324)은 하프늄 옥사이드, 란탄 옥사이드 등으로 이루어질 수 있다. 다만, 고유전막(324)은 이에 한정하지 않고 다른 물질로 이루어질 수 있음은 물론이다.The high-k dielectric layer 324 may be made of a material having a dielectric constant larger than that of the silicon oxide layer. For example, the high-k dielectric layer 324 may be made of hafnium oxide, lanthanum oxide, or the like. However, it is needless to say that the high-k dielectric layer 324 is not limited thereto but may be made of another material.

도4는 본 발명의 기술적 사상에 의한 일 실시예에 따른 반도체 소자(400)로서, 도 1b의 C1 - C1'선에 대응하는 선 단면도이다. 도 4에 있어서, 도 1a 내지 도 3에서와 동일한 참조 부호는 동일 부재를 나타내며, 여기서는 설명의 간략화를 위하여 이들에 대한 중복 설명은 생략한다. 4 is a cross-sectional view taken along line C1-C1 'of FIG. 1B, illustrating a semiconductor device 400 according to an embodiment of the present invention. In FIG. 4, the same reference numerals as in FIGS. 1A to 3 denote the same members, and a duplicate description thereof will be omitted for the sake of simplicity.

도 4를 참조하면, 반도체 소자(400)는 반도체 기판(101), 기판 절연층(102), 게이트 전극(120), 게이트 유전막(122), 층간 절연막(430), 콘택 플러그(440) 및 절연 스페이서(450)를 포함한다.4, the semiconductor device 400 includes a semiconductor substrate 101, a substrate insulating layer 102, a gate electrode 120, a gate dielectric layer 122, an interlayer insulating layer 430, a contact plug 440, And includes a spacer 450.

층간 절연막(430)은 게이트 전극(120) 및 절연 스페이서(450)를 덮도록 형성된다. 층간 절연막(430)은 예를 들면, 산화막, 질화막, 산질화막 또는 이들의 조합일 수 있다.The interlayer insulating film 430 is formed to cover the gate electrode 120 and the insulating spacer 450. The interlayer insulating film 430 may be, for example, an oxide film, a nitride film, an oxynitride film, or a combination thereof.

일부 실시예에서, 콘택 플러그(440)는 외측 스페이서(450b)에 의해 자기정렬될 수 있다. 즉, 콘택 플러그(440)는 자기정렬된 콘택(Self Align Contact: SAC) 공정에 의해 형성될 수 있다.In some embodiments, the contact plugs 440 may be self-aligned by the outer spacers 450b. That is, the contact plug 440 may be formed by a Self Align Contact (SAC) process.

자기정렬된 콘택(SAC) 공정은, 콘택 플러그(440) 형성을 위한 이방성 식각 시 게이트 전극(120)의 측벽에 형성된 외측 스페이서(450b)를 식각 마스크로 사용하는 공정으로써, 포토리소그래피 공정에서의 정렬 여유도(align margin)를 증가시킴은 물론, 메모리 소자 등의 제조에서 게이트 전극 간의 이격 거리를 감소시킬 수 있게 되어 반도체 소자의 집적도를 향상 시킬 수 있다.The self-aligned contact (SAC) process is a process of using an outer spacer 450b formed on the sidewall of the gate electrode 120 as an etch mask during anisotropic etching for forming the contact plug 440, It is possible not only to increase the alignment margin but also to reduce the separation distance between the gate electrodes in the fabrication of the memory device and the like, thereby improving the degree of integration of the semiconductor device.

절연 스페이서(450)는 에어 스페이서(450a) 및 외측 스페이서(450b)를 포함한다. 일부 실시예에서, 에어 스페이서(450a) 및 외측 스페이서(450b)는 도 8a 내지 도 8g에서 후술할 제조 공정에 의해 형성될 수 있다.Insulation spacers 450 include an air spacer 450a and an outer spacer 450b. In some embodiments, air spacers 450a and outer spacers 450b may be formed by the fabrication process described below in Figures 8A-8G.

도5a 내지 도 5i는 본 발명의 기술적 사상에 의한 일 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 사시도들이다. 도 5a 내지 도 5i에 있어서, 도 1a 내지 도 4에서와 동일한 참조 부호는 동일 부재를 나타내며, 여기서는 설명의 간략화를 위하여 이들에 대한 중복 설명은 생략한다. 5A to 5I are perspective views illustrating a method of fabricating a semiconductor device according to an embodiment of the present invention. In Figs. 5A to 5I, the same reference numerals as in Figs. 1A to 4 denote the same members, and a duplicate description thereof will be omitted for the sake of brevity.

도 5a를 참조하면, 기판 절연층(102) 상에 기초 반도체 핀(110x)을 형성한다. 기초 반도체 핀(110x)은 식각 공정에 의해 형성될 수 있다. 본 예에서는 SOI 기판을 이용하여 기초 반도체 핀(110x)을 형성하는 공정을 예로 들어 설명하지만, 본 발명의 기술적 사상의 범위 내에서 벌크형 실리콘 기판을 사용하여 반도체 핀(110x)을 형성할 수도 있다.Referring to FIG. 5A, a base semiconductor pin 110x is formed on a substrate insulation layer 102. [0064] FIG. The base semiconductor fin 110x may be formed by an etching process. In this example, the process of forming the base semiconductor fin 110x by using the SOI substrate is described as an example, but the semiconductor fin 110x may be formed using the bulk silicon substrate within the scope of the technical idea of the present invention.

도 5b를 참조하면, 게이트 유전막(122x)이 기판 절연층(102)과 기초 반도체 핀(110x)을 덮도록 형성된다. 게이트층(120x)은 게이트 유전막(122x)을 덮도록 형성된다. 일부 실시예에서, 게이트 유전막(122x) 및 게이트층(120x)은 증착 공정에 의해 형성될 수 있다. 게이트층(120x) 형성 후, 화학적 기계적 연마(Chemical Mechanical Polishing: CMP) 공정이 수행될 수 있다.Referring to FIG. 5B, a gate dielectric layer 122x is formed to cover the substrate insulation layer 102 and the base semiconductor fin 110x. The gate layer 120x is formed so as to cover the gate dielectric film 122x. In some embodiments, the gate dielectric layer 122x and the gate layer 120x may be formed by a deposition process. After the formation of the gate layer 120x, a chemical mechanical polishing (CMP) process can be performed.

도 5c를 참조하면, 게이트층(120x) 중 게이트 전극(120)이 위치할 곳에 게이트 마스크(126)를 형성한다. 게이트 마스크(126)는 산화막일 수 있다. 게이트 마스크(126)를 식각 마스크로 이용하여 노출된 게이트층(120x) 및 게이트 유전막(122x)을 식각한다.Referring to FIG. 5C, a gate mask 126 is formed in the gate layer 120x where the gate electrode 120 is to be located. The gate mask 126 may be an oxide film. The exposed gate layer 120x and the gate dielectric layer 122x are etched using the gate mask 126 as an etch mask.

도 5d를 참조하면, 게이트 전극(120)의 양 측벽을 덮는 희생막(도시되지 않음)을 형성한다. 희생막은 게이트 마스크(126)와의 관계에서 높은 식각 선택비를 갖는 물질로 형성된다. 또한, 희생막은 습식 식각 공정을 통해 용이하게 제거될 수 있는 물질로 형성된다. 희생막으로 사용될 수 있는 물질로는, 예를 들면 실리콘 게르마늄 또는 실리콘 나이트라이드 등을 들 수 있다. 그 후, 희생막을 이방성 식각함으로써 희생 스페이서(550)를 형성한다. 희생막의 식각 공정 시간을 조절하여, 희생 스페이서(550)는 기초 반도체 핀(110x) 부분에 존재하지 않고, 게이트 전극(120) 부분에만 존재하도록 할 수 있다.Referring to FIG. 5D, a sacrificial layer (not shown) covering both side walls of the gate electrode 120 is formed. The sacrificial film is formed of a material having a high etch selectivity in relation to the gate mask 126. Also, the sacrificial film is formed of a material that can be easily removed through a wet etching process. As the material that can be used as a sacrificial film, for example, silicon germanium or silicon nitride can be cited. Thereafter, a sacrificial spacer 550 is formed by anisotropically etching the sacrificial layer. The sacrificial spacer 550 is not present in the portion of the base semiconductor fin 110x but may be present only in the portion of the gate electrode 120 by adjusting the etching process time of the sacrifice layer.

도 5e를 참조하면, 상술한 5a 내지 도 5d 공정을 마친 결과물 외부에 노출된 기초 반도체 핀(110x) 부분을 선택적 에피텍셜 성장(SEG)시킴으로써, 반도체 핀(110)을 형성한다. 즉, 선택적 에피텍셜 성장 과정을 통해 기초 반도체 핀(110x)에 반도체 핀(110y)이 결합하여 반도체 핀(110)이 된다(도 1d 참조).Referring to FIG. 5E, the semiconductor fin 110 is formed by selective epitaxial growth (SEG) of a portion of the base semiconductor fin 110x exposed to the outside of the finished product after the processes 5a to 5d described above. That is, the semiconductor fin 110y is coupled to the base semiconductor fin 110x through the selective epitaxial growth process to form the semiconductor fin 110 (see FIG. 1D).

선택적 에피텍셜 성장은 여러 가지 방법이 존재한다. 그 중 호모에피택시(homoepitaxy)의 경우, 기초 반도체 핀(110x) 및 반도체 핀(110y)은 동일 물질일 수 있다. 한편, 헤테로에피택시(heteroepitxy)의 경우 기초 반도체 핀(110x) 및 반도체 핀(110y)은 서로 다른 조성을 갖는 실리콘일 수 있다.There are various methods for selective epitaxial growth. In the case of homoepitaxy, the base semiconductor pin 110x and the semiconductor fin 110y may be the same material. On the other hand, in the case of heteroepitax, the base semiconductor pin 110x and the semiconductor fin 110y may be silicon having different compositions.

선택적 에피택셜 성장은 감압 화학적 기상 증착(reduced pressure chemical vapor deposition)방법 또는 저압 화학적 기상 증착(low pressure chemical vapor deposition) 방법으로 구현될 수 있다. 선택적 에피택셜 성장은 플로우 가스로 수소 가스를 사용할 수 있다. The selective epitaxial growth can be realized by a reduced pressure chemical vapor deposition method or a low pressure chemical vapor deposition method. Selective epitaxial growth can use hydrogen gas as the flow gas.

반도체 핀(110)은 제1 소스/드레인 영역(110a)과 제2 소스/드레인 영역(110b)을 포함할 수 있다. 제1 소스/드레인 영역(110a) 및 제2 소스/드레인 영역(110b)은 예를 들면, 이온 주입 공정을 통하여 형성될 수 있다.The semiconductor fin 110 may include a first source / drain region 110a and a second source / drain region 110b. The first source / drain region 110a and the second source / drain region 110b may be formed, for example, through an ion implantation process.

도 5f및 도 5g를 참조하면, 희생 스페이서(550)를 덮는 외측 스페이서(150b)를 형성한 후, 희생 스페이서(550)를 제거한다. 도시된 바와 같이, 외측 스페이서(150b)는 희생 스페이서(550)의 상면이 노출되도록 형성한다. 즉, 기판 절연층(102)으로부터 외측 스페이서(150b)의 상면까지 높이는, 기판 절연층(102)으로부터 희생 스페이서(550)의 상면까지 높이보다 낮다. 희생 스페이서(550)를 제거하는 공정은 선택적 습식 식각 공정을 통해 수행될 수 있다.Referring to FIGS. 5F and 5G, after the outer spacers 150b covering the sacrificial spacers 550 are formed, the sacrificial spacers 550 are removed. As shown, the outer spacer 150b is formed such that the top surface of the sacrificial spacer 550 is exposed. That is, the height from the substrate insulating layer 102 to the upper surface of the outer spacer 150b is lower than the height from the substrate insulating layer 102 to the upper surface of the sacrificial spacer 550. The process of removing the sacrificial spacers 550 may be performed through a selective wet etching process.

도 5h를 참조하면, 상술한 5a 내지 도 5g 공정을 마친 결과물의 상부를 덮는 층간 절연막(130x)을 형성한다. 일부 실시예에서, 층간 절연막(130x)은 PVD 공정에 의해 형성될 수 있다. 층간 절연막(130x)은 희생 스페이서(650)가 제거된 부분을 매립하지 않도록 형성됨으로써, 절연 스페이서(150)는 에어 스페이서(150a)를 갖는다. 층간 절연막(130x)이 형성된 후에 CMP 공정이 수행될 수 있다. 도 5h에 도시한 바와 같이, 상기 층간 절연막(130x)을 형성하는 동안 층간 절연막(130x) 형성 물질이 에어 스페이서(150a) 내부로 침입하여 게이트 전극(120)의 측벽을 덮을 수 있으나, 본 발명의 기술적 사상은 반드시 도 5h에 도시된 바에 한정되는 것은 아니다.Referring to FIG. 5H, an interlayer insulating film 130x is formed to cover an upper portion of the result of the above-described processes 5a to 5g. In some embodiments, the interlayer insulating film 130x may be formed by a PVD process. The interlayer insulating film 130x is formed so as not to fill the portion where the sacrificial spacers 650 are removed, so that the insulating spacer 150 has the air spacers 150a. After the interlayer insulating film 130x is formed, a CMP process can be performed. The interlayer insulating layer 130x may penetrate into the air spacers 150a to cover the sidewalls of the gate electrode 120 during formation of the interlayer insulating layer 130x as shown in FIG. The technical idea is not necessarily limited to that shown in FIG. 5H.

도 5i를 참조하면, 층간 절연막(130x)의 소정 부분을 식각하고, 도전 물질을 증착함으로서 콘택 플러그(140)를 형성한다. Referring to FIG. 5I, a predetermined portion of the interlayer insulating film 130x is etched, and a contact plug 140 is formed by depositing a conductive material.

상기 설명한 공정들을 이용함으로써, 도전 패턴들 사이에서 기생 캐패시턴스가 감소되는 반도체 소자를 제조할 수 있다.
By using the above-described processes, it is possible to manufacture a semiconductor device in which the parasitic capacitance is reduced between the conductive patterns.

도 6a 내지 도 6h는 본 발명의 기술적 사상에 의한 일 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 사시도들이다. 도 6a 내지 도 6h에 있어서, 도 1a 내지 도 5i에서와 동일한 참조 부호는 동일 부재를 나타내며, 여기서는 설명의 간략화를 위하여 이들에 대한 중복 설명은 생략한다.6A to 6H are perspective views illustrating a method of fabricating a semiconductor device according to an embodiment of the present invention. 6A to 6H, the same reference numerals as in Figs. 1A to 5I denote the same members, and a duplicate description thereof will be omitted for the sake of simplicity.

도 6a를 참조하면, 기판 절연층(102)에 기초 반도체 핀(110x), 게이트 전극(120), 게이트 유전막(122) 및 게이트 마스크(126)를 형성한다. 이러한 공정은 상술한 도 5a 내지 5c의 공정과 유사하게 수행될 수 있다.Referring to FIG. 6A, a base semiconductor fin 110x, a gate electrode 120, a gate dielectric layer 122, and a gate mask 126 are formed on a substrate insulation layer 102. As shown in FIG. This process can be performed similarly to the processes of Figs. 5A to 5C described above.

도 6b를 참조하면, 게이트 전극(120)의 양 측벽을 덮는 라이너 질화막(250c)을 형성하고, 라이너 질화막(250c)을 덮는 희생 스페이서(650)를 형성한다. 희생 스페이서(650)의 형성 과정은 도5d에서 상술한 바와 유사하게 수행될 수 있다.Referring to FIG. 6B, a liner nitride film 250c covering both side walls of the gate electrode 120 is formed, and a sacrificial spacer 650 is formed to cover the liner nitride film 250c. The formation process of the sacrificial spacers 650 may be performed in a manner similar to that described above with reference to FIG. 5D.

도 6c를 참조하면, 선택적 에피텍셜 성장 과정을 통해 반도체 핀(110)을 형성하고, 이온 주입 공정을 통하여 제1 소스/드레인 영역(110a) 및 제2 소스/드레인 영역(110b)을 형성한다. 반도체 핀(110)의 형성 과정은 도 5e에서 상술한 바와 유사하게 수행될 수 있다.Referring to FIG. 6C, a semiconductor fin 110 is formed through a selective epitaxial growth process, and a first source / drain region 110a and a second source / drain region 110b are formed through an ion implantation process. The formation process of the semiconductor fin 110 may be performed in a manner similar to that described above with reference to FIG. 5E.

도 6d 및 도 6e를 참조하면, 희생 스페이서(650)를 덮는 외측 스페이서(250b)를 형성한 후, 희생 스페이서(650)를 제거한다. 이러한 공정은 도 5f 및 도 5g에서 상술한 바와 유사하게 수행될 수 있다.6D and 6E, after forming the outer spacers 250b covering the sacrificial spacers 650, the sacrificial spacers 650 are removed. Such a process can be performed similarly as described above in Figs. 5F and 5G.

도 6f를 참조하면, 상술한 도 6a 내지 도 6e 공정을 마친 결과물의 상부를 덮는 층간 절연막(230x)을 형성한다. 층간 절연막(230x)은 희생 스페이서(650)가 제거된 부분을 매립하지 않도록 형성됨으로써, 절연 스페이서(250)는 에어 스페이서(250a)를 갖는다.Referring to FIG. 6F, an interlayer insulating film 230x is formed to cover an upper portion of the resultant process of FIGS. 6A to 6E. The interlayer insulating film 230x is formed so as not to fill the portion where the sacrificial spacer 650 is removed, so that the insulating spacer 250 has the air spacers 250a.

도 6f에 도시한 바와 같이, 상기 층간 절연막(230x)을 형성하는 동안 층간 절연막(230x) 형성 물질이 에어 스페이서(250a) 내부로 침입하여 게이트 전극(120)의 측벽을 덮을 수 있으나, 본 발명의 기술적 사상은 반드시 도 6f에 도시된 바에 한정되는 것은 아니다.The interlayer insulating layer 230x forming material may penetrate into the air spacers 250a to cover the side walls of the gate electrode 120 during the formation of the interlayer insulating layer 230x as shown in FIG. The technical idea is not necessarily limited to that shown in FIG. 6F.

도 6g를 참조하면, 콘택 플러그(140)를 형성하기 위해, 층간 절연막(230x)의 일부를 식각한다. 이후, 노출된 제1 소스/드레인 영역 및 제2 소스/드레인 영역 각각에 접촉하는 콘택 플러그(140)가 형성되고, CMP 공정이 추가적으로 수행될 수 있다.6G, in order to form the contact plug 140, a part of the interlayer insulating film 230x is etched. Thereafter, a contact plug 140 is formed which contacts each of the exposed first source / drain region and the second source / drain region, and a CMP process can be additionally performed.

도 7a 내지 도 7j는 본 발명의 기술적 사상에 의한 일 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 사시도들이다. 도 7a 내지 도 7j에 있어서, 도 1a 내지 도 6g에서와 동일한 참조 부호는 동일 부재를 나타내며, 여기서는 설명의 간략화를 위하여 이들에 대한 중복 설명은 생략한다. 7A to 7J are perspective views illustrating a method of fabricating a semiconductor device according to an embodiment of the present invention. In Figs. 7A to 7J, the same reference numerals as in Figs. 1A to 6G denote the same members, and a duplicate description thereof will be omitted for the sake of brevity.

도 7a를 참조하면, 기판 절연층(102) 상에 기초 반도체 핀(110x)을 형성한다. 이러한 공정은 도 5a에서 상술한 바와 유사하게 수행될 수 있다.Referring to FIG. 7A, a base semiconductor pin 110x is formed on a substrate insulation layer 102. FIG. This process can be performed similarly as described above in Fig. 5A.

도 7b내지 7d를 참조하면, 기초 반도체 핀(110x)과 기판 절연층(102)의 상면을 덮도록 희생막(752a)을 형성한다. 희생막(752a)으로 사용될 수 있는 물질로는, 예를 들면 실리콘 게르마늄 또는 실리콘 나이트라이드 등을 들 수 있다. 7B to 7D, a sacrifice film 752a is formed so as to cover the upper surface of the base semiconductor fin 110x and the substrate insulating layer 102. As shown in FIG. As the material that can be used as the sacrifice film 752a, for example, silicon germanium or silicon nitride can be cited.

그 후, 후속공정으로 형성될 게이트 전극(120) 부분의 희생막(752a)을 식각함으로써, 희생막(752b)을 형성한다. Thereafter, the sacrifice film 752a of the portion of the gate electrode 120 to be formed in the subsequent process is etched to form the sacrifice film 752b.

희생막(752b) 형성 후, 노출된 기판 절연층(102)에 게이트 유전막(122), 고유전막(324) 및 게이트 전극(120)을 형성시킨다. 게이트 유전막(122), 고유전막(324) 및 게이트 전극(120)은 증착 공정에 의해 수행될 수 있다. The gate dielectric layer 122, the high-k dielectric layer 324 and the gate electrode 120 are formed on the exposed substrate insulation layer 102 after the sacrifice layer 752b is formed. The gate dielectric layer 122, the high-k dielectric layer 324 and the gate electrode 120 may be performed by a deposition process.

그 후, 희생막(752b)의 상면이 노출되도록 CMP 공정을 수행한 후, 희생막(752b)을 제거한다. 희생막(752b)은 식각 공정을 통해 제거될 수 있다.Thereafter, the CMP process is performed so that the top surface of the sacrifice film 752b is exposed, and then the sacrifice film 752b is removed. The sacrificial film 752b may be removed through an etching process.

도 7e를 참조하면, 게이트 전극(120)의 양 측벽을 덮는 희생 스페이서(750a)를 형성한다. 희생 스페이서(750a)의 제조 공정은 도5d에서 상술한 바와 유사하게 수행될 수 있다. 7E, a sacrificial spacer 750a covering both side walls of the gate electrode 120 is formed. The fabrication process of the sacrificial spacer 750a may be performed similar to that described above in Fig. 5D.

도 7f를 참조하면, 상술한 도 7a 내지 도 7f 공정을 마친 결과물 외부에 노출된 기초 반도체 핀(110x) 부분을 선택적 에피텍셜 성장시킴으로써, 반도체 핀(110)을 형성하고, 이온 주입 공정을 통하여 제1 소스/드레인 영역(110a) 및 제2 소스/드레인 영역(110b)을 형성한다. 반도체 핀(110)의 형성 과정은 도 5e에서 상술한 바와 유사하게 수행될 수 있다.Referring to FIG. 7F, the semiconductor fin 110 is formed by selective epitaxial growth of the portion of the base semiconductor fin 110x exposed to the outside of the resultant process of FIG. 7A to FIG. 7F, 1 source / drain region 110a and a second source / drain region 110b. The formation process of the semiconductor fin 110 may be performed in a manner similar to that described above with reference to FIG. 5E.

반도체 핀(110) 형성 후, 희생 스페이서(750b)를 형성한다. 희생 스페이서(750b)는 희생 스페이서(750a)를 덮도록 형성되며, 제조 공정은 도 5d에서 상술한 바와 유사하게 수행될 수 있다.After forming the semiconductor fin 110, a sacrificial spacer 750b is formed. The sacrificial spacer 750b is formed to cover the sacrificial spacer 750a, and the fabrication process can be performed similarly to that described above in Fig. 5D.

도 7g 및 도 7h를 참조하면, 희생 스페이서(750b)를 덮는 외측 스페이서(150b)를 형성한 후, 희생 스페이서(750b)를 제거한다. 도시된 바와 같이, 외측 스페이서(150b)는 희생 스페이서(750b)의 상면이 노출되도록 형성한다. 즉, 기판 절연층(102)으로부터 외측 스페이서(150b)의 상면까지 높이는, 기판 절연층(102)으로부터 희생 스페이서(750b)의 상면까지 높이보다 낮다. 희생 스페이서(750b)를 제거하는 공정은 선택적 습식 식각 공정을 통해 수행될 수 있다.Referring to Figures 7G and 7H, after forming the outer spacers 150b covering the sacrificial spacers 750b, the sacrificial spacers 750b are removed. As shown, the outer spacer 150b is formed such that the upper surface of the sacrificial spacer 750b is exposed. That is, the height from the substrate insulating layer 102 to the upper surface of the outer spacer 150b is lower than the height from the substrate insulating layer 102 to the upper surface of the sacrificial spacer 750b. The process of removing the sacrificial spacers 750b may be performed through a selective wet etching process.

도 7i를 참조하면, 상술한 7a 내지 도 7h 공정을 마친 결과물의 상부를 덮는 층간 절연막(130x)을 형성한다. 층간 절연막(130x)은 희생 스페이서(750b)가 제거된 부분을 매립하지 않도록 형성됨으로써, 절연 스페이서(150)는 에어 스페이서(150a)를 갖는다. 도 7i에 도시한 바와 같이, 상기 층간 절연막(130x)을 형성하는 동안 층간 절연막(130x) 형성 물질이 에어 스페이서(150a) 내부로 침입하여 게이트 전극(120)의 측벽을 덮을 수 있으나, 본 발명의 기술적 사상은 반드시 도 7i에 도시된 바에 한정되는 것은 아니다. Referring to FIG. 7I, an interlayer insulating film 130x is formed to cover an upper portion of the resultant product of steps 7a to 7h. The interlayer insulating film 130x is formed so as not to fill the portion where the sacrificial spacer 750b is removed, so that the insulating spacer 150 has the air spacers 150a. As shown in FIG. 7I, during formation of the interlayer insulating layer 130x, the interlayer insulating layer 130x may penetrate into the air spacers 150a to cover the side walls of the gate electrode 120. However, The technical idea is not necessarily limited to that shown in Fig. 7i.

층간 절연막(130x)을 형성한 후, CMP 공정이 수행될 수 있다.After the interlayer insulating film 130x is formed, a CMP process can be performed.

도 7j를 참조하면, 콘택 플러그(140)를 형성하기 위해, 층간 절연막(130x)의 일부를 식각한다. 이후, 노출된 제1 소스/드레인 영역 및 제2 소스/드레인 영역 각각에 접촉하는 콘택 플러그가 형성되고, CMP 공정이 추가적으로수행될 수 있다.Referring to FIG. 7J, a part of the interlayer insulating film 130x is etched to form the contact plug 140. Next, as shown in FIG. Thereafter, a contact plug is formed that contacts each of the exposed first source / drain region and the second source / drain region, and a CMP process may be further performed.

도 8a 내지 도 8g는 본 발명의 기술적 사상에 의한 일 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 사시도들이다. 도 8a 내지 도 8h에 있어서, 도 1a 내지 도 7k에서와 동일한 참조 부호는 동일 부재를 나타내며, 여기서는 설명의 간략화를 위하여 이들에 대한 중복 설명은 생략한다.8A to 8G are perspective views illustrating a method of fabricating a semiconductor device according to an embodiment of the present invention. In Figs. 8A to 8H, the same reference numerals as in Figs. 1A to 7K denote the same members, and a duplicate description thereof will be omitted for the sake of simplicity.

도 8a를 참조하면, 기판 절연층(102)에 기초 반도체 핀(110x)과 희생막(752b)을 형성한다. 이러한 공정은 상술한 도 7a 내지 7c의 공정과 유사하게 수행될 수 있다.Referring to FIG. 8A, a base semiconductor pin 110x and a sacrifice layer 752b are formed on a substrate insulation layer 102. [ This process can be performed similarly to the processes of Figs. 7A to 7C described above.

도 8b를 참조하면, 희생막(752b) 형성 후 노출된 기판 절연층(102)에 게이트 유전막(122), 고유전막(324), 게이트 전극(120) 및 질화막(826)을 형성시킨 후, 희생막(752b)을 제거한다. 게이트 유전막(122), 고유전막(324), 게이트 전극(120) 및 질화막(826)은 증착 공정에 의해 수행될 수 있다. 희생막(752b)의 식각 공정에 앞서 CMP 공정이 수행될 수 있다.8B, a gate dielectric layer 122, a high-k dielectric layer 324, a gate electrode 120, and a nitride layer 826 are formed on the exposed substrate insulation layer 102 after forming the sacrifice layer 752b, The film 752b is removed. The gate dielectric layer 122, the high-k dielectric layer 324, the gate electrode 120, and the nitride layer 826 may be formed by a deposition process. The CMP process may be performed prior to the etching process of the sacrifice film 752b.

도 8c를 참조하면, 게이트 전극(120)의 양 측벽을 덮는 질화 스페이서(850a)를 형성한다. 질화 스페이서(850a)의 제조 공정은 도 5d에서 상술한 바와 유사하게 수행될 수 있다. Referring to FIG. 8C, a nitride spacer 850a is formed to cover both side walls of the gate electrode 120. As shown in FIG. The manufacturing process of the nitride spacer 850a may be performed similarly as described above in Fig. 5D.

도 8d를 참조하면, 상술한 도 8a 내지 도 8c 공정을 마친 결과물 외부에 노출된 기초 반도체 핀(110x) 부분을 선택적 에피텍셜 성장시킴으로써, 반도체 핀(110)을 형성하고, 이온 주입 공정을 통하여 제1 소스/드레인 영역(110a) 및 제2 소스/드레인 영역(110b)을 형성한다. 반도체 핀(110)의 형성 과정은 도 5e에서 상술한 바와 유사하게 수행될 수 있다.8D, a semiconductor fin 110 is formed by selective epitaxial growth of a portion of the base semiconductor fin 110x exposed to the outside of the resultant process of FIG. 8A to FIG. 8C, 1 source / drain region 110a and a second source / drain region 110b. The formation process of the semiconductor fin 110 may be performed in a manner similar to that described above with reference to FIG. 5E.

반도체 핀(110) 형성 후, 질화 스페이서(850b)를 형성한다. 질화 스페이서(850b)는 질화 스페이서(850a)를 덮도록 형성되며, 제조 공정은 도 5d에서 상술한 바와 유사하게 수행될 수 있다.After the semiconductor fin 110 is formed, a nitride spacer 850b is formed. The nitride spacer 850b is formed so as to cover the nitride spacer 850a, and the manufacturing process can be performed similarly to that described above in Fig. 5D.

도 8e를 참조하면, 상술한 8a 내지 도 8d 공정을 마친 결과물의 상부를 덮는 층간 절연막(430x)을 형성한다. 층간 절연막(430x)을 형성한 후, CMP 공정이 수행될 수 있다.Referring to FIG. 8E, an interlayer insulating film 430x is formed to cover an upper portion of the resultant product of steps 8a to 8d. After the interlayer insulating film 430x is formed, a CMP process can be performed.

도 8f를 참조하면, 층간 절연막(430x)의 일부를 식각하여 콘택홀(도시되지 않음)을 형성한다. 콘택홀은 질화 스페이서(850b)에 의해 자기정렬되어 형성된다. 콘택홀이 자기정렬되어 형성될 경우, 정렬 여유도가 증가하고 단위 셀들의 집적도를 증가시킬 수 있다. 콘택 플러그(440)는 콘택홀에 형성된다. 콘택 플러그(440)는 제1 소스/드레인 영역 및 제2 소스/드레인 영역 각각에 접촉한다. 콘택 플러그(440) 형성 후에는 CMP 공정이 추가적으로 수행될 수 있다.Referring to FIG. 8F, a part of the interlayer insulating film 430x is etched to form a contact hole (not shown). The contact holes are formed by self-alignment by the nitride spacer 850b. When the contact holes are formed by self-alignment, the alignment margin can be increased and the degree of integration of the unit cells can be increased. A contact plug 440 is formed in the contact hole. The contact plug 440 contacts the first source / drain region and the second source / drain region, respectively. After the formation of the contact plug 440, a CMP process may be additionally performed.

도 8g를 참조하면, 질화막(826) 및 질화 스페이서(850a, 850b)를 식각 공정을 통해 제거한다. 그 후, 질화막(826) 및 질화 스페이서(850a, 850b)가 제거된 공간에 실리콘 옥사이드를 증착시켜, 에어 스페이서(450a), 외측 스페이서(450b) 및 층간 절연막(430)을 형성한다. 일부 실시예에서, 외측 스페이서(450b) 및 층간 절연막(430)은 동일 물질일 수 있다. 외측 스페이서(450b) 및 층간 절연막(430)은 예를 들어, 실리콘 옥사이드일 수 있다.Referring to FIG. 8G, the nitride film 826 and the nitride spacers 850a and 850b are removed through an etching process. Silicon oxide is then deposited in the space from which the nitride film 826 and the nitride spacers 850a and 850b are removed to form the air spacers 450a, the outer spacers 450b, and the interlayer insulating film 430. In some embodiments, the outer spacers 450b and the interlayer insulating film 430 may be the same material. The outer spacers 450b and the interlayer insulating film 430 may be, for example, silicon oxide.

이상, 본 발명을 바람직한 실시예를 들어 상세하게 설명하였으나, 본 발명은 상기 실시예에 한정되지 않고, 본 발명의 기술적 사상 및 범위 내에서 당 분야에서 통상의 지식을 가진 자에 의하여 여러가지 변형 및 변경이 가능하다. While the present invention has been particularly shown and described with reference to exemplary embodiments thereof, it is to be understood that the invention is not limited to the disclosed exemplary embodiments, but, on the contrary, This is possible.

100: 반도체 소자
101: 반도체 기판 102: 기판 절연층
110: 반도체 핀
110a: 제1 소스/드레인 영역 110b: 제2 소스/드레인 영역
120: 게이트 전극 122: 게이트 유전막
130: 층간 절연막 140: 콘택 플러그
150: 절연 스페이서 150a: 에어 스페이서
150b: 외측 스페이서 250c: 라이너 질화막
324: 고유전막
100: semiconductor element
101: semiconductor substrate 102: substrate insulating layer
110: semiconductor pin
110a: first source / drain region 110b: second source / drain region
120: gate electrode 122: gate dielectric film
130: interlayer insulating film 140: contact plug
150: Insulation spacer 150a: Air spacer
150b: outer spacer 250c: liner nitride film
324: high dielectric constant film

Claims (10)

반도체 기판 상에 형성되고, 제1 소스/드레인 영역, 제2 소스/드레인 영역 및 채널 영역을 포함하는 반도체 핀과,
상기 채널영역 표면 위를 횡단하며, 상기 반도체 기판 상에 형성되는 게이트 전극과,
상기 게이트 전극과 상기 채널 영역 사이에 위치하는 게이트 유전막과,
상기 제1 소스/드레인 영역 및 상기 제2 소스/드레인 영역에 접촉하는 콘택 플러그와,
상기 게이트 전극의 양 측벽을 덮는 다중층 구조의 절연 스페이서를 포함하고,
상기 절연 스페이서는 에어 스페이서를 포함하는 것을 특징으로 하는 반도체 소자.
A semiconductor fin formed on the semiconductor substrate and including a first source / drain region, a second source / drain region, and a channel region;
A gate electrode traversing the surface of the channel region and formed on the semiconductor substrate;
A gate dielectric layer positioned between the gate electrode and the channel region,
A contact plug in contact with the first source / drain region and the second source / drain region,
And an insulating spacer having a multi-layer structure covering both side walls of the gate electrode,
Wherein the insulating spacer comprises an air spacer.
제 1항에 있어서,
상기 절연 스페이서는 라이너 질화막을 더 포함하는 것을 특징으로 하는 반도체 소자.
The method according to claim 1,
Wherein the insulating spacer further comprises a liner nitride film.
제 2항에 있어서,
상기 에어 스페이서는 상기 라이너 질화막을 사이에 두고 상기 게이트 전극과 이격되어 있는 것을 특징으로 하는 반도체 소자.
3. The method of claim 2,
Wherein the air spacer is spaced apart from the gate electrode with the liner nitride film interposed therebetween.
제 1항에 있어서,
상기 절연 스페이서는 상기 에어 스페이서를 사이에 두고 상기 게이트 전극의 반대측에 있는 외측 스페이서를 더 포함하고,
상기 콘택 플러그는 상기 외측 스페이서에 의해 자기정렬되는 것을 특징으로 하는 반도체 소자.
The method according to claim 1,
Wherein the insulating spacer further comprises an outer spacer on the opposite side of the gate electrode with the air spacer therebetween,
And the contact plug is self-aligned by the outer spacers.
제 1항에 있어서,
상기 에어 스페이서는 상기 게이트 전극의 상면보다 높은 레벨까지 연장되어 있는 것을 특징으로 하는 반도체 소자.
The method according to claim 1,
Wherein the air spacers extend to a level higher than the upper surface of the gate electrode.
제 1항에 있어서,
상기 에어 스페이서는 상기 제1 소스/드레인 영역 및 상기 제2 소스/드레인 영역의 상면보다 높은 레벨까지 연장되어 있는 것을 특징으로 하는 반도체 소자.
The method according to claim 1,
And the air spacers extend to a level higher than the upper surfaces of the first source / drain region and the second source / drain region.
제 1항에 있어서,
상기 에어 스페이서는 상기 게이트 전극의 측벽을 따라 연속적으로 연장되는 것을 특징으로 하는 반도체 소자.
The method according to claim 1,
Wherein the air spacers extend continuously along a sidewall of the gate electrode.
제 7항에 있어서,
상기 에어 스페이서 중 상기 반도체 핀이 위치하는 영역의 에어 스페이서의 길이는 상기 반도체 핀이 위치하지 않는 영역의 에어 스페이서의 길이보다 짧은 것을 특징으로 하는 반도체 소자.
8. The method of claim 7,
Wherein a length of the air spacers in the region where the semiconductor pins are located is shorter than a length of air spacers in the region where the semiconductor pins are not located.
제 1항에 있어서,
상기 제1 소스/드레인 영역 및 상기 제2 소스/드레인영역의 상면은 상기 채널 영역의 상면보다 높은 레벨에 위치하고,
상기 제1 소스/드레인 영역 및 상기 제2 소스/드레인 영역과 상기 채널 영역은 동일 물질로 이루어지는 것을 특징으로 하는 반도체 소자.
The method according to claim 1,
Drain regions and upper surfaces of the first source / drain regions and the second source / drain regions are located at a level higher than the upper surface of the channel region,
Wherein the first source / drain region and the second source / drain region and the channel region are made of the same material.
제 1항에 있어서,
상기 반도체 핀이 연장되는 방향을 따라, 상기 에어 스페이서의 상측 폭은 상기 에어 스페이서의 하측 폭보다 작은 것을 특징으로 하는 반도체 소자.
The method according to claim 1,
Wherein an upper width of the air spacers is smaller than a lower width of the air spacers along a direction in which the semiconductor pins extend.
KR1020140001512A 2014-01-06 2014-01-06 Semiconductor device having air spacer KR102131325B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020140001512A KR102131325B1 (en) 2014-01-06 2014-01-06 Semiconductor device having air spacer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020140001512A KR102131325B1 (en) 2014-01-06 2014-01-06 Semiconductor device having air spacer

Publications (2)

Publication Number Publication Date
KR20150081738A true KR20150081738A (en) 2015-07-15
KR102131325B1 KR102131325B1 (en) 2020-07-07

Family

ID=53793488

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140001512A KR102131325B1 (en) 2014-01-06 2014-01-06 Semiconductor device having air spacer

Country Status (1)

Country Link
KR (1) KR102131325B1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9627514B1 (en) 2015-12-28 2017-04-18 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
WO2019066788A1 (en) * 2017-09-27 2019-04-04 Intel Corporation Epitaxial oxide plug for strained transistors
KR20200108511A (en) * 2018-02-15 2020-09-18 어플라이드 머티어리얼스, 인코포레이티드 Air gap formation processes

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130221414A1 (en) * 2012-02-27 2013-08-29 Chao Zhao Semiconductor FET and Method for Manufacturing the Same
KR20130106622A (en) * 2012-03-20 2013-09-30 삼성전자주식회사 Semiconductor device and method for manufacturing the device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130221414A1 (en) * 2012-02-27 2013-08-29 Chao Zhao Semiconductor FET and Method for Manufacturing the Same
KR20130106622A (en) * 2012-03-20 2013-09-30 삼성전자주식회사 Semiconductor device and method for manufacturing the device

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9627514B1 (en) 2015-12-28 2017-04-18 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
WO2019066788A1 (en) * 2017-09-27 2019-04-04 Intel Corporation Epitaxial oxide plug for strained transistors
US11251302B2 (en) 2017-09-27 2022-02-15 Intel Corporation Epitaxial oxide plug for strained transistors
US11757037B2 (en) 2017-09-27 2023-09-12 Intel Corporation Epitaxial oxide plug for strained transistors
KR20200108511A (en) * 2018-02-15 2020-09-18 어플라이드 머티어리얼스, 인코포레이티드 Air gap formation processes
CN111712924A (en) * 2018-02-15 2020-09-25 应用材料公司 Air gap forming process
US11211286B2 (en) 2018-02-15 2021-12-28 Applied Materials, Inc. Airgap formation processes
KR20220100724A (en) * 2018-02-15 2022-07-15 어플라이드 머티어리얼스, 인코포레이티드 Airgap formation processes
US11735467B2 (en) 2018-02-15 2023-08-22 Applied Materials, Inc. Airgap formation processes
CN111712924B (en) * 2018-02-15 2023-12-29 应用材料公司 Air gap forming process

Also Published As

Publication number Publication date
KR102131325B1 (en) 2020-07-07

Similar Documents

Publication Publication Date Title
TWI741076B (en) Integrated circuit device
US11846871B2 (en) Device with a recessed gate electrode that has high thickness uniformity
KR102413371B1 (en) Semiconductor device
KR102432894B1 (en) Semiconductor device
CN107452797B (en) Semiconductor device with a plurality of transistors
KR20230118785A (en) A semiconductor device
TW201907486A (en) Integrated circuit device and method of manufacturing the same
CN107785273B (en) Semiconductor device and method for manufacturing the same
CN102237364B (en) Manufacturing method of storage device
KR102406663B1 (en) Method of manufacturing integrated circuit device
US20200328207A1 (en) Semiconductor devices
KR102495914B1 (en) Semiconductor Device
US9966377B2 (en) Semiconductor devices including fin-shaped active patterns in different conductivity type regions
US10186485B2 (en) Planarized interlayer dielectric with air gap isolation
CN107689347B (en) Method for manufacturing semiconductor device
US11282787B2 (en) Semiconductor devices having improved electrical characteristics and methods of fabricating the same
KR102667796B1 (en) Semiconductor device and manufacturing method of the same
CN111106176A (en) Semiconductor device, method of manufacturing the same, and electronic apparatus including the same
CN106469725B (en) Memory element and method for manufacturing the same
KR102131325B1 (en) Semiconductor device having air spacer
TWI567752B (en) Memory device and method of fabricating the same
US20230106015A1 (en) Semiconductor devices
US20130049087A1 (en) Semiconductor device and method of manufacturing the same
TWI718806B (en) Memory device and method of manufacturing the same
KR102368594B1 (en) Semiconductor device comprising fin capacitors

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant