KR20150016056A - Reflective photomask blank, reflective photomask and integrated circuit device manufactured by using photomask - Google Patents

Reflective photomask blank, reflective photomask and integrated circuit device manufactured by using photomask Download PDF

Info

Publication number
KR20150016056A
KR20150016056A KR1020130121501A KR20130121501A KR20150016056A KR 20150016056 A KR20150016056 A KR 20150016056A KR 1020130121501 A KR1020130121501 A KR 1020130121501A KR 20130121501 A KR20130121501 A KR 20130121501A KR 20150016056 A KR20150016056 A KR 20150016056A
Authority
KR
South Korea
Prior art keywords
capping layer
film
layer
passivation film
photomask
Prior art date
Application number
KR1020130121501A
Other languages
Korean (ko)
Other versions
KR102171266B1 (en
Inventor
이한신
고수완
김진수
최재혁
고형호
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to US14/336,643 priority Critical patent/US9341941B2/en
Publication of KR20150016056A publication Critical patent/KR20150016056A/en
Application granted granted Critical
Publication of KR102171266B1 publication Critical patent/KR102171266B1/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • G03F1/78Patterning of masks by imaging by charged particle beam [CPB], e.g. electron beam patterning of masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

The present invention aims to provide a reflective photomask blank which can be used for providing a photomask having a structure by which a life span of the photomask can be extended by minimizing damage on a film constituting the EUV photomask. The reflective photomask includes: a multi-reflection film; a capping layer which is formed on the multi-reflection film and includes transition metals; a passivation film which contacts at least a portion of the capping layer on a side opposite to the multi-reflection layer in the capping layer and includes transition metals and nitrogen atoms; and a light absorption pattern covering a portion of the capping layer.

Description

반사형 포토마스크 블랭크 및 반사형 포토마스크와 포토마스크를 이용하여 제조된 집적회로 소자 {Reflective photomask blank, reflective photomask and integrated circuit device manufactured by using photomask}BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a reflective photomask blank, a reflective photomask blank, a reflective photomask and an integrated circuit device manufactured using photomask,

본 발명의 기술적 사상은 반도체 소자의 제조 공정에 필요한 포토마스크에 관한 것으로, 특히 EUV (extreme ultraviolet) 포토리소그래피 공정에 사용될 수 있는 반사형 포토마스크 블랭크 및 반사형 포토마스크와 반사형 포토마스크를 이용하여 제조된 집적 회로 소자에 관한 것이다. Technical aspects of the present invention relate to a photomask required for a semiconductor device fabrication process, and more particularly to a photomask for use in an extreme ultraviolet (EUV) photolithography process using a reflective photomask blank, a reflective photomask and a reflective photomask To an integrated circuit device manufactured therefrom.

최근, 반도체 장치의 디자인 룰이 급격하게 축소됨에 따라, 노광 공정에 사용되는 광의 파장도 줄어드는 추세이다. 따라서, 파장이 짧은 극자외선 (extreme ultraviolet: EUV)을 노광 공정에 이용하고 있다. 최근에는 반사형 EUV 포토마스크를 포함하는 반사형 노광계를 이용하여 웨이퍼상에 패턴을 전사하는 기술에 대한 연구가 활발하게 이루어지고 있다. In recent years, as the design rule of a semiconductor device is sharply reduced, the wavelength of light used in the exposure process is also decreasing. Therefore, extreme ultraviolet (EUV) having a short wavelength is used for the exposure process. In recent years, research on a technique of transferring a pattern onto a wafer using a reflective exposure system including a reflective EUV photomask has been actively conducted.

EUV 리소그래피 (lithography)에 사용되는 파장의 경우 모든 물질에서 흡수가 일어나기 때문에, EUV 포토마스크는 ArF 파장 또는 KrF 파장을 이용하는 노광 공정시와는 달리, 포토마스크를 보호하기 위한 펠리클 (pellicle)을 사용할 수 없어 오염에 취약하며, EUV의 높은 에너지로 인해 공정 부산물인 탄소에 의한 오염 가능성도 높다. 따라서, EUV 포토마스크는 주기적인 세정 작업이 필요하며, 반복되는 노광 공정 및 세정 공정에 노출되어도 손상 받지 않고 원하는 수준의 반사율을 유지하도록 함으로써 라이프타임 (lifetime)을 향상시킬 수 있는 구조를 가지는 포토마스크를 개발할 필요가 있다. Unlike the exposure process using the ArF wavelength or the KrF wavelength, the EUV photomask can use a pellicle to protect the photomask, since the wavelength used for EUV lithography is absorbed in all materials. And is highly susceptible to contamination by the process by-product carbon due to the high energy of EUV. Therefore, the EUV photomask needs a periodic cleaning operation, and a photomask having a structure capable of improving lifetime by maintaining a desired level of reflectance without being damaged even when exposed to a repeated exposure process and a cleaning process It is necessary to develop.

본 발명의 기술적 사상이 이루고자 하는 기술적 과제는 반복되는 세정 중에도 EUV 포토마스크를 구성하는 막질의 손상을 최소화하여 라이프타임 (lifetime)을 향상시킬 수 있는 구조를 가지는 포토마스크를 제공할 수 있는 반사형 포토마스크 블랭크를 제공하는 것이다. It is an object of the present invention to provide a photomask capable of providing a photomask with a structure capable of minimizing damage of a film constituting an EUV photomask and improving lifetime even during repeated cleaning, Thereby providing a mask blank.

본 발명의 기술적 사상이 이루고자 하는 다른 기술적 과제는 반복되는 노광 공정 및 세정 공정에 노출되어도 손상 받지 않고 원하는 수준의 반사율을 유지할 수 있고 향상된 라이프타임을 제공할 수 있는 구조를 가지는 반사형 포토마스크를 제공하는 것이다. Another technical object of the present invention is to provide a reflection type photomask having a structure capable of maintaining a desired level of reflectance and providing an improved lifetime without being damaged even when exposed to repeated exposure and cleaning processes .

본 발명의 기술적 사상이 이루고자 하는 또 다른 기술적 과제는 라이프타임을 제공할 수 있는 구조를 가지는 포토마스크를 이용하여 제조된 집적회로 소자를 제공하는 것이다. Another aspect of the present invention is to provide an integrated circuit device fabricated using a photomask having a structure capable of providing a lifetime.

본 발명의 기술적 사상에 의한 일 양태에 따른 반사형 포토마스크 블랭크는 포토마스크 기판상에 형성된 다중 반사막과, 상기 다중 반사막 위에 형성되고 전이 금속을 포함하는 캡핑층 (capping layer)과, 상기 캡핑층 중 상기 다중 반사막의 반대측에서 상기 캡핑층의 적어도 일부에 접하고, 전이 금속 및 질소 원자를 포함하는 패시베이션막 (passivation film)과, 상기 캡핑층 위에 형성된 광흡수층을 포함한다. According to an aspect of the present invention, there is provided a reflective type photomask blank comprising: a multilayer reflective film formed on a photomask substrate; a capping layer formed on the multilayer reflective film and including a transition metal; A passivation film which is in contact with at least a part of the capping layer on the opposite side of the multiple reflection film and includes a transition metal and nitrogen atoms; and a light absorption layer formed on the capping layer.

일부 실시예들에서, 상기 캡핑층은 Ru를 포함할 수 있다. In some embodiments, the capping layer may comprise Ru.

일부 실시예들에서, 상기 패시베이션막의 적어도 일부는 Ru 및 N을 포함하는 금속 질화물로 이루어질 수 있다. 다른 일부 실시예들에서, 상기 패시베이션막의 적어도 일부는 Ru, O 및 N을 포함하는 금속 산질화물로 이루어질 수 있다. In some embodiments, at least a portion of the passivation film may be comprised of a metal nitride comprising Ru and N. In some other embodiments, at least a portion of the passivation film may comprise a metal oxynitride including Ru, O, and N.

일부 실시예들에서, 상기 패시베이션막은 상기 패시베이션막 중 상기 캡핑층의 반대측 표면으로부터 상기 패시베이션막의 두께 방향을 따라 상기 캡핑층에 가까워질수록 질소 원자의 함량이 점차 작아지는 질소 농도 분포를 가질 수 있다. In some embodiments, the passivation film may have a nitrogen concentration distribution in which the content of nitrogen atoms gradually decreases as the capping layer approaches the capping layer along the thickness direction of the passivation film from the opposite surface of the capping layer.

일부 실시예들에서, 상기 패시베이션막은 상기 캡핑층과 상기 광흡수층과의 사이에 개재될 수 있다. In some embodiments, the passivation film may be interposed between the capping layer and the light absorbing layer.

본 발명의 기술적 사상에 의한 일 양태에 따른 반사형 포토마스크는 포토마스크 기판상에 형성된 다중 반사막과, 상기 다중 반사막 위에 형성되고 전이 금속을 포함하는 캡핑층 (capping layer)과, 상기 캡핑층 중 상기 다중 반사막의 반대측에서 상기 캡핑층의 적어도 일부에 접하고, 전이 금속 및 질소 원자를 포함하는 패시베이션막 (passivation film)과, 상기 캡핑층의 일부를 덮는 광흡수 패턴을 포함한다. According to an aspect of the present invention, there is provided a reflection type photomask including: a multiple reflection film formed on a photomask substrate; a capping layer formed on the multiple reflection film and including a transition metal; A passivation film which is in contact with at least a part of the capping layer on the opposite side of the multiple reflection film and includes a transition metal and nitrogen atoms, and a light absorption pattern covering a part of the capping layer.

일부 실시예들에서, 상기 패시베이션막은 상기 캡핑층과 상기 광흡수 패턴과의 사이에 개재되는 부분을 포함할 수 있다. In some embodiments, the passivation film may include a portion interposed between the capping layer and the light absorption pattern.

일부 실시예들에서, 상기 패시베이션막은 외부로 노출되는 제1 부분과, 상기 캡핑층과 상기 광흡수 패턴과의 사이에 개재되는 제2 부분을 포함하고, 상기 제1 부분 및 상기 제2 부분은 각각 상기 캡핑층과 접할 수 있다. In some embodiments, the passivation film includes a first portion that is exposed to the outside, and a second portion that is interposed between the capping layer and the light absorption pattern, wherein the first portion and the second portion are And may be in contact with the capping layer.

일부 실시예들에서, 상기 패시베이션막은 상기 캡핑층에 접하는 제1 부분과, 상기 캡핑층과 이격된 위치에서 상기 광흡수 패턴을 덮는 제2 부분을 포함할 수 있다. In some embodiments, the passivation film may include a first portion in contact with the capping layer and a second portion overlying the light-absorbing pattern in a spaced-apart position from the capping layer.

다른 일부 실시예들에서, 상기 패시베이션막의 상기 제1 부분 및 상기 제2 부분은 각각 외부로 노출될 수 있다. In some other embodiments, the first portion and the second portion of the passivation film may each be exposed to the outside.

다른 일부 실시예들에서, 상기 패시베이션막은 상기 캡핑층에 접하는 제1 부분과, 상기 캡핑층과 이격된 위치에서 상기 광흡수 패턴을 덮는 제2 부분을 포함하고, 상기 제1 부분 및 상기 제2 부분은 서로 다른 성분으로 이루어질 수 있다. In some other embodiments, the passivation film includes a first portion in contact with the capping layer and a second portion overlying the light absorption pattern in a spaced-apart position from the capping layer, wherein the first portion and the second portion May be made of different components.

또 다른 일부 실시예들에서, 상기 패시베이션막은 상기 캡핑층에 접하는 제1 부분과, 상기 캡핑층과 이격된 위치에서 상기 광흡수 패턴을 덮는 제2 부분을 포함하고, 상기 제1 부분 및 상기 제2 부분은 서로 동일한 성분으로 이루어질 수 있다. In some further embodiments, the passivation film comprises a first portion in contact with the capping layer and a second portion overlying the light absorption pattern in a spaced-apart position from the capping layer, wherein the first portion and the second portion The parts may be made of the same components.

일부 실시예들에서, 상기 캡핑층과 상기 광흡수 패턴과의 사이에 개재되어 있고, 상기 캡핑층 및 상기 패시베이션막과는 다른 성분으로 이루어지는 버퍼 패턴을 더 포함할 수 있다. In some embodiments, the buffer layer may further include a buffer pattern interposed between the capping layer and the light absorption pattern, the buffer pattern being made of a different component from the capping layer and the passivation film.

본 발명의 기술적 사상에 의한 일 양태에 따른 집적 회로 소자는 상기한 바와 같은 본 발명의 기술적 사상에 의한 실시예들에 따른 포토마스크를 사용하여 제조될 수 있다. The integrated circuit device according to one aspect of the technical idea of the present invention can be manufactured using the photomask according to the technical idea of the present invention as described above.

본 발명의 기술적 사상에 의한 반사형 포토마스크 블랭크 및 반사형 포토마스크는 캡핑층을 덮는 패시베이션막을 포함함으로써 포토마스크의 반복적인 세정 공정에 대한 내구성도 향상될 수 있고, 캡핑층 내부로 산소가 침투하거나, 상기 캡핑층과 다중 반사막과의 사이의 계면으로 산소가 침투하여 원하지 않는 산화막이 형성되는 것을 억제할 수 있으며, 포토마스크의 라이프타임을 향상시킬 수 있다. The reflection type photomask blank and the reflection type photomask according to the technical idea of the present invention include a passivation film covering the capping layer so that the durability against the repetitive cleaning process of the photomask can be improved and oxygen penetrates into the capping layer , It is possible to prevent oxygen from penetrating into the interface between the capping layer and the multiple reflective film to prevent an undesired oxide film from being formed and improve the lifetime of the photomask.

도 1은 본 발명의 기술적 사상에 의한 실시예들에 따른 반사형 포토마스크 블랭크의 단면도이다.
도 2a 및 도 2b는 각각 본 발명의 기술적 사상에 의한 실시예들에 따른 반사형 포토마스크 블랭크에 포함된 패시베이션막의 두께 방향에서의 다양한 질소 농도 분포를 예시한 그래프이다.
도 3은 본 발명의 기술적 사상에 의한 일부 실시예들에 따른 포토마스크 블랭크의 예시적인 제조 방법을 설명하기 위한 플로차트이다.
도 4는 본 발명의 기술적 사상에 의한 다른 일부 실시예들에 따른 포토마스크 블랭크의 예시적인 제조 방법을 설명하기 위한 플로차트이다.
도 5는 본 발명의 기술적 사상에 의한 또 다른 일부 실시예들에 따른 포토마스크 블랭크의 예시적인 제조 방법을 설명하기 위한 플로차트이다.
도 6a 내지 도 6f는 본 발명의 기술적 사상에 의한 실시예들에 따른 반사형 포토마스크의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
도 7a 내지 도 7e는 본 발명의 기술적 사상에 의한 실시예들에 따른 반사형 포토마스크의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
도 8a는 본 발명의 기술적 사상에 의한 실시예들에 따른 방법으로 얻어진 반사형 포토마스크의 XPS (X-ray Photoelectron Spectroscopy) 분석 결과를 나타내는 그래프이다.
도 8b 내지 도 8d는 패시베이션막의 형성 공정을 생략하고 반사형 포토마스크를 제조한 경우, 외부로 노출된 캡핑층에서의 XPS 분석 결과를 나타내는 그래프이다.
도 9는 본 발명의 기술적 사상에 의한 실시예들에 따른 방법으로 얻어진 반사형 포토마스크에 대하여 패시베이션막의 노출 표면 및 벌크 부분에서의 XPS 분석한 결과를 대조예와 비교하여 나타낸 그래프이다.
도 10은 본 발명의 기술적 사상에 의한 실시예들에 따른 집적 회로 소자의 제조 방법을 설명하기 위한 플로차트이다.
도 11은 본 발명의 기술적 사상에 의한 실시예들에 따른 반사형 포토마스크를 사용하여 제조된 집적 회로 소자를 포함하는 메모리 카드의 블록 다이어그램이다.
도 12는 본 발명의 기술적 사상에 의한 실시예들에 따른 반사형 포토마스크를 사용하여 제조된 집적 회로 소자를 포함하는 메모리 카드를 채용하는 메모리 시스템의 블록 다이어그램이다.
1 is a cross-sectional view of a reflective photomask blank according to embodiments of the present invention.
2A and 2B are graphs illustrating various nitrogen concentration distributions in a thickness direction of a passivation film included in a reflective photomask blank according to embodiments of the present invention.
3 is a flowchart illustrating an exemplary method of manufacturing a photomask blank according to some embodiments of the technical concept of the present invention.
4 is a flowchart for explaining an exemplary manufacturing method of a photomask blank according to some other embodiments according to the technical idea of the present invention.
5 is a flowchart for explaining an exemplary method of manufacturing a photomask blank according to still another embodiment according to the technical idea of the present invention.
6A to 6F are cross-sectional views illustrating a method of manufacturing a reflective type photomask according to embodiments of the present invention.
FIGS. 7A to 7E are cross-sectional views illustrating a method of manufacturing a reflective type photomask according to embodiments of the present invention.
8A is a graph showing X-ray photoelectron spectroscopy (XPS) analysis results of a reflection type photomask obtained by a method according to embodiments of the present invention.
8B to 8D are graphs showing the results of XPS analysis in the capping layer exposed to the outside when a reflection type photomask is manufactured by omitting the step of forming a passivation film.
FIG. 9 is a graph showing the results of XPS analysis on the exposed surface and the bulk portion of the passivation film with respect to the reflection type photomask obtained by the method according to the technical idea of the present invention, in comparison with the control example.
FIG. 10 is a flowchart illustrating a method of manufacturing an integrated circuit device according to embodiments of the present invention. Referring to FIG.
11 is a block diagram of a memory card including integrated circuit elements fabricated using a reflective photomask according to embodiments of the present invention.
12 is a block diagram of a memory system employing a memory card including integrated circuit elements fabricated using a reflective photomask according to embodiments of the present invention.

이하, 첨부 도면을 참조하여 본 발명의 실시예들을 상세히 설명한다. 도면상의 동일한 구성요소에 대해서는 동일한 참조부호를 사용하고, 이들에 대한 중복된 설명은 생략한다.Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings. The same reference numerals are used for the same constituent elements in the drawings, and a duplicate description thereof will be omitted.

본 발명의 실시예들은 당해 기술 분야에서 통상의 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위하여 제공되는 것으로, 아래의 실시예들은 여러 가지 다른 형태로 변형될 수 있으며, 본 발명의 범위가 아래의 실시예들로 한정되는 것은 아니다. 오히려, 이들 실시예는 본 개시를 더욱 충실하고 완전하게 하며 당업자에게 본 발명의 사상을 완전하게 전달하기 위하여 제공되는 것이다. Embodiments of the present invention will now be described more fully hereinafter with reference to the accompanying drawings, in which exemplary embodiments of the invention are shown. These embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the scope of the invention to those skilled in the art. The present invention is not limited to the following embodiments. Rather, these embodiments are provided so that this disclosure will be more thorough and complete, and will fully convey the concept of the invention to those skilled in the art.

본 명세서에서 제1, 제2 등의 용어가 다양한 부재, 영역, 층들, 부위 및/또는 구성 요소들을 설명하기 위하여 사용되지만, 이들 부재, 부품, 영역, 층들, 부위 및/또는 구성 요소들은 이들 용어에 의해 한정되어서는 안 됨은 자명하다. 이들 용어는 특정 순서나 상하, 또는 우열을 의미하지 않으며, 하나의 부재, 영역, 부위, 또는 구성 요소를 다른 부재, 영역, 부위 또는 구성 요소와 구별하기 위하여만 사용된다. 따라서, 이하 상술할 제1 부재, 영역, 부위 또는 구성 요소는 본 발명의 가르침으로부터 벗어나지 않고서도 제2 부재, 영역, 부위 또는 구성 요소를 지칭할 수 있다. 예를 들어, 본 발명의 권리 범위로부터 이탈되지 않은 채 제1 구성 요소는 제2 구성 요소로 명명될 수 있고, 유사하게 제2 구성 요소도 제1 구성 요소로 명명될 수 있다.Although the terms first, second, etc. are used herein to describe various elements, regions, layers, regions and / or elements, these elements, components, regions, layers, regions and / It should not be limited by. These terms do not imply any particular order, top, bottom, or top row, and are used only to distinguish one member, region, region, or element from another member, region, region, or element. Thus, a first member, region, region, or element described below may refer to a second member, region, region, or element without departing from the teachings of the present invention. For example, without departing from the scope of the present invention, the first component may be referred to as a second component, and similarly, the second component may also be referred to as a first component.

달리 정의되지 않는 한, 여기에 사용되는 모든 용어들은 기술 용어와 과학 용어를 포함하여 본 발명 개념이 속하는 기술 분야에서 통상의 지식을 가진 자가 공통적으로 이해하고 있는 바와 동일한 의미를 지닌다. 또한, 통상적으로 사용되는, 사전에 정의된 바와 같은 용어들은 관련되는 기술의 맥락에서 이들이 의미하는 바와 일관되는 의미를 갖는 것으로 해석되어야 하며, 여기에 명시적으로 정의하지 않는 한 과도하게 형식적인 의미로 해석되어서는 아니 될 것임은 이해될 것이다.Unless otherwise defined, all terms used herein have the same meaning as commonly understood by one of ordinary skill in the art to which the inventive concept belongs, including technical terms and scientific terms. In addition, commonly used, predefined terms are to be interpreted as having a meaning consistent with what they mean in the context of the relevant art, and unless otherwise expressly defined, have an overly formal meaning It will be understood that it will not be interpreted.

어떤 실시예가 달리 구현 가능한 경우에 특정한 공정 순서는 설명되는 순서와 다르게 수행될 수도 있다. 예를 들어, 연속하여 설명되는 두 공정이 실질적으로 동시에 수행될 수도 있고, 설명되는 순서와 반대의 순서로 수행될 수도 있다.If certain embodiments are otherwise feasible, the particular process sequence may be performed differently from the sequence described. For example, two processes that are described in succession may be performed substantially concurrently, or may be performed in the reverse order to that described.

첨부 도면에 있어서, 예를 들면, 제조 기술 및/또는 공차에 따라, 도시된 형상의 변형들이 예상될 수 있다. 따라서, 본 발명의 실시예들은 본 명세서에 도시된 영역의 특정 형상에 제한된 것으로 해석되어서는 아니 되며, 예를 들면 제조 과정에서 초래되는 형상의 변화를 포함하여야 한다. In the accompanying drawings, for example, variations in the shape shown may be expected, depending on manufacturing techniques and / or tolerances. Accordingly, embodiments of the present invention should not be construed as limited to any particular shape of the regions shown herein, but should include variations in shape resulting from, for example, manufacturing processes.

도 1은 본 발명의 기술적 사상에 의한 실시예들에 따른 반사형 포토마스크 블랭크(100)의 단면도이다. 1 is a cross-sectional view of a reflective photomask blank 100 according to embodiments of the present invention.

도 1을 참조하면, 반사형 포토마스크 블랭크(100)는 포토마스크 기판(110)상에 형성된 다중 반사막(120)과, 상기 다중 반사막(120) 위에 형성된 캡핑층 (capping layer)(130)과, 상기 다중 반사막(120)의 반대측에서 상기 캡핑층(130)의 적어도 일부에 접해 있는 패시베이션막 (passivation layer)(140)과, 상기 패시베이션막(140) 위에 형성된 버퍼층(150)과, 상기 버퍼층(150) 위에 형성된 광흡수층 (absorber layer)(170)을 포함한다. Referring to FIG. 1, the reflective photomask blank 100 includes a multiple reflective layer 120 formed on a photomask substrate 110, a capping layer 130 formed on the multiple reflective layer 120, A passivation layer 140 that is in contact with at least a portion of the capping layer 130 on the opposite side of the multiple reflective layer 120; a buffer layer 150 formed on the passivation layer 140; And an absorber layer 170 formed on the substrate 110.

일부 실시예들에서, 상기 캡핑층(130)은 전이 금속을 포함하고, 상기 패시베이션막(140)은 전이 금속 및 질소 원자를 포함할 수 있다. 다른 일부 실시예들에서, 상기 캡핑층(130)은 전이 금속을 포함하고, 상기 패시베이션막(140)은 전이 금속, 질소 원자 및 산소 원자를 포함할 수 있다. 예를 들면, 상기 캡핑층(130)은 단일의 전이 금속으로 이루어지는 금속층으로 이루어지고, 상기 패시베이션막(140)은 상기 전이 금속의 질화물을 포함하는 금속 질화물, 또는 상기 전이 금속의 질화물에 산소 원자가 도핑된 금속 산질화물로 이루어질 수 있다. In some embodiments, the capping layer 130 comprises a transition metal, and the passivation film 140 may comprise a transition metal and a nitrogen atom. In some other embodiments, the capping layer 130 includes a transition metal, and the passivation film 140 may include a transition metal, a nitrogen atom, and an oxygen atom. For example, the capping layer 130 may be formed of a metal layer made of a single transition metal, and the passivation layer 140 may include a nitride of the transition metal, a nitride of the transition metal, Gt; metal oxynitride < / RTI >

상기 패시베이션막(140)은 상기 캡핑층(130)이 외부 환경에 의해 산화되는 것을 방지 또는 지연시키는 역할을 할 수 있다. 다른 일부 실시예들에서, 상기 패시베이션막(140)은 상기 다중 반사막(120)과 상기 캡핑층(130)과의 사이로 산소가 침투하는 것을 방지 또는 완화시키는 역할을 할 수 있다. The passivation layer 140 may prevent or delay the oxidation of the capping layer 130 due to the external environment. In some other embodiments, the passivation layer 140 may prevent or mitigate penetration of oxygen between the multiple reflective layer 120 and the capping layer 130.

상기 포토마스크 기판(110)은 유전체, 유리, 반도체, 또는 금속 재료로 이루어질 수 있다. 일부 실시예들에서, 상기 포토마스크 기판(110)은 낮은 열팽창계수 (thermal expansion coefficient)를 가지는 재료로 이루어질 수 있다. 예를 들면, 상기 포토마스크 기판(110)은 20 ℃에서의 열팽창 계수가 약 0 ± 0.05 × 10-7/℃ 일 수 있다. 또한, 상기 포토마스크 기판(110)은 평활성, 평탄도, 및 세정액에 대한 내성이 우수한 재료로 이루어질 수 있다. 예를 들면, 상기 포토마스크 기판(110)은 합성 석영 유리, 석영 유리, 알루미노 실리케이트 유리, 소다라임 유리, SiO2-TiO2 계 유리 등과 같은 LTEM (low thermal expansion material) 유리, β 석영 고용체를 석출한 결정화 유리, 단결정 실리콘, 또는 SiC로 이루어질 수 있다. The photomask substrate 110 may be made of dielectric, glass, semiconductor, or metal. In some embodiments, the photomask substrate 110 may be made of a material having a low thermal expansion coefficient. For example, the photomask substrate 110 may have a thermal expansion coefficient of about 0 占 0.05 占 10 -7 / 占 폚 at 20 占 폚. In addition, the photomask substrate 110 may be made of a material having excellent smoothness, flatness, and resistance to a cleaning liquid. For example, the photomask substrate 110 may be made of a low thermal expansion material (LTEM) glass such as synthetic quartz glass, quartz glass, aluminosilicate glass, soda lime glass, SiO 2 -TiO 2 glass, Deposited crystallized glass, single crystal silicon, or SiC.

일부 실시예들에서, 상기 포토마스크 기판(110)의 전면측(frontside) 표면(110F)은 약 50 nm 이하의 평탄도를 가지고, 상기 포토마스크 기판(110)의 배면측(backside) 표면(110B)은 약 500 nm 이하의 평탄도를 가질 수 있다. 또한, 상기 포토마스크 기판(110)의 전면측 표면(110F) 및 배면측 표면(110B)은 약 0.15 nm 이하의 표면 조도를 가질 수 있다. In some embodiments, the frontside surface 110F of the photomask substrate 110 has a flatness of about 50 nm or less and a backside surface 110B of the photomask substrate 110 ) May have a flatness of about 500 nm or less. In addition, the front surface 110F and the back surface 110B of the photomask substrate 110 may have a surface roughness of about 0.15 nm or less.

상기 포토마스크 기판(110)의 전면측 표면(110F) 위에 다중 반사막(120), 캡핑층(130), 패시베이션막(140), 버퍼층(150), 광흡수층(170) 및 저반사층(172)이 차례로 형성될 수 있다. 일부 실시예들에서, 상기 버퍼층(150) 및 저반사층(172) 중 적어도 하나가 생략될 수 있다. 상기 포토마스크 기판(110)의 배면측 표면(110B) 위에는 백사이드 도전막(180)이 형성되어 있다. A capping layer 130, a passivation film 140, a buffer layer 150, a light absorption layer 170, and a low reflection layer 172 are formed on the front surface 110F of the photomask substrate 110 May be formed in order. In some embodiments, at least one of the buffer layer 150 and the low reflective layer 172 may be omitted. A backside conductive film 180 is formed on the back side surface 110B of the photomask substrate 110. [

상기 다중 반사막(120)은 고굴절률층(120H)과 저굴절률층(120L)이 교대로 복수 회 적층된 다층 미러 구조를 가진다. 예를 들면, 상기 다중 반사막(120)은 고굴절률층(120H)과 저굴절률층(120L)이 약 20 ∼ 60 주기 반복 형성된 구조를 가질 수 있다. 상기 다중 반사막(120)의 최상층은 고굴절률층(120H)이 되도록 할 수 있다. The multiple reflection film 120 has a multilayer mirror structure in which a high refractive index layer 120H and a low refractive index layer 120L are alternately stacked a plurality of times. For example, the multiple reflection film 120 may have a structure in which the high refractive index layer 120H and the low refractive index layer 120L are repeatedly formed for about 20 to 60 cycles. The uppermost layer of the multiple reflection film 120 may be a high refractive index layer 120H.

일부 실시예들에서, 상기 다중 반사막(120)은 Mo/Si 주기 다중막, Mo 화합물/Si 화합물 주기 다중막, Ru/Si 주기 다중막, Be/Mo 주기 다중막, Si/Nb 주기 다중막, Si/Mo/Ru 주기 다중막, Si/Mo/Ru/Mo 주기 다중막, 또는 Si/Ru/Mo/Ru 주기 다중막으로 이루어질 수 있다. In some embodiments, the multiple reflective film 120 may be a Mo / Si periodic multilayer film, an Mo compound / Si compound periodic multilayer film, a Ru / Si periodic multilayer film, a Be / Mo periodic multilayer film, a Si / Nb periodic multilayer film, Si / Mo / Ru periodic multi-film, Si / Mo / Ru / Mo periodic multi-film, or Si / Ru / Mo / Ru periodic multi-film.

상기 다중 반사막(120)을 구성하는 재료 및 각 층의 막 두께는 적용되는 EUV 광의 파장대, 또는 상기 다중 반사막(120)에서 요구되는 EUV 광의 반사율에 따라 적절히 선택될 수 있다. 예를 들면, 상기 다중 반사막(120)이 Mo/Si 주기 다중막으로 이루어지는 경우, 상기 다중 반사막(120) 내에 포함되는 저굴절률층(120L)에 대응하는 Mo 층과 고굴절률층(120H)에 대응하는 Si 층은 각각 약 2 ∼ 5 nm의 범위 내에서 선택되는 두께를 가지도록 형성될 수 있다. The material of the multiple reflection film 120 and the film thickness of each layer can be appropriately selected according to the wavelength band of the applied EUV light or the reflectance of the EUV light required in the multiple reflection film 120. For example, when the multiple reflection film 120 is made of a Mo / Si periodic multi-film, it corresponds to the Mo layer and the high-refractive index layer 120H corresponding to the low-refractive index layer 120L included in the multiple reflection film 120 May be formed to have a thickness selected within a range of about 2 to 5 nm, respectively.

상기 다중 반사막(120)은 DC 스퍼터링, RF 스퍼터링, 이온 빔 스퍼터링 공정 등을 이용하여 형성될 수 있다. 예를 들면, 이온 빔 스퍼터링법을 이용하여 Mo/Si 다중 반사막을 형성하는 경우, 타겟(target)으로서 Si 타겟을 사용하고 스퍼터 가스로서 Ar 가스를 사용하여 Si 막을 퇴적하고, 타겟으로서 Mo 타켓을 사용하고 스퍼터 가스로서 Ar 가스를 사용하여 Mo 막을 퇴적하는 것을 1 주기로 하여, Si 막 및 Mo 막을 약 40 ∼ 50 주기 형성할 수 있다. The multiple reflective layer 120 may be formed using DC sputtering, RF sputtering, ion beam sputtering, or the like. For example, in the case of forming the Mo / Si multiple reflection film by using the ion beam sputtering method, a Si target is used as a target, an Si film is deposited using Ar gas as a sputter gas, and a Mo target is used as a target And the Mo film is deposited by using Ar gas as the sputter gas. Thus, about 40 to 50 cycles of the Si film and the Mo film can be formed.

상기 캡핑층(130)은 포토마스크 블랭크(100)를 패터닝하여 포토마스크를 제작하기 위한 식각 공정 또는 결함 수정(repair) 공정시 상기 다중 반사막(120)이 손상 받지 않도록 보호하고, 또한 상기 다중 반사막(120)의 표면이 산화되는 것을 방지하는 역할을 할 수 있다. The capping layer 130 protects the multiple reflective layer 120 from being damaged during an etching process or a defect repair process for manufacturing a photomask by patterning the photomask blank 100, 120 can be prevented from being oxidized.

상기 캡핑층(130)은 Ru, Ni 및 Ir 중에서 선택되는 적어도 하나의 전이 금속을 포함할 수 있다. The capping layer 130 may include at least one transition metal selected from Ru, Ni, and Ir.

상기 캡핑층(130)은 약 1 ∼ 6 nm의 두께를 가질 수 있다. 일부 실시예들에서, 상기 다중 반사막(120)의 최상층을 구성하는 고굴절률층(120H)의 두께보다 상기 캡핑층(130)의 두께가 더 클 수 있다. 예를 들면, 상기 다중 반사막(120)의 최상층을 구성하는 고굴절률층(120H)은 약 1. 5 ∼ 2.5 nm의 두께를 가지고, 상기 캡핑층(130)은 약 3 ∼ 6 nm의 두께를 가질 수 있다. The capping layer 130 may have a thickness of about 1 to 6 nm. In some embodiments, the thickness of the capping layer 130 may be greater than the thickness of the high refractive index layer 120H that constitutes the uppermost layer of the multiple reflective layer 120. For example, the high refractive index layer 120H constituting the uppermost layer of the multiple reflective layer 120 has a thickness of about 1.5 to 2.5 nm, and the capping layer 130 has a thickness of about 3 to 6 nm .

도 1에 예시한 반사형 포토마스크 블랭크(100)에서, 상기 패시베이션막(140)은 캡핑층(130)과 버퍼층(150)과의 사이에 개재되어 있다. 상기 버퍼층(150)이 생략되는 경우, 상기 패시베이션막(140)은 캡핑층(130)과 광흡수층(170)과의 사이에서 이들에 각각 접해 있을 수 있다. In the reflective type photomask blank 100 illustrated in FIG. 1, the passivation film 140 is interposed between the capping layer 130 and the buffer layer 150. If the buffer layer 150 is omitted, the passivation layer 140 may be in contact with the capping layer 130 and the light absorption layer 170, respectively.

상기 패시베이션막(140)은 상기 캡핑층(130)에 포함된 전이 금속과 동일한 종류의 전이 금속의 질화물, 또는 상기 전이 금속의 산질화물을 포함할 수 있다. The passivation layer 140 may include a nitride of the same kind of transition metal as the transition metal included in the capping layer 130, or an oxynitride of the transition metal.

일부 실시예들에서, 상기 캡핑층(130)은 루테늄(Ru) 막으로 이루어지고, 상기 패시베이션막(140)의 적어도 일부는 Ru 및 N을 포함하는 루테늄 질화물(RuN)로 이루어질 수 있다. 다른 일부 실시예들에서, 상기 캡핑층(130)은 루테늄(Ru) 막으로 이루어지고, 상기 패시베이션막(140)의 적어도 일부는 Ru, O 및 N을 포함하는 루테늄 산질화물(RuON)로 이루어질 수 있다. In some embodiments, the capping layer 130 is comprised of a ruthenium (Ru) film, and at least a portion of the passivation film 140 may be comprised of ruthenium nitride (RuN) comprising Ru and N. In some other embodiments, the capping layer 130 is comprised of a ruthenium (Ru) film, and at least a portion of the passivation film 140 may comprise ruthenium oxynitride (RuON), including Ru, O, have.

일부 실시예들에서, 상기 패시베이션막(140)은 캡핑층(130)보다 더 작은 두께를 가지도록 형성될 수 있다. 예를 들면 상기 패시베이션막(140)은 약 2.5 nm 또는 그 이하의 두께를 가지도록 형성될 수 있다. 일부 실시예들에서, 상기 패시베이션막(140)의 적어도 일부는 그 구성 원소의 1 원자층으로 이루어질 수 있다. In some embodiments, the passivation film 140 may be formed to have a smaller thickness than the capping layer 130. For example, the passivation film 140 may be formed to have a thickness of about 2.5 nm or less. In some embodiments, at least a portion of the passivation film 140 may consist of a single atomic layer of its constituent elements.

도 2a 및 도 2b는 각각 도 1에 예시한 패시베이션막(140)의 두께 방향에서의 다양한 질소 농도 분포를 예시한 그래프이다. 도 2a 및 도 2b에는 이해를 돕기 위하여 캡핑층(130)의 일부 및 패시베이션막(140)의 일부가 함께 도시되어 있다. 2A and 2B are graphs illustrating various nitrogen concentration distributions in the thickness direction of the passivation film 140 illustrated in FIG. 2A and 2B, a portion of the capping layer 130 and a portion of the passivation film 140 are shown together for clarity.

일부 실시예들에서, 도 2a에 예시한 바와 같이, 상기 패시베이션막(140)은 상기 패시베이션막(140) 중 캡핑층(130)에 접하는 표면의 반대측 표면으로부터 상기 패시베이션막(140)의 두께 방향을 따라 상기 캡핑층(130)에 가까워질수록 질소 원자의 함량이 점차 작아지는 질소 농도 분포를 가질 수 있다. 2A, the passivation film 140 is formed so as to extend in a thickness direction of the passivation film 140 from the surface of the passivation film 140 opposite to the surface that is in contact with the capping layer 130 Accordingly, the nitrogen concentration distribution may be such that the content of nitrogen atoms gradually decreases toward the capping layer 130.

다른 일부 실시예들에서, 도 2b에 예시한 바와 같이, 상기 패시베이션막(140)은 상기 패시베이션막(140)의 전체 두께 방향에 걸쳐서 균일한 질소 농도 분포를 가질 수 있다. 2B, the passivation film 140 may have a uniform nitrogen concentration distribution over the entire thickness direction of the passivation film 140. In other embodiments, the passivation film 140 may have a uniform nitrogen concentration distribution over the entire thickness direction of the passivation film 140, as illustrated in FIG.

다시 도 1을 참조하면, 상기 캡핑층(130)이 패시베이션막(140)으로 덮이게 됨으로써, 상기 포토마스크 블랭크(100) 형성 공정을 거치는 동안, 또는 반사형 포토마스크를 형성하기 위하여 포토마스크 블랭크(100)의 일부를 식각하는 동안 상기 캡핑층(130)이 손상 또는 오염되거나, 상기 캡핑층(130) 내부, 또는 상기 캡핑층(130)과 다중 반사막(120)과의 사이의 계면으로 산소가 침투하여 원하지 않는 산화막이 성장하여 하부의 다중 반사막(120)이 열화되거나 손상 받는 등의 문제를 방지할 수 있다. 또한, 후속 공정에서 필요로 하는 반복적인 세정 공정에 대한 내구성도 향상되어, UV를 이용하는 가속 세정 공정과 같이 노출 표면에 손상을 가하기 쉬운 세정 공정을 반복적으로 수행하는 경우에도 상기 캡핑층(130)이 패시베이션막(140)에 의해 보호되어 다중 반사막(120)의 반사도 특성이 열화되는 등의 문제를 방지할 수 있으며 포토마스크의 라이프타임 (lifetime)을 향상시킬 수 있다. Referring to FIG. 1 again, the capping layer 130 is covered with the passivation film 140, so that the photomask blank 100 is formed during the process of forming the photomask blank 100, The capping layer 130 may be damaged or contaminated during the etching of a part of the capping layer 130 or the oxygen is penetrated into the capping layer 130 or the interface between the capping layer 130 and the multi- It is possible to prevent the undesired oxide film from growing and deteriorating or damaging the underlying multiple reflection film 120. In addition, the durability against the repeated cleaning process required in the subsequent process is also improved, so that even when the cleaning process which is likely to damage the exposed surface is repeatedly performed, such as the accelerated cleaning process using UV, the capping layer 130 It is possible to prevent problems such as deterioration of the reflectivity characteristics of the multiple reflective film 120 due to the protection of the passivation film 140 and improve the lifetime of the photomask.

상기 버퍼층(150)은 상기 포토마스크 블랭크(100)로부터 반사형 포토마스크를 형성하기 위하여 광흡수층(170)을 건식 식각하는 동안 상기 캡핑층(130)이 손상되지 않도록 보호하는 역할을 할 수 있다. 또한, 상기 버퍼층(150)은 포토마스크의 제조 공정 중에 패턴 영역에서 흑 결함 또는 백 결함이 발생한 경우에 행해지는 결함 수정시 패시베이션막(140) 및 캡핑층(130)을 보호함으로써, 상기 다중 반사막(120)이 손상되지 않도록 할 수 있다. The buffer layer 150 may protect the capping layer 130 from being damaged during the dry etching of the light absorbing layer 170 to form a reflective photomask from the photomask blank 100. The buffer layer 150 protects the passivation film 140 and the capping layer 130 when a defect occurs in the case where a black defect or a white defect occurs in the pattern region during the manufacturing process of the photomask, 120 can be prevented from being damaged.

상기 버퍼층(150)은 EUV 광의 흡수율이 매우 낮은 물질로 이루어질 수 있다. 일부 실시예들에서, 상기 버퍼층(150)은 Ru, RuB, RuSi, Cr, Cr 질화물, Al, Al 질화물, Ta, Ta 질화물, Pt, Ir, Pd, SiO2, Si3N4, Al2O3, 또는 이들의 조합으로 이루어질 수 있다. 일부 실시예들에서, 상기 버퍼층(150)은 상기 패시베이션막(140) 및 캡핑층(130)과 에칭 특성이 서로 다른 재료로 이루어질 수 있다. The buffer layer 150 may be made of a material having a very low absorption rate of EUV light. In some embodiments, the buffer layer 150 is Ru, RuB, RuSi, Cr, Cr nitride, Al, Al nitride, Ta, Ta nitride, Pt, Ir, Pd, SiO 2, Si 3 N 4, Al 2 O 3 , or a combination thereof. In some embodiments, the buffer layer 150 may be made of a material having different etching characteristics from the passivation layer 140 and the capping layer 130.

상기 버퍼층(150)은 스퍼터링 공정에 의해 형성될 수 있다. 예를 들면, 상기 버퍼층(150)으로서 Ru 막을 형성하는 경우, 타겟으로서 Ru 타겟을 사용하고 스퍼터 가스로서 Ar 가스를 사용하는 마그네트론 스퍼터링 공정을 행하여 상기 버퍼층(162)을 형성할 수 있다. 일부 실시예들에서, 상기 버퍼층(150)은 약 1 ∼ 100 nm의 두께를 가질 수 있다. The buffer layer 150 may be formed by a sputtering process. For example, when a Ru film is formed as the buffer layer 150, the buffer layer 162 can be formed by performing a magnetron sputtering process using a Ru target as a target and an Ar gas as a sputter gas. In some embodiments, the buffer layer 150 may have a thickness of about 1 to 100 nm.

포토마스크 블랭크(100)로부터 반사형 포토마스크를 제조하기 위하여 상기 광흡수층(170)의 일부를 식각할 때, 상기 광흡수층(170)의 식각 결과 노출되는 상기 버퍼층(150)도 함께 식각되어 제거될 수 있다. When a part of the light absorption layer 170 is etched to form a reflection type photomask from the photomask blank 100, the buffer layer 150 exposed as a result of the etching of the light absorption layer 170 is also etched and removed .

도 1에서, 상기 패시베이션막(140)과 상기 광흡수층(170)은 상기 버퍼층(140)을 사이에 두고 서로 이격되어 있다. 그러나, 본 발명의 기술적 사상은 이에 한정되지 않는다. 예를 들면, 상기 버퍼층(150)은 생략될 수 있으며, 이 경우 상기 광흡수층(170)은 상기 패시베이션막(140)과 직접 접하도록 상기 패시베이션막(140)의 바로 위에 형성될 수 있다. 1, the passivation film 140 and the light absorbing layer 170 are spaced apart from each other with the buffer layer 140 therebetween. However, the technical idea of the present invention is not limited thereto. For example, the buffer layer 150 may be omitted. In this case, the light absorption layer 170 may be formed directly on the passivation layer 140 so as to directly contact the passivation layer 140.

상기 광흡수층(170)은 EUV 광을 흡수하면서 EUV 광의 반사율이 매우 낮은 물질로 이루어질 수 있다. 또한, 상기 광흡수층(170)은 내화학성이 우수한 물질로 이루어질 수 있다. 일부 실시예들에서, 상기 광흡수층(170)은 EUV 광의 파장 영역의 광선을 상기 광흡수층(170)의 표면에 조사하였을 때에, 파장 13.5 ㎚ 부근의 최대 광선 반사율이 약 5 % 이하인 물질로 이루어질 수 있다. The light absorption layer 170 may be made of a material having a very low reflectance of EUV light while absorbing EUV light. In addition, the light absorption layer 170 may be formed of a material having excellent chemical resistance. In some embodiments, the light absorbing layer 170 may be made of a material having a maximum light reflectance of about 5% or less near a wavelength of 13.5 nm when irradiating the surface of the light absorbing layer 170 with a light ray in a wavelength range of EUV light have.

상기 광흡수층(170)은 Ta를 주성분으로 하는 재료로 이루어질 수 있다. 일부 실시예들에서, 상기 광흡수층(170)은 Ta 주성분과, Hf, Si, Zr, Ge, B, N 및 H 중에서 선택되는 적어도 하나의 원소를 포함할 수 있다. 예를 들면, 상기 광흡수층(170)은 TaO, TaN, TaHf, TaHfN, TaBSi, TaBSiN, TaB, TaBN, TaSi, TaSiN, TaGe, TaGeN, TaZr, TaZrN, 또는 이들의 조합으로 이루어질 수 있다. 일부 실시예들에서, 상기 광흡수층(170)은 Ta의 함량이 적어도 40 원자%인 재료로 이루어질 수 있다. 일부 실시예들에서, 상기 광흡수층(170)은 약 0 ∼ 25 원자%의 산소 (O)를 함유할 수 있다. The light absorption layer 170 may be made of a material containing Ta as a main component. In some embodiments, the light absorbing layer 170 may include a Ta-based component and at least one element selected from Hf, Si, Zr, Ge, B, N, For example, the light absorption layer 170 may be made of TaO, TaN, TaHf, TaHfN, TaBSi, TaBSiN, TaB, TaBN, TaSi, TaSiN, TaGeN, TaZr, TaZrN, or a combination thereof. In some embodiments, the light absorbing layer 170 may be made of a material having a Ta content of at least 40 atomic percent. In some embodiments, the light absorbing layer 170 may contain about 0 to 25 atomic percent oxygen (O).

일부 실시예들에서, 상기 광흡수층(170)을 형성하기 위하여 스퍼터링 공정을 이용할 수 있으나, 이에 한정되는 것은 아니다. 일부 실시예들에서, 상기 광흡수층(170)은 약 30 ∼ 200 ㎚의 두께를 가질 수 있다. In some embodiments, a sputtering process may be used to form the light absorbing layer 170, but is not limited thereto. In some embodiments, the light absorbing layer 170 may have a thickness of about 30 to 200 nm.

상기 저반사층(172)은 포토마스크의 검사 중에, 검사 광의 파장 대역, 예를 들면 약 190 ∼ 260 nm의 파장 대역에서 비교적 낮은 반사율을 제공함으로써 충분한 콘트라스트를 얻도록 하는 역할을 할 수 있다. 예를 들면, 상기 저반사층(172)은 TaBO, TaBNO, TaOH, TaON, 또는 TaONH로 이루어질 수 있다. 상기 저반사층(172)은 스퍼터링 공정에 의해 형성될 수 있으나, 이에 한정되는 것은 아니다. The low reflection layer 172 may serve to obtain a sufficient contrast by providing a relatively low reflectance in a wavelength band of inspection light, for example, a wavelength band of about 190 to 260 nm, during inspection of the photomask. For example, the low reflection layer 172 may be made of TaBO, TaBNO, TaOH, TaON, or TaONH. The low reflection layer 172 may be formed by a sputtering process, but is not limited thereto.

일부 실시예들에서, 상기 저반사층(172)은 약 5 ∼ 25 nm의 두께를 가질 수 있다. In some embodiments, the low reflective layer 172 may have a thickness of about 5 to 25 nm.

상기 저반사층(172) 위에는 마스크층(190)이 형성될 수 있다. 상기 마스크층(190)은 하드 마스크층, 전자빔 레지스트층, 또는 이들의 조합으로 이루어질 수 있다. 상기 마스크층(190)에 대하여 전자빔 리소그래피 및 현상 공정 등을 거쳐 상기 광흡수층(170)에 광학적 패턴을 형성하기 위한 식각 마스크 패턴을 형성할 수 있다. A mask layer 190 may be formed on the low reflective layer 172. The mask layer 190 may comprise a hard mask layer, an electron beam resist layer, or a combination thereof. An etching mask pattern for forming an optical pattern on the light absorption layer 170 may be formed on the mask layer 190 through an electron beam lithography and a development process.

상기 포토마스크 기판(110)의 배면측 표면(110B) 위에 형성된 백사이드 도전막(180)은 노광 공정시에 포토마스크 기판(110)의 휨 현상을 방지하기 위하여 포토마스크 기판(110)을 정전 척을 이용하여 지지할 때 유리하게 이용될 수 있다. The backside conductive film 180 formed on the back side surface 110B of the photomask substrate 110 may be formed using an electrostatic chuck 110 to prevent the photomask substrate 110 from being warped during the exposure process It can be advantageously used for supporting.

일부 실시예들에서, 상기 백사이드 도전막(180)은 Cr 또는 CrN으로 이루어질 수 있다. 상기 백사이드 도전막(180)은 약 20 ∼ 80 nm의 두께를 가질 수 있다. In some embodiments, the backside conductive film 180 may be comprised of Cr or CrN. The backside conductive layer 180 may have a thickness of about 20 to 80 nm.

도 3은 본 발명의 기술적 사상에 의한 일부 실시예들에 따른 포토마스크 블랭크의 예시적인 제조 방법을 설명하기 위한 플로차트이다. 본 예에서는 도 1에 예시한 반사형 포토마스크 블랭크(100)를 제조하는 방법을 예로 들어 설명한다. 3 is a flowchart illustrating an exemplary method of manufacturing a photomask blank according to some embodiments of the technical concept of the present invention. In this example, a method of manufacturing the reflective type photomask blank 100 illustrated in FIG. 1 will be described as an example.

도 1 및 도 3을 참조하면, 공정 P212에서, 포토마스크 기판(110)상에 다중 반사막(120)을 형성한다. Referring to FIGS. 1 and 3, in step P212, a multiple reflective film 120 is formed on a photomask substrate 110. FIG.

상기 포토마스크 기판(110)의 배면측 표면(110B)은 백사이드 도전막(180)으로 덮여 있을 수 있다. 일부 실시예들에서, 상기 다중 반사막(120)을 형성하기 위하여 스퍼터링 퇴적 장치를 이용할 수 있다. The back side surface 110B of the photomask substrate 110 may be covered with a backside conductive film 180. [ In some embodiments, a sputter deposition device may be used to form the multiple reflective film 120.

공정 P214에서, 상기 다중 반사막(120) 위에 예비 캡핑층을 형성한다. 상기 예비 캡핑층은 공정 P212에서 다중 반사막(120)을 형성하기 위하여 사용된 스퍼터링 퇴적 장치를 사용하여 형성될 수 있다. 일부 실시예들에서, 상기 스퍼터링 퇴적 장치 내에서 상기 다중 반사막(120)의 형성 공정과 인시튜 (in-situ)로 상기 예비 캡핑층 형성 공정을 수행할 수 있다. In Step P214, a preliminary capping layer is formed on the multiple reflective film 120. [ The preliminary capping layer may be formed using a sputtering deposition apparatus used to form the multiple reflective film 120 in process P212. In some embodiments, the process of forming the multiple reflective layer 120 and the process of forming the preliminary capping layer in-situ may be performed in the sputtering deposition apparatus.

일부 실시예들에서, 상기 예비 캡핑층은 Ru, Ni 및 Ir 중에서 선택되는 적어도 하나의 전이 금속을 포함하는 박막으로 이루어질 수 있다. In some embodiments, the preliminary capping layer may comprise a thin film comprising at least one transition metal selected from Ru, Ni and Ir.

상기 예비 캡핑층은 도 1을 참조하여 설명한 캡핑층(130)보다 더 큰 두께를 가지도록 형성될 수 있다. The preliminary capping layer may be formed to have a greater thickness than the capping layer 130 described with reference to FIG.

공정 P216에서, 질소를 포함하는 가스 분위기 하에서, 공정 P214에서 형성된 예비 캡핑층의 노출 표면을 플라즈마 처리하여 상기 예비 캡핑층을 그 노출 표면으로부터 일부 두께 만큼 변화시켜 패시베이션막(140)으로 덮인 캡핑층(130)을 형성한다. In step P216, the exposed surface of the preliminary capping layer formed in step P214 is plasma-treated to change the preliminary capping layer by a certain thickness from its exposed surface in a gas atmosphere containing nitrogen to form a capping layer 130).

일부 실시예들에서, 상기 패시베이션막(140)을 형성하기 위하여, 상기 예비 캡핑층의 노출 표면에 대하여 질화 처리(nitration treatment)를 수행할 수 있다. 그 결과, 상기 예비 캡핑층 중 노출 표면으로부터 일부 두께만큼 질화 처리되어, 상기 예비 캡핑층의 일부가 금속 질화물로 이루어지는 패시베이션막(140)으로 되고, 상기 예비 캡핑층 중 나머지 일부는 캡핑층(130)으로 남게 될 수 있다. In some embodiments, to form the passivation film 140, a nitration treatment may be performed on the exposed surface of the spare capping layer. As a result, a part of the preliminary capping layer is nitrided by a certain thickness from the exposed surface of the preliminary capping layer, and a part of the preliminary capping layer becomes a passivation film 140 made of a metal nitride, . ≪ / RTI >

상기 질화 처리를 위하여, 상기 예비 캡핑층에 대하여 질소를 포함하는 가스 분위기 하에서 플라즈마 처리를 행할 수 있다. 일부 실시예들에서, 상기 질소를 포함하는 가스 분위기는 질소(N2) 가스, 일산화질소(NO) 가스, 일산화이질소(N2O) 가스, 이산화일질소(NO2) 가스, 암모니아(NH3) 가스 등을 포함할 수 있다. 이들 가스는 단독으로 또는 서로 조합되어 사용될 수 있다. For the nitriding treatment, the preliminary capping layer may be subjected to a plasma treatment under a gas atmosphere containing nitrogen. In some embodiments, the gas atmosphere containing the nitrogen-nitrogen (N 2) gas, nitrogen monoxide (NO) gas, dinitrogen monoxide (N 2 O) gas, dioxide, nitrogen (NO 2) gas, ammonia (NH 3 ) Gas and the like. These gases may be used alone or in combination with each other.

이 때, 상기 플라즈마 처리를 위하여, 반도체 소자의 제조 공정에서 피처리체인 반도체 웨이퍼에 대하여 에칭, 애싱, 성막 등의 다양한 프로세스를 행하기 위하여 사용되는 플라즈마 처리 장치로서, 진공 분위기로 유지 가능한 반응 챔버 내에서 반도체 웨이퍼에 플라즈마 처리를 실시할 수 있는 플라즈마 처리 장치를 사용할 수 있다. At this time, a plasma processing apparatus used for carrying out various processes such as etching, ashing, film formation, and the like on a semiconductor wafer to be processed in the semiconductor device manufacturing process for the plasma processing, A plasma processing apparatus capable of performing plasma processing on a semiconductor wafer can be used.

상기 플라즈마 처리 장치의 반응 챔버 내에서 재치대 (mounting table) 상에 상기 예비 캡핑층이 형성된 포토마스크 기판을 로딩하고, 상기 반응 챔버 내에 질소를 포함하는 가스를 공급하면서 상기 약 100 ∼ 1000 W, 예를 들면, 약 400 ∼ 600 W의 고주파 파워를 인가할 수 있다. 상기 반응 챔버 내에 공급되는 질소를 포함하는 가스의 유량 및 플라즈마 처리 시간을 조절하여 상기 패시베이션막(140)의 두께 및 밀도를 조절할 수 있다. A photomask substrate on which the preliminary capping layer is formed is loaded in a reaction chamber of the plasma processing apparatus and a gas containing nitrogen is supplied into the reaction chamber, For example, a high frequency power of about 400 to 600 W can be applied. The thickness and the density of the passivation film 140 can be controlled by controlling the flow rate of the gas including nitrogen supplied into the reaction chamber and the plasma treatment time.

일부 실시예들에서, 상기 패시베이션막(140)을 형성하는 동안 상기 반응 챔버 내의 온도를 약 80 ∼ 120 ℃, 예를 들면 약 100 ℃로 유지할 수 있다. 일부 실시예들에서, 상기 패시베이션막(140)을 형성하기 위하여 상기 플라즈마 처리는 약 60 ∼ 180 초 동안 행해질 수 있다. 상기 플라즈마 처리 시간이 길어질수록 상기 패시베이션막(140)의 두께 및 밀도가 커질 수 있다. In some embodiments, the temperature in the reaction chamber during formation of the passivation film 140 may be maintained at about 80-120 캜, for example about 100 캜. In some embodiments, the plasma treatment may be performed for about 60 to 180 seconds to form the passivation film 140. The longer the plasma treatment time, the greater the thickness and density of the passivation film 140 may be.

일부 실시예들에서, 상기 패시베이션막(140)을 형성하는 동안 상기 질소를 포함하는 가스로서 N2 가스를 사용하는 경우, N을 포함하는 금속 질화물로 이루어지는 상기 패시베이션막(140)이 형성될 수 있다. 예를 들면, 예비 캡핑층이 Ru로 이루어진 경우, 상기 패시베이션막(140)은 RuN으로 이루어질 수 있다. In some embodiments, when the N 2 gas is used as the nitrogen-containing gas during formation of the passivation film 140, the passivation film 140 may be formed of a metal nitride including N . For example, when the preliminary capping layer is made of Ru, the passivation film 140 may be made of RuN.

N2 분자는 플라즈마가 인가될 때 활성 질소 라디칼로 쉽게 분해되며, 그 결과 Ru 막 내에서 잘 융화되어 Ru 막과 반응할 수 있다. 따라서, Ru 막 표면으로부터 Ru 막 내부로 성장하는 RuN 박막이 형성될 수 있다. 이와 같이 얻어지는 RuN 박막으로 이루어지는 패시베이션막(140)은 산화에 대한 우수한 내성을 가질 수 있다. N 2 molecules are easily decomposed into active nitrogen radicals when the plasma is applied, and as a result, they can react well with the Ru film in the Ru film. Therefore, a RuN thin film growing into the Ru film from the Ru film surface can be formed. The passivation film 140 made of the RuN thin film thus obtained can have excellent resistance to oxidation.

다른 일부 실시예들에서, 상기 패시베이션막(140)을 형성하는 동안 상기 질소를 포함하는 가스로서 NO2 가스를 사용하는 경우, O 및 N을 포함하는 금속 산질화물로 이루어지는 상기 패시베이션막(140)이 형성될 수 있다. In some other embodiments, when NO 2 gas is used as the nitrogen-containing gas during formation of the passivation film 140, the passivation film 140 made of a metal oxynitride containing O and N .

상술한 방법으로 얻어진 패시베이션막(140)은 캡핑층(130)이 외부 환경의 영향을 받아 산화되는 정도를 지연시킬 수 있을 뿐만 아니라 외부 환경, 예를 들면 포토마스크의 세정액에 노출된 환경에서 상기 세정액을 구성하는 활성 산소 라디칼이 상기 캡핑층(130)의 하부 막질, 예들 들면 다중 반사막(120)으로 침투하여 포토마스크의 기능을 열화시키는 현상을 억제할 수 있다. The passivation film 140 obtained by the above-described method can not only delay the extent to which the capping layer 130 is oxidized under the influence of the external environment, but also can be used in an environment exposed to the external environment, for example, It is possible to suppress the phenomenon that the active oxygen radicals constituting the capping layer 130 penetrate into the lower film quality of the capping layer 130, for example, the multiple reflection film 120 to degrade the function of the photomask.

그 후, 공정 P218 내지 공정 P224에 따라, 상기 패시베이션막(140) 위에 버퍼층(150), 광흡수층(170), 저반사층(172) 및 마스크층(190)을 차례로 형성한다. A buffer layer 150, a light absorption layer 170, a low reflection layer 172, and a mask layer 190 are formed in this order on the passivation film 140 in accordance with the processes P218 to P224.

도 4는 본 발명의 기술적 사상에 의한 다른 일부 실시예들에 따른 포토마스크 블랭크의 예시적인 제조 방법을 설명하기 위한 플로차트이다. 본 예에서는 도 1에 예시한 반사형 포토마스크 블랭크(100)를 제조하는 방법을 예로 들어 설명한다. 도 4의 플로차트에서, 캡핑층(130) 및 패시베이션막(140)을 형성하기 위하여, 도 3의 공정 P216 대신 도 4의 공정 P316을 이용하는 것을 제외하고, 도 3을 참조하여 설명한 제조 방법과 대체로 동일하다. 4 is a flowchart for explaining an exemplary manufacturing method of a photomask blank according to some other embodiments according to the technical idea of the present invention. In this example, a method of manufacturing the reflective type photomask blank 100 illustrated in FIG. 1 will be described as an example. 4 is generally the same as the manufacturing method described with reference to FIG. 3, except that the process P316 of FIG. 4 is used instead of the process P216 of FIG. 3 to form the capping layer 130 and the passivation film 140 in the flow chart of FIG. Do.

도 1 및 도 4를 참조하면, 공정 P316에서, 질소를 포함하는 가스 분위기 하에서, 공정 P214에서 형성된 예비 캡핑층의 노출 표면을 열 처리하여 상기 예비 캡핑층을 그 노출 표면으로부터 일부 두께 만큼 변화시켜 패시베이션막(140)을 형성한다. Referring to FIGS. 1 and 4, in step P316, the exposed surface of the spare capping layer formed in the process P214 is subjected to heat treatment under a gas atmosphere containing nitrogen to change the spare capping layer from its exposed surface by a certain thickness, A film 140 is formed.

일부 실시예들에서, 상기 패시베이션막(140)을 형성하기 위하여, 상기 예비 캡핑층의 노출 표면에 대하여 질화 처리(nitration treatment)를 수행할 수 있다. 그 결과, 상기 예비 캡핑층 중 노출 표면으로부터 일부 두께만큼 질화 처리되어, 상기 예비 캡핑층의 일부가 금속 질화물로 이루어지는 패시베이션막(140)으로 되고, 상기 예비 캡핑층 중 나머지 일부는 캡핑층(130)으로 남게 될 수 있다. In some embodiments, to form the passivation film 140, a nitration treatment may be performed on the exposed surface of the spare capping layer. As a result, a part of the preliminary capping layer is nitrided by a certain thickness from the exposed surface of the preliminary capping layer, and a part of the preliminary capping layer becomes a passivation film 140 made of a metal nitride, . ≪ / RTI >

상기 질화 처리를 위하여, 상기 예비 캡핑층에 대하여 질소를 포함하는 가스 분위기 하에서 열 처리를 행할 수 있다. 일부 실시예들에서, 상기 질소를 포함하는 가스 분위기는 질소(N2) 가스, 일산화질소(NO) 가스, 일산화이질소(N2O) 가스, 이산화일질소(NO2) 가스, 암모니아(NH3) 가스 등을 포함할 수 있다. 이들 가스는 단독으로 또는 서로 조합되어 사용될 수 있다. For the nitriding treatment, the preliminary capping layer may be subjected to a heat treatment in a gas atmosphere containing nitrogen. In some embodiments, the gas atmosphere containing the nitrogen-nitrogen (N 2) gas, nitrogen monoxide (NO) gas, dinitrogen monoxide (N 2 O) gas, dioxide, nitrogen (NO 2) gas, ammonia (NH 3 ) Gas and the like. These gases may be used alone or in combination with each other.

일부 실시예들에서, 상기 열처리를 위하여 전자기파 (electromagnetic waves)를 이용할 수 있다. 상기 전자기파는 약 0.6 ㎛ ∼ 1 mm의 파장을 가질 수 있다. 예를 들면, 상기 전자기파는 약 100 ∼ 1000 nm 의 파장을 가질 수 있다. 상기 전자기파는 적외선 램프, 고체 레이저 (solid-state laser), Ni-Cr 열선, 세라믹 히터, 또는 석영 히터로부터 공급될 수 있다. 예를 들면, 상기 전자기파 발생 장치로서 Xe 램프, Xe-Hg 램프, 세라믹 히터, 석영 히터, 808 nm의 발진 파장을 갖는 다이오드 레이저광, 투명한 석영 적외선 히터 램프 (transparent quartz infrared heater lamp), 또는 이들의 조합을 이용할 수 있다. In some embodiments, electromagnetic waves may be used for the heat treatment. The electromagnetic wave may have a wavelength of about 0.6 탆 to 1 mm. For example, the electromagnetic wave may have a wavelength of about 100 to 1000 nm. The electromagnetic wave may be supplied from an infrared lamp, a solid-state laser, a Ni-Cr hot wire, a ceramic heater, or a quartz heater. For example, as the electromagnetic wave generating device, a Xe lamp, a Xe-Hg lamp, a ceramic heater, a quartz heater, a diode laser light having an oscillation wavelength of 808 nm, a transparent quartz infrared heater lamp, Combinations can be used.

상기 전자기파로부터 나오는 열 파장이 질소를 포함하는 가스 분위기에 공급되면, 상기 가스 분위기 중의 N2 분자가 쉽게 분해되어 예비 캡핑층을 구성하는 Ru 막과 반응할 수 있다. 따라서, Ru 막 표면으로부터 Ru 막 내부로 성장하는 RuN 박막이 형성될 수 있다. 이와 같이 얻어지는 RuN 박막으로 이루어지는 패시베이션막(140)은 산화에 대한 우수한 내성을 가질 수 있다. When the heat wavelength from the electromagnetic wave is supplied to a gas atmosphere containing nitrogen, the N 2 molecules in the gas atmosphere can easily decompose and react with the Ru film constituting the preliminary capping layer. Therefore, a RuN thin film growing into the Ru film from the Ru film surface can be formed. The passivation film 140 made of the RuN thin film thus obtained can have excellent resistance to oxidation.

상기 질소를 포함하는 가스의 유량 및 열 처리 시간을 조절하여 상기 패시베이션막(140)의 두께 및 밀도를 조절할 수 있다. The thickness and density of the passivation layer 140 can be controlled by adjusting the flow rate of the nitrogen-containing gas and the heat treatment time.

일부 실시예들에서, 상기 패시베이션막(140)을 형성하는 동안 열 처리 챔버 내의 온도를 약 80 ∼ 120 ℃, 예를 들면 약 100 ℃로 유지할 수 있다. 일부 실시예들에서, 상기 패시베이션막(140)을 형성하기 위하여 상기 열 처리는 약 60 초 ∼ 10 분 동안 행해질 수 있다. 상기 열 처리 시간이 길어질수록 상기 패시베이션막(140)의 두께 및 밀도가 커질 수 있다. In some embodiments, the temperature in the thermal processing chamber during formation of the passivation film 140 may be maintained at about 80-120 캜, such as about 100 캜. In some embodiments, the heat treatment may be performed for about 60 seconds to 10 minutes to form the passivation film 140. [ As the heat treatment time becomes longer, the thickness and the density of the passivation film 140 can be increased.

그 후, 공정 P218 내지 공정 P224에 따라, 상기 패시베이션막(140) 위에 버퍼층(150), 광흡수층(170), 저반사층(172) 및 마스크층(190)을 차례로 형성한다. A buffer layer 150, a light absorption layer 170, a low reflection layer 172, and a mask layer 190 are formed in this order on the passivation film 140 in accordance with the processes P218 to P224.

도 5는 본 발명의 기술적 사상에 의한 또 다른 일부 실시예들에 따른 포토마스크 블랭크의 예시적인 제조 방법을 설명하기 위한 플로차트이다. 본 예에서는 도 1에 예시한 반사형 포토마스크 블랭크(100)를 제조하는 방법을 예로 들어 설명한다. 도 5의 플로차트에서, 캡핑층(130) 및 패시베이션막(140)을 형성하기 위하여, 도 3의 공정 P214 및 공정 P216 대신 도 5의 공정 P324 및 공정 P326을 이용하는 것을 제외하고, 도 3을 참조하여 설명한 제조 방법과 대체로 동일하다. 5 is a flowchart for explaining an exemplary method of manufacturing a photomask blank according to still another embodiment according to the technical idea of the present invention. In this example, a method of manufacturing the reflective type photomask blank 100 illustrated in FIG. 1 will be described as an example. 3, except that the processes P324 and P326 of FIG. 5 are used instead of the processes P214 and P216 of FIG. 3 to form the capping layer 130 and the passivation film 140 in the flow chart of FIG. Is substantially the same as the described manufacturing method.

도 1 및 도 5를 참조하면, 공정 P324에서, 상기 다중 반사막(120) 위에 캡핑층(130)을 형성한다. 상기 캡핑층(130)의 형성 공정에 대한 상세한 사항은 도 3의 공정 P124에서의 예비 캡핑층 형성 공정과 유사하게 수행할 수 있다. Referring to FIGS. 1 and 5, in step P324, a capping layer 130 is formed on the multiple reflection film 120. FIG. The formation of the capping layer 130 may be performed in a similar manner to the capping layer forming process in the process P124 of FIG.

상기 캡핑층(130)에 대한 보다 상세한 사항은 도 1을 참조하여 설명한 바를 참조한다. The capping layer 130 will be described in more detail with reference to FIG.

공정 P326에서, 퇴적 공정을 이용하여 캡핑층(130)위에 패시베이션막(140)을 형성한다. In step P326, a passivation film 140 is formed on the capping layer 130 using a deposition process.

상기 패시베이션막(140)을 형성하기 위한 퇴적 공정으로서, CVD (chemical vapor deposition), ALD (atomic layer deposition), PVD (physical vapor deposition), PECVD (plasma-enhanced CVD), LPCVD (low pressure CVD), P-CVD (pulsed CVD), 또는 이들의 조합을 이용할 수 있다. As a deposition process for forming the passivation film 140, a CVD (chemical vapor deposition), an atomic layer deposition (ALD), a physical vapor deposition (PVD), a plasma enhanced CVD (PECVD) P-CVD (pulsed CVD), or a combination thereof.

일부 실시예들에서, CVD 또는 ALD 공정을 이용하여 Ru 및 N을 포함하는 금속 질화막으로 이루어지는 패시베이션막(140)을 형성하기 위하여, 상기 캡핑층(130)이 노출된 상태에서 상기 포토마스크 기판(110) 상에 퇴적 가스를 공급할 수 있다. 상기 퇴적 가스는 Ru 전구체 및 질소 소스를 포함한다. 상기 퇴적 가스와 함께, 캐리어 가스 (예를 들면, 불활성 가스), 환원 가스, 또는 이들의 조합이 함께 공급될 수 있다.In some embodiments, in order to form a passivation film 140 comprising a metal nitride film including Ru and N using a CVD or ALD process, the capping layer 130 is exposed to the photomask substrate 110 ). ≪ / RTI > The deposition gas includes a Ru precursor and a nitrogen source. A carrier gas (for example, an inert gas), a reducing gas, or a combination thereof may be supplied together with the deposition gas.

예시적인 Ru 전구체는 Ru3(CO)12, Ru(DMPD)(EtCp) ((2,4-dimethylpentadienyl)(ethylcyclopentadienyl)ruthenium), Ru(DMPD)2 (bis(2,4-dimethylpentadienyl)ruthenium), Ru(DMPD)(MeCp) (4-dimethylpentadienyl)(methylcyclopentadienyl)ruthenium), 및 Ru(EtCp)2) (bis(ethylcyclopentadienyl)ruthenium)을 포함하나, 이에 제한되는 것은 아니다. Exemplary Ru precursor is Ru 3 (CO) 12, Ru (DMPD) (EtCp) ((2,4-dimethylpentadienyl) (ethylcyclopentadienyl) ruthenium), Ru (DMPD) 2 (bis (2,4-dimethylpentadienyl) ruthenium), But are not limited to, Ru (DMPD) (MeCp) (4-dimethylpentadienyl) ruthenium, and Ru (EtCp) 2 ) bis (ethylcyclopentadienyl) ruthenium.

상기 질소 소스는 질소(N2) 가스, 일산화질소(NO) 가스, 일산화이질소(N2O) 가스, 이산화일질소(NO2) 가스, 암모니아 (NH3) 가스, N-함유 라디칼 (예를 들면, N*, NH*, NH2 *), 아민, 및 이들의 조합으로부터 선택될 수 있으나, 이에 제한되는 것은 아니다. The nitrogen source is nitrogen (N 2) gas, nitrogen monoxide (NO) gas, dinitrogen monoxide (N 2 O) gas, nitrogen dioxide days (NO 2) gas, ammonia (NH 3) gas, N- containing radical (e. , N * , NH * , NH 2 * ), amines, and combinations thereof, but is not limited thereto.

일부 실시예들에서, 상기 질소 소스로서 N2를 사용하는 경우, 루테늄 질화물로 이루어지는 패시베이션막(140)이 얻어질 수 있다. 다른 일부 실시예들에서, 상기 질소 소스로서 NO2를 사용하는 경우, 루테늄 산질화물로 이루어지는 패시베이션막(140)이 얻어질 수 있다. In some embodiments, if N 2 is used as the nitrogen source, a passivation film 140 of ruthenium nitride may be obtained. In some other embodiments, when NO 2 is used as the nitrogen source, a passivation film 140 of ruthenium oxynitride may be obtained.

그 후, 공정 P218 내지 공정 P224에 따라, 상기 패시베이션막(140) 위에 버퍼층(150), 광흡수층(170), 저반사층(172) 및 마스크층(190)을 차례로 형성한다. A buffer layer 150, a light absorption layer 170, a low reflection layer 172, and a mask layer 190 are formed in this order on the passivation film 140 in accordance with the processes P218 to P224.

도 6a 내지 도 6f는 본 발명의 기술적 사상에 의한 실시예들에 따른 반사형 포토마스크의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다. 도 6a 내지 도 6f에서는 도 1에 예시한 반사형 포토마스크 블랭크(100)를 이용하여 반사형 포토마스크(600) (도 6e 및 도 6f 참조)를 제조하는 공정을 예로 들어 설명한다. 도 6a 내지 도 6f에 있어서, 도 1에서와 동일한 참조부호는 동일 부재를 나타내며, 여기서는 이들에 대한 상세한 설명을 생략한다. 본 예에서는 도 1에 예시한 반사형 포토마스크 블랭크(100)에 형성된 마스크층(190)이 하드마스크층으로 이루어진 경우에 대하여 설명한다. 6A to 6F are cross-sectional views illustrating a method of manufacturing a reflective type photomask according to embodiments of the present invention. 6A to 6F, a process of manufacturing a reflection type photomask 600 (see FIGS. 6E and 6F) using the reflection type photomask blank 100 illustrated in FIG. 1 will be described as an example. 6A to 6F, the same reference numerals as in FIG. 1 denote the same members, and a detailed description thereof will be omitted here. In this example, the case where the mask layer 190 formed in the reflective type photomask blank 100 illustrated in FIG. 1 is formed of a hard mask layer will be described.

도 6a를 참조하면, 스핀 코팅 공정을 이용하여 상기 마스크층(190) 위에 전자빔 리소그래피용 레지스트막(610)을 형성한다. Referring to FIG. 6A, a resist film 610 for electron beam lithography is formed on the mask layer 190 using a spin coating process.

일부 실시예들에서, 상기 레지스트막(610)은 화학 증폭형 레지스트로 이루어질 수 있다. 일부 실시예들에서, 상기 레지스트막(610)은 약 50 ∼ 100 nm의 두께로 형성될 수 있다. In some embodiments, the resist film 610 may comprise a chemically amplified resist. In some embodiments, the resist film 610 may be formed to a thickness of about 50 to 100 nm.

도 6b를 참조하면, 상기 레지스트막(610)에 대하여 노광 및 현상 공정을 거쳐서 웨이퍼에 전사할 패턴에 대응하는 형상을 정의하는 레지스트 패턴(610P)을 형성한다. Referring to FIG. 6B, the resist film 610 is subjected to exposure and development processes to form a resist pattern 610P defining a shape corresponding to a pattern to be transferred to the wafer.

도 6c를 참조하면, 상기 레지스트 패턴(610P)을 식각 마스크로 이용하여 마스크층(190)을 식각하여 마스크 패턴(190P)을 형성한다. Referring to FIG. 6C, the mask layer 190 is etched using the resist pattern 610P as an etching mask to form a mask pattern 190P.

도 6d를 참조하면, 상기 레지스트 패턴(610P)을 제거한 후, 상기 마스크 패턴(190P)을 식각 마스크로 이용하여 저반사층(172), 광흡수층(170) 및 버퍼층(150)을 차례로 식각하여 저반사 패턴(172P), 광흡수 패턴(170P) 및 버퍼 패턴(150P)을 형성한다. 6D, after the resist pattern 610P is removed, the low reflective layer 172, the light absorbing layer 170, and the buffer layer 150 are sequentially etched using the mask pattern 190P as an etching mask, A pattern 172P, a light absorption pattern 170P, and a buffer pattern 150P are formed.

상기 저반사 패턴(172P), 광흡수 패턴(170P) 및 버퍼 패턴(150P)을 식각하는 동안 염소계 가스, 또는 염소계 가스와 산소 가스와의 혼합 가스를 식각 가스로서 이용할 수 있다. A chlorine-based gas, or a mixed gas of a chlorine-based gas and an oxygen gas may be used as the etching gas while the low-reflection pattern 172P, the light absorption pattern 170P, and the buffer pattern 150P are etched.

상기 광흡수 패턴(170P) 및 버퍼 패턴(150P)이 형성된 후, 다중 반사막(120)은 캡핑층(130)으로 덮여 있어 외부로 노출되지 않는다. 그리고, 상기 캡핑층(130)은 패시베이션막(140)에 의해 덮여 있으므로, 광흡수 패턴(170P) 및 버퍼 패턴(150P)이 형성된 결과물이 산소 함유 분위기, 예를 들면 식각 분위기 또는 대기에 노출되는 경우에도 캡핑층(130)의 취약한 부분을 통해 산소가 침투하거나, 상기 캡핑층(130)과 다중 반사막(120)과의 사이의 계면으로 산소가 침투하여 원하지 않는 산화 반응이 일어나는 것을 방지할 수 있다. 따라서, 상기 캡핑층(130)과 다중 반사막(120)과의 사이의 계면에 원하지 않는 산화막이 성장하거나, 상기 원하지 않는 산화막으로 인해 상기 캡핑층(130)이 다중 반사막(120)으로부터 분리되어 벗겨지는 문제 등을 방지할 수 있다. 또한, 후속 공정에서 필요로 하는 반복적인 세정 공정에 대한 내구성도 향상될 수 있다. After the light absorption pattern 170P and the buffer pattern 150P are formed, the multiple reflection layer 120 is covered with the capping layer 130 and is not exposed to the outside. Since the capping layer 130 is covered with the passivation film 140, when the resultant formed with the light absorption pattern 170P and the buffer pattern 150P is exposed to an oxygen-containing atmosphere, for example, an etching atmosphere or the atmosphere It is possible to prevent the penetration of oxygen through the fragile portion of the capping layer 130 or the penetration of oxygen at the interface between the capping layer 130 and the multiple reflection film 120 to prevent an undesired oxidation reaction. An undesired oxide layer may be formed on the interface between the capping layer 130 and the multiple reflective layer 120 or the capping layer 130 may be separated from the multiple reflective layer 120 Problems and the like can be prevented. Also, the durability to the repeated cleaning process required in the subsequent process can be improved.

도 6e를 참조하면, 상기 마스크 패턴(190P) (도 6d 참조)을 제거하여, 반사형 포토마스크(600)를 형성한다. Referring to FIG. 6E, the mask pattern 190P (see FIG. 6D) is removed to form a reflective photomask 600. FIG.

일부 실시예들에서, 상기 마스크 패턴(190P)을 제거하기 위하여 건식 식각 공정을 이용할 수 있으나, 이에 한정되는 것은 아니다. In some embodiments, a dry etch process may be used to remove the mask pattern 190P, but is not limited thereto.

상기 반사형 포토마스크(600)에서, 상기 패시베이션막(140)은 외부로 노출되는 제1 부분(140A)과, 상기 캡핑층(130)과 상기 광흡수 패턴(170P)과의 사이에 개재되는 제2 부분(140B)을 포함한다. 상기 패시베이션막(140)의 제1 부분(140A) 및 제2 부분(140B)은 각각 상기 캡핑층(130)과 접해 있다. In the reflection type photomask 600, the passivation film 140 includes a first portion 140A that is exposed to the outside and a second portion 140B that is interposed between the capping layer 130 and the light absorption pattern 170P. 2 portion 140B. The first portion 140A and the second portion 140B of the passivation film 140 are in contact with the capping layer 130, respectively.

도 6f를 참조하면, 도 6e를 참조하여 설명한 바와 같이 마스크 패턴(190P)이 제거된 결과물상에 잔존할 수 있는 유기 잔류물 또는 파티클을 제거하기 위하여, 상기 반사형 포토마스크(600)를 세정 분위기(630)에 노출시킨다. Referring to FIG. 6F, in order to remove organic residues or particles that may remain on the resultant of removing the mask pattern 190P as described with reference to FIG. 6E, the reflection type photomask 600 is cleaned in a cleaning atmosphere (630).

상기 세정 분위기(630)는 UV 조사, 탈이온수(deionized water)를 포함하는 세정액, 황산-과산화수소 혼합액 (SPM: Sulfuric Peroxide Mixture), 또는 이들의 조합으로 이루어질 수 있으나, 이에 한정되는 것은 아니다. The cleaning atmosphere 630 may include, but is not limited to, a UV cleaning, a cleaning solution containing deionized water, a sulfuric acid-peroxide mixture (SPM), or a combination thereof.

일부 실시예들에서, 상기 UV 조사는 세정 챔버 내에서 UV 램프를 이용하여 행해질 수 있다. 예를 들면, 상기 세정 챔버 내에서 UV 램프를 이용하여 약 172 ㎚ 파장의 자외선을 약 1 ∼ 20 분 동안 조사할 수 있다. 상기 세정 챔버 내에 산소 및 질소를 제공함으로써 오존을 발생시키고, 오존으로부터 OH 기를 발생시켜 잔류물을 산화시킴으로써 상기 잔류물을 제거하는 방법을 이용할 수 있다. 그리고, UV 조사에 의해 유기 잔류물을 산화 분해하여 제거할 수 있다. In some embodiments, the UV irradiation can be done using a UV lamp in the cleaning chamber. For example, ultraviolet light having a wavelength of about 172 nm can be irradiated for about 1 to 20 minutes using a UV lamp in the cleaning chamber. A method of generating ozone by providing oxygen and nitrogen in the cleaning chamber and generating an OH group from ozone to oxidize the residue to remove the residue can be used. The organic residues can be oxidatively decomposed and removed by UV irradiation.

상기 탈이온수를 포함하는 세정액을 이용하는 경우, 상기 세정액을 상기 반사형 포토마스크(600)에 분사하여, 물리적 힘에 의해 반사형 포토마스크(600)의 표면에 남아 있는 파티클들을 제거할 수 있다. 이 때, 탈이온수를 반사형 포토마스크(600) 상에 제공하면서 상기 반사형 포토마스크(600)에 초음파를 인가할 수 있다. When the cleaning liquid containing the deionized water is used, the cleaning liquid may be sprayed onto the reflection type photomask 600 to remove particles remaining on the surface of the reflection type photomask 600 by a physical force. At this time, ultrasonic waves can be applied to the reflection type photomask 600 while supplying deionized water to the reflection type photomask 600.

본 발명의 기술적 사상에 의한 반사형 포토마스크(600)에서, 다중 반사막(120)이 캡핑층(130)으로 보호되고, 상기 캡핑층(130)은 패시베이션막(140)에 의해 덮여 있다. 따라서, 반사형 포토마스크(600)가 UV 조사, 세정액, 또는 이들의 조합으로 이루어지는 세정 분위기(630)에 복수 회 노출되어 반사형 포토마스크(600)의 노출 표면에 손상을 가하기 쉬운 세정 공정이 반복적으로 수행되는 경우에도 상기 캡핑층(130)이 패시베이션막(140)에 의해 보호되어 상기 캡핑층(130)을 통해 산소가 침투하거나 상기 캡핑층(130)이 벗겨지는 등의 문제를 방지할 수 있다. 이에 따라, 상기 다중 반사막(120)의 반사도 특성이 열화되는 등의 문제를 방지할 수 있으며 상기 반사형 포토마스크(600)의 라이프타임을 향상시킬 수 있다. The reflection film 120 is protected by the capping layer 130 and the capping layer 130 is covered by the passivation film 140. In the reflection type photomask 600 according to the technical idea of the present invention, Therefore, the cleaning process in which the reflection type photomask 600 is exposed to the cleaning atmosphere 630 consisting of UV irradiation, cleaning solution, or a combination thereof a plurality of times and the exposure surface of the reflection type photomask 600 is likely to be damaged, The capping layer 130 is protected by the passivation film 140 to prevent oxygen from penetrating through the capping layer 130 or peeling off the capping layer 130 . Accordingly, it is possible to prevent a problem such as deterioration of the reflectivity characteristic of the multiple reflection film 120, and the lifetime of the reflection type photomask 600 can be improved.

도 7a 내지 도 7e는 본 발명의 기술적 사상에 의한 실시예들에 따른 반사형 포토마스크의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다. 도 7a 내지 도 7e에서는 패시베이션막(140)을 포함하지 않는 포토마스크 블랭크(100A)를 이용하여 반사형 포토마스크(700) (도 7e 참조)를 제조하는 공정을 예로 들어 설명한다. 도 7a 내지 도 7e에 있어서, 도 1 및 도 6a 내지 도 6f에서와 동일한 참조부호는 동일 부재를 나타내며, 여기서는 이들에 대한 상세한 설명을 생략한다. FIGS. 7A to 7E are cross-sectional views illustrating a method of manufacturing a reflective type photomask according to embodiments of the present invention. 7A to 7E, the process of manufacturing the reflection type photomask 700 (see FIG. 7E) using the photomask blank 100A not including the passivation film 140 will be described as an example. 7A to 7E, the same reference numerals as in Figs. 1 and 6A to 6F denote the same members, and a detailed description thereof will be omitted here.

도 7a를 참조하면, 도 1을 참조하여 설명한 반사형 포토마스크 블랭크(100)와 유사한 구조를 가지는 반사형 포토마스크 블랭크(100A)를 준비한다. Referring to FIG. 7A, a reflection type photomask blank 100A having a structure similar to that of the reflection type photomask blank 100 described with reference to FIG. 1 is prepared.

반사형 포토마스크 블랭크(100A)는 패시베이션막(140)이 생략된 것을 제외하고 도 1에 예시한 반사형 포토마스크 블랭크(100)와 대체로 동일한 구조를 가진다. The reflective photomask blank 100A has substantially the same structure as the reflective photomask blank 100 illustrated in FIG. 1 except that the passivation film 140 is omitted.

도 7b를 참조하면, 도 6a 및 도 6b를 참조하여 설명한 바와 같은 방법으로 마스크층(190) 위에 전자빔 리소그래피용 레지스트막(610)을 형성한 후, 이를 패터닝하여 레지스트 패턴(610P)을 형성한다. Referring to FIG. 7B, a resist film 610 for electron beam lithography is formed on the mask layer 190 by the method described with reference to FIGS. 6A and 6B, and then patterned to form a resist pattern 610P.

도 7c를 참조하면, 도 6c 및 도 6d를 참조하여 설명한 바와 같은 방법으로, 레지스트 패턴(610P) (도 7b 참조)을 식각 마스크로 이용하여 마스크층(190)을 식각하여 마스크 패턴(190P)을 형성한 후, 상기 마스크 패턴(190P)을 식각 마스크로 이용하여 저반사층(172), 광흡수층(170) 및 버퍼층(150)을 차례로 식각하여 저반사 패턴(172P), 광흡수 패턴(170P) 및 버퍼 패턴(150P)을 형성한다. Referring to FIG. 7C, the mask layer 190 is etched using the resist pattern 610P (see FIG. 7B) as an etch mask in the manner described with reference to FIGS. 6C and 6D to form the mask pattern 190P The low reflection layer 172, the light absorption layer 170 and the buffer layer 150 are sequentially etched by using the mask pattern 190P as an etching mask to form the low reflection pattern 172P, the light absorption pattern 170P, Thereby forming a buffer pattern 150P.

도 7d를 참조하면, 상기 마스크 패턴(190P) (도 7c 참조)을 제거하여, 저반사 패턴(172P)의 상면을 외부로 노출시킨다. Referring to FIG. 7D, the mask pattern 190P (see FIG. 7C) is removed to expose the upper surface of the low-reflection pattern 172P to the outside.

상기 저반사 패턴(172P)의 형성 공정이 생략된 경우, 상기 광흡수 패턴(170P)의 상면이 외부로 노출될 수 있다. If the step of forming the low reflection pattern 172P is omitted, the upper surface of the light absorption pattern 170P may be exposed to the outside.

상기 광흡수 패턴(170P)이 형성된 후, 다중 반사막(120)을 덮고 있는 캡핑층(130)의 상면(130T) 중 일부가 광흡수 패턴(170P)을 통해 외부에 노출된다. After the light absorption pattern 170P is formed, a part of the upper surface 130T of the capping layer 130 covering the multiple reflection film 120 is exposed to the outside through the light absorption pattern 170P.

도 7e를 참조하면, 적어도 상기 캡핑층(130)의 상면(130T) 중 외부에 노출된 부분을 덮는 패시베이션막(740)을 형성한다. Referring to FIG. 7E, a passivation film 740 is formed to cover at least a portion of the top surface 130T of the capping layer 130 exposed to the outside.

상기 패시베이션막(740)은 상기 캡핑층(130)의 상면(130T) 중 외부에 노출된 부분 뿐만 아니라 버퍼 패턴(150P), 광흡수 패턴(170P) 및 저반사 패턴(172P) 각각의 노출된 부분들을 덮도록 형성될 수 있다. The passivation film 740 is formed on the exposed portion of each of the buffer pattern 150P, the light absorption pattern 170P and the low reflection pattern 172P as well as the exposed portion of the top surface 130T of the capping layer 130. [ As shown in FIG.

상기 패시베이션막(740)의 구성 물질 및 형성 방법에 대한 상세한 사항은 도 1에 예시한 패시베이션막(140)에 대하여 설명한 바와 대체로 동일하다. The details of the constituent material and the forming method of the passivation film 740 are substantially the same as those described for the passivation film 140 illustrated in FIG.

일부 실시예들에서, 상기 패시베이션막(740)은 도 3의 공정 P216에서와 유사한 방법으로 형성될 수 있다. 즉, 상기 패시베이션막(740)을 형성하기 위하여, 질소를 포함하는 가스 분위기 하에서, 상기 캡핑층(130)의 노출 표면을 플라즈마 처리할 수 있다. 그 결과, 활성화된 질소 라디칼이 상기 캡핑층(130)의 노출 표면으로부터 캡핑층(130) 내부로 소정 깊이만큼 침투하여, 상기 패시베이션막(740)이 형성될 수 있다. 그리고, 상기 패시베이션막(740)은 도 2a에 예시한 바와 같은 질소 농도 분포를 가질 수 있다. 상기 플라즈마 처리에 대한 보다 상세한 사항은 도 3의 공정 P216에 대한 설명을 참조한다. In some embodiments, the passivation film 740 may be formed in a similar manner as in process P216 of FIG. That is, in order to form the passivation film 740, the exposed surface of the capping layer 130 may be plasma-treated under a gas atmosphere containing nitrogen. As a result, the activated nitrogen radical penetrates a predetermined depth from the exposed surface of the capping layer 130 into the capping layer 130, so that the passivation film 740 can be formed. The passivation film 740 may have a nitrogen concentration distribution as illustrated in FIG. 2A. For details of the plasma treatment, refer to the description of the process P216 in FIG.

상기 패시베이션막(740)이 도 3의 공정 P216에서와 유사하게 질소를 포함하는 분위기에서 플라즈마 처리하여 형성되는 경우, 상기 패시베이션막(740) 중 상기 캡핑층(130)에 접하면서 상기 캡핑층(130)의 상면(130T)을 덮는 제1 부분(740A)은 캡핑층(130)을 구성하는 금속을 포함하는 금속 질화물 또는 금속 산질화물로 이루어질 수 있다. If the passivation film 740 is formed by plasma treatment in an atmosphere containing nitrogen similarly to the process P216 of FIG. 3, the capping layer 130 The first portion 740A covering the upper surface 130T of the capping layer 130 may be formed of a metal nitride or a metal oxynitride including a metal constituting the capping layer 130. [

일부 실시예들에서, 상기 패시베이션막(740) 중 상기 캡핑층(130)과 이격된 위치에서 상기 버퍼 패턴(150P), 광흡수 패턴(170P) 및 저반사 패턴(172P) 각각의 노출된 부분을 덮는 제2 부분(740B)은 상기 제1 부분(740A)의 성분과는 다른 성분을 포함할 수 있다. 예를 들면, 상기 패시베이션막(740)의 제2 부분(740B) 중 상기 버퍼 패턴(150P)을 덮고 있는 부분은 상기 버퍼 패턴(150P)을 구성하는 성분들 중 적어도 일부를 포함할 수 있다. 상기 패시베이션막(740)의 제2 부분(740B) 중 상기 광흡수 패턴(170P)을 덮고 있는 부분은 상기 광흡수 패턴(170P)을 구성하는 성분들 중 적어도 일부를 포함할 수 있다. 상기 패시베이션막(740)의 제2 부분(740B) 중 상기 저반사 패턴(172P)을 덮고 있는 부분은 상기 저반사 패턴(172P)을 구성하는 성분들 중 적어도 일부를 포함할 수 있다. In some embodiments, the exposed portions of each of the buffer pattern 150P, the light absorption pattern 170P, and the low reflection pattern 172P in the passivation film 740 at a position spaced apart from the capping layer 130 The covering second portion 740B may include a different component from the components of the first portion 740A. For example, the portion of the second portion 740B of the passivation film 740 covering the buffer pattern 150P may include at least a part of the components constituting the buffer pattern 150P. The portion of the second portion 740B of the passivation film 740 covering the light absorption pattern 170P may include at least a part of the components constituting the light absorption pattern 170P. The portion of the second portion 740B of the passivation film 740 covering the low reflection pattern 172P may include at least a part of the components constituting the low reflection pattern 172P.

다른 일부 실시예들에서, 상기 패시베이션막(740)은 도 4의 공정 P316에서와 유사한 방법으로 형성될 수 있다. 즉, 상기 패시베이션막(740)을 형성하기 위하여, 질소를 포함하는 가스 분위기 하에서, 상기 캡핑층(130)의 노출 표면을 열 처리할 수 있다. 상기 열 처리에 대한 보다 상세한 사항은 도 4의 공정 P316에 대한 설명을 참조한다. In some other embodiments, the passivation film 740 may be formed in a similar manner as in process P316 of FIG. That is, in order to form the passivation film 740, the exposed surface of the capping layer 130 may be heat-treated under a gas atmosphere containing nitrogen. For details of the heat treatment, refer to the description of the process P316 of FIG.

상기 패시베이션막(740)이 도 4의 공정 P316에서와 유사하게 질소를 포함하는 분위기에서 열 처리하여 형성되는 경우, 상기 패시베이션막(740)의 제1 부분(740A) 및 제2 부분(740B)의 구성 성분은, 상기 패시베이션막(740)을 도 3의 공정 P216에서와 같이 플라즈마 처리하여 형성하는 경우와 유사하게 될 수 있다. 그리고, 상기 패시베이션막(740)은 도 2a에 예시한 바와 같은 질소 농도 분포를 가질 수 있다. When the passivation film 740 is formed by heat treatment in an atmosphere containing nitrogen similarly to the process P316 of FIG. 4, the first portion 740A of the passivation film 740 and the second portion 740B of the passivation film 740 The constituent may be similar to the case where the passivation film 740 is formed by plasma treatment as in the process P216 in Fig. The passivation film 740 may have a nitrogen concentration distribution as illustrated in FIG. 2A.

또 다른 일부 실시예들에서, 상기 패시베이션막(740)은 도 5의 공정 P326에서와 유사한 방법으로 형성될 수 있다. 즉, 상기 패시베이션막(740)을 형성하기 위하여, 퇴적 공정을 이용할 수 있다. 상기 퇴적 공정에 대한 보다 상세한 사항은 도 5의 공정 P326에 대한 설명을 참조한다. In some other embodiments, the passivation film 740 may be formed in a similar manner as in process P326 of FIG. That is, a deposition process may be used to form the passivation film 740. For the details of the deposition process, refer to the description of the process P326 in FIG.

상기 패시베이션막(740)이 도 5의 공정 P326에서와 유사하게 퇴적 공정을 이용하여 형성되는 경우, 상기 패시베이션막(740) 중 상기 캡핑층(130)에 접하면서 상기 캡핑층(130)의 상면(130T)을 덮는 제1 부분(740A)과, 상기 캡핑층(130)으로부터 이격된 위치에서 상기 버퍼 패턴(150P), 광흡수 패턴(170P) 및 저반사 패턴(172P) 각각의 노출된 부분들을 덮는 제2 부분(740B)은 동일한 성분으로 이루어질 수 있다. 그리고, 상기 패시베이션막(740)은 도 2b에 예시한 바와 같은 질소 농도 분포를 가질 수 있다. When the passivation film 740 is formed using a deposition process similar to the process P326 of FIG. 5, the upper surface of the capping layer 130 is exposed to the capping layer 130 of the passivation film 740 A first portion 740A covering the exposed portion of the buffer pattern 150P, the light absorption pattern 170P and the low reflection pattern 172P at a position spaced apart from the capping layer 130 The second portion 740B may be made of the same components. The passivation film 740 may have a nitrogen concentration distribution as illustrated in FIG. 2B.

도 7e에 예시한 반사형 포토마스크(700)에서, 상기 패시베이션막(740)의 제1 부분(740A) 및 제2 부분(740B)은 각각 외부로 노출되어 있다. 상기 캡핑층(130)은 상기 패시베이션막(740)에 의해 덮여서, 외부로 노출되지 않는다. 상기 패시베이션막(740)은 캡핑층(130)이 외부 환경의 영향을 받아 산화되는 정도를 지연시킬 수 있을 뿐만 아니라 외부 환경, 예를 들면 포토마스크의 세정액에 노출된 환경에서 상기 세정액을 구성하는 활성 산소 라디칼이 상기 캡핑층(130)의 하부 막질, 예들 들면 다중 반사막(120)으로 침투하여 포토마스크의 기능을 열화시키는 현상을 억제할 수 있다. 특히, 반사형 포토마스크(700)가 UV 조사, 세정액, 또는 이들의 조합으로 이루어지는 세정 분위기에 복수 회 노출되어 반사형 포토마스크(700)의 노출 표면에 손상을 가하기 쉬운 세정 공정이 반복적으로 수행되는 경우에도 상기 캡핑층(130)이 패시베이션막(740)에 의해 보호되어 상기 캡핑층(130)을 통해 산소가 침투하거나 상기 캡핑층(130)이 벗겨지는 등의 문제를 방지할 수 있다. 이에 따라, 상기 다중 반사막(120)의 반사도 특성이 열화되는 등의 문제를 방지할 수 있으며 상기 반사형 포토마스크(700)의 라이프타임을 향상시킬 수 있다. In the reflective type photomask 700 illustrated in FIG. 7E, the first portion 740A and the second portion 740B of the passivation film 740 are exposed to the outside. The capping layer 130 is covered by the passivation film 740 and is not exposed to the outside. The passivation film 740 can not only degrade the extent to which the capping layer 130 is oxidized due to the influence of the external environment, but also act to constitute the cleaning liquid in an environment exposed to the external environment, for example, It is possible to suppress the phenomenon that the oxygen radical penetrates into the lower film quality of the capping layer 130, for example, the multiple reflection film 120 to deteriorate the function of the photomask. Particularly, when the reflection type photomask 700 is repeatedly exposed to a cleaning atmosphere consisting of UV irradiation, a cleaning solution, or a combination thereof, and a cleaning process which is likely to damage the exposed surface of the reflection type photomask 700 is repeatedly performed The capping layer 130 is protected by the passivation film 740 to prevent oxygen from penetrating through the capping layer 130 or peeling off the capping layer 130. [ Accordingly, it is possible to prevent the reflection characteristic of the multiple reflection film 120 from being deteriorated and the lifetime of the reflection type photomask 700 can be improved.

평가예Evaluation example 1 One

도 8a는 도 7a 내지 도 7e를 참조하여 설명한 바와 같은 방법으로 얻어진 반사형 포토마스크(700)의 XPS (X-ray Photoelectron Spectroscopy) 분석 결과를 나타내는 그래프이다.  8A is a graph showing X-ray photoelectron spectroscopy (XPS) analysis results of the reflection type photomask 700 obtained by the method described with reference to FIGS. 7A to 7E.

보다 구체적으로 설명하면, 도 8a의 평가를 위하여, 상기 반사형 포토마스크(700)에서, Ru 막으로 이루어지는 캡핑층(130)의 노출 표면을 N2 분위기하에서 플라즈마 처리하여 상기 캡핑층(130)의 표면을 덮는 패시베이션막(740)을 형성하였다. 이 때, 상기 캡핑층(130) 표면의 플라즈마 처리를 위하여, 통상의 반도체 소자 제조 공정에서 반도체 웨이퍼에 대하여 애싱 공정을 행하는 데 사용되는 플라즈마 처리 장치를 사용하였다. 상기 플라즈마 처리를 위한 반응 챔버 내의 온도를 약 100 ℃로 유지하고 상기 반응 챔버 내에 N2 가스를 약 350 sccm으로 공급하고 약 500 W의 고주파 파워를 공급하면서 상기 캡핑층(130)의 노출 표면을 약 120 초 동안 플라즈마 처리하였다. 8A, in the reflection type photomask 700, the exposed surface of the capping layer 130 made of a Ru film is subjected to plasma treatment under an N 2 atmosphere to remove the capping layer 130 Thereby forming a passivation film 740 covering the surface. At this time, for the plasma treatment of the surface of the capping layer 130, a plasma processing apparatus used for performing an ashing process on a semiconductor wafer in a general semiconductor device manufacturing process was used. While the temperature in the reaction chamber for the plasma treatment at about 100 ℃ and supplying N 2 gas at about 350 sccm, and supplying a high frequency power of about 500 W in the reaction chamber around the exposed surface of the capping layer 130 Plasma treatment was performed for 120 seconds.

캡핑층(130)의 노출 표면을 상기와 같이 플라즈마 처리하여 얻어진 반사형 포토마스크에 대하여 오존수 및 UV 조사를 조합한 세정 공정을 복수 회 거친 후, 상기 반사형 포토마스크의 표면을 XPS 분석한 결과, 도 8a의 화살표 A가 가리키는 부분에서 확인할 수 있는 바와 같이, Ru 막 표면에서 N 성분이 증가되었다. 이는 캡핑층(130)의 표면을 질소 분위기 하에서 플라즈마 처리한 결과로서 캡핑층(130)을 구성하는 Ru 막의 표면이 질화 처리되어 RuN으로 이루어지는 패시베이션막(740)이 형성된 것을 보여준다. 이와 같이 포토마스크에서 Ru 막 표면에 RuN막이 형성됨으로써, 오존수 및 UV 조사를 조합한 세정 공정에서 포토마스크가 활성 산소에 노출되어도 Ru 막이 RuN 막에 의해 보호되어 Ru 막이 산화되는 것을 억제할 수 있다. The surface of the reflective type photomask was subjected to XPS analysis after a cleaning process comprising a combination of ozone water and UV irradiation was repeatedly carried out on the reflection type photomask obtained by plasma processing the exposed surface of the capping layer 130 as described above, As can be seen from the portion indicated by the arrow A in Fig. 8A, the N component was increased at the surface of the Ru film. This shows that the surface of the capping layer 130 is subjected to a plasma treatment under a nitrogen atmosphere, and the surface of the Ru film constituting the capping layer 130 is nitrided to form a passivation film 740 made of RuN. By forming the RuN film on the surface of the Ru film in the photomask as described above, it is possible to prevent the Ru film from being oxidized by the RuN film even if the photomask is exposed to the active oxygen in the cleaning process combining the ozone water and UV irradiation.

대조예Control Example 1 One

도 8b 내지 도 8d는 각각 패시베이션막(740)의 형성 공정을 생략하고 반사형 포토마스크를 제조한 경우, 외부로 노출된 캡핑층(130)에서의 XPS 분석 결과를 나타내는 그래프이다. 8B to 8D are graphs showing XPS analysis results of the capping layer 130 exposed to the outside, in the case where the process of forming the passivation film 740 is omitted and a reflective photomask is manufactured.

특히, 도 8b 내지 도 8d의 평가를 위하여, 질소 분위기 하에서 플라즈마 처리에 의한 표면 처리 없이, Ru 막으로 이루어지는 캡핑층(130)이 외부로 노출된 포토마스크에 대하여 오존수 및 UV 조사를 조합한 세정 공정을 복수 회 거쳤다. 8B to 8D, the photomask in which the capping layer 130 made of a Ru film is exposed to the outside is subjected to a cleaning process in which ozone water and UV irradiation are combined, without surface treatment by a plasma treatment in a nitrogen atmosphere .

도 8b는 상기 포토마스크의 외부로 노출된 Ru 막으로 이루어지는 캡핑층의 표면을 XPS 분석한 결과이다. 그리고, 도 8c 및 도 8d는 상기 포토마스크의 외부로 노출된 Ru 막으로 이루어지는 캡핑층의 표면으로부터 캡핑층의 두께 방향을 따라 약 60 초 식각하여 노출된 캡핑층의 벌크(bulk) 부분을 XPS 분석한 결과이다. 8B is a result of XPS analysis of the surface of the capping layer made of the Ru film exposed to the outside of the photomask. 8C and 8D illustrate a bulk portion of the capping layer exposed by etching for about 60 seconds along the thickness direction of the capping layer from the surface of the capping layer made of the Ru film exposed to the outside of the photomask, This is a result.

도 8b 내지 도 8d로부터 알 수 있는 바와 같이, 질소 분위기 하에서 플라즈마 처리에 의한 표면 처리 없이, Ru 막으로 이루어지는 캡핑층(130)이 외부로 노출된 상태에서 오존수 및 UV 조사를 조합한 세정 공정을 복수 회 거친 결과, 도 8b의 화살표 B, 도 8c의 화살표 C 및 도 8d의 화살표 D가 각각 가리키는 부분에서 확인할 수 있는 바와 같이, Ru 막의 표면은 물론 Ru 막 하부의 다중 반사막(120)을 구성하는 Si 층까지 산화된 것을 확인할 수 있다. 8B to 8D, a cleaning process in which ozone water and UV irradiation are combined in a state in which the capping layer 130 made of a Ru film is exposed to the outside is performed without a surface treatment by a plasma treatment in a nitrogen atmosphere, 8B, 8C, and 8D, the surface of the Ru film, as well as the surface of the Si film constituting the multiple reflective film 120 under the Ru film, Layer is oxidized.

평가예Evaluation example 2 2

평가예 1에서 사용된 실시예에 따른 포토마스크와, 대조예 1에서 사용된 대조용 포토마스크 각각에 대하여, 오존수 및 UV 조사를 조합한 세정 공정을 복수 회 거친 후, 캡핑층(130)을 구성하는 Ru 막에서의 손상 발생 시점을 확인하였다. The cleaning step of combining the photomask according to the embodiment used in Evaluation Example 1 and the control photomask used in Control Example 1 was repeated several times in combination with ozone water and UV irradiation and then the capping layer 130 was constituted The damage occurred in the Ru film.

그 결과, 대조예에 따른 포토마스크에서는 7 ∼ 8 회 세정을 거친 후 캡핑층(130)을 구성하는 Ru 막이 손상되기 시작한 반면, 실시예에 따른 포토마스크에서는 25 회 세정 후 Ru 막이 손상되기 시작하여, 세정 공정에 대한 내성이 증가한 것을 확인하였다. As a result, in the photomask according to the control example, the Ru film constituting the capping layer 130 started to be damaged after 7 to 8 times cleaning, whereas in the photomask according to the embodiment, the Ru film started to be damaged after 25 times cleaning , It was confirmed that the resistance to the cleaning process was increased.

평가예Evaluation example 3 3

도 9는 도 7a 내지 도 7e를 참조하여 설명한 바와 같은 방법으로 얻어진 반사형 포토마스크(700)에 대하여 패시베이션막(740)의 노출 표면(9A)과, 패시베이션막(740)의 노출 표면으로부터 상기 패시베이션막(740)의 두께 방향을 따라 약 2 nm 식각하여 노출된 상기 패시베이션막(740)의 벌크 부분을 각각 XPS 분석한 결과를 나타낸 그래프이다. 9 shows the relationship between the exposed surface 9A of the passivation film 740 and the exposed surface of the passivation film 740 with respect to the reflective photomask 700 obtained by the method described with reference to Figs. And the bulk portion of the passivation film 740 exposed by etching about 2 nm along the thickness direction of the film 740, respectively.

도 9에는 도 8b 내지 도 8d의 평가에 사용된 대조예에 따른 포토마스크에서 외부로 노출된 캡핑층의 표면(9C)을 XPS 분석한 결과가 함께 나타나 있다. FIG. 9 also shows the results of XPS analysis of the surface 9C of the capping layer exposed to the outside in the photomask according to the control example used in the evaluation of FIGS. 8B to 8D.

도 9의 결과로부터, 포토마스크의 캡핑층을 이루는 Ru 막 표면을 질소 분위기하에서 플라즈마 처리하여 패시베이션막을 형성한 후 상기 패시베이션막의 표면 및 벌크 영역을 분석하였을 때, 각각 N 성분이 검출되었다. 특히, 벌크 영역은 표면으로부터 약 2 nm 식각한 영역까지 N 성분이 검출되어, N 성분이 Ru 막 내부로 침투한 것을 확인할 수 있다. 따라서, 도 6의 결과로부터 Ru 막으로 이루어지는 캡핑층의 표면에 RuN 박막으로 이루어지는 패시베이션막이 형성된 것을 확인할 수 있다. 또한, 패시베이션막의 표면으로부터 그 두께 방향을 따라 내부로 갈수록 N 농도가 감소되는 N 농도 프로파일을 가지는 RuN 박막이 얻어지는 것을 알 수 있다. From the results shown in FIG. 9, when the surface of the Ru film constituting the capping layer of the photomask was subjected to plasma treatment in a nitrogen atmosphere to form a passivation film, the N component was detected when the surface and the bulk region of the passivation film were analyzed. Particularly, in the bulk region, N component is detected from the surface to about 2 nm etched region, and it can be confirmed that the N component penetrates into the Ru film. Therefore, it can be seen from the results of FIG. 6 that a passivation film made of a RuN thin film is formed on the surface of the capping layer made of the Ru film. It can also be seen that a RuN thin film having an N concentration profile in which the N concentration decreases from the surface of the passivation film toward the inside along the thickness direction is obtained.

도 10은 본 발명의 기술적 사상에 의한 실시예들에 따른 집적 회로 소자의 제조 방법을 설명하기 위한 플로차트이다. FIG. 10 is a flowchart illustrating a method of manufacturing an integrated circuit device according to embodiments of the present invention. Referring to FIG.

공정 P412에서, 피쳐층 (feature layer)을 포함하는 웨이퍼를 제공한다. In step P412, a wafer is provided that includes a feature layer.

일부 실시예들에서, 상기 피쳐층은 웨이퍼상에 형성된 도전층 또는 절연층일 수 있다. 예를 들면, 상기 피쳐층은 금속, 반도체, 또는 절연 물질로 이루어질 수 있다. 다른 일부 실시예들에서, 상기 피쳐층은 상기 웨이퍼의 일부일 수 있다. In some embodiments, the feature layer may be a conductive layer or an insulating layer formed on the wafer. For example, the feature layer may comprise a metal, a semiconductor, or an insulating material. In some other embodiments, the feature layer may be part of the wafer.

공정 P414에서, 상기 피쳐층 위에 포토레지스트막을 형성한다. 상기 포토레지스트막은 극자외선 (extreme ultraviolet, EUV) (13.5 nm)용 레지스트 재료로 이루어질 수 있으나, 본 발명의 기술적 사상은 이에 한정되는 것은 아니다. 예를 들면, 상기 포토레지스트막은 F2 엑시머 레이저(157 nm)용 레지스트, ArF 엑시머 레이저(193 nm)용 레지스트, 또는 KrF 엑시머 레이저(248 nm)용 레지스트로 이루어질 수도 있다. 상기 포토레지스트막은 포지티브형 포토레지스트 또는 네가티브형 포토레지스트로 이루어질 수 있다. In step P414, a photoresist film is formed on the feature layer. The photoresist film may be formed of a resist material for extreme ultraviolet (EUV) (13.5 nm), but the technical idea of the present invention is not limited thereto. For example, the photoresist film may be composed of a resist for F 2 excimer laser (157 nm), a resist for ArF excimer laser (193 nm), or a resist for KrF excimer laser (248 nm). The photoresist film may be a positive type photoresist or a negative type photoresist.

일부 실시예들에서, 상기 포지티브형 포토레지스트로 이루어지는 포토레지스트막을 형성하기 위하여, 산에 의해 분해 가능한 기(acid-labile group)를 가지는 감광성 폴리머와, 잠재적 산 (potential acid)과, 용매를 포함하는 포토레지스트 조성물을 상기 피쳐층 위에 스핀 코팅할 수 있다. In some embodiments, in order to form a photoresist film of the positive type photoresist, a photosensitive polymer having an acid-labile group, a potential acid, and a solvent, A photoresist composition may be spin coated over the feature layer.

일부 실시예들에서, 상기 감광성 폴리머는 (메트)아크릴레이트계 폴리머를 포함할 수 있다. 상기 (메트)아크릴레이트계 폴리머는 지방족 (메트)아크릴레이트계 폴리머일 수 있다. 예를 들면, 상기 감광성 폴리머는 폴리메틸메타크릴레이트 (polymethylmethacrylate, PMMA), 폴리(t-부틸메타크릴레이트) (poly(t-butylmethacrylate)), 폴리(메타크릴산)(poly(methacrylic acid)), 폴리(노보닐메타크릴레이트) (poly(norbornylmethacrylate)), 상기 (메트)아크릴레이트계 폴리머들의 반복 단위들의 이원 또는 삼원 공중합체, 또는 이들의 혼합물일 수 있다. 또한, 위에서 예시한 감광성 폴리머들은 산에 의하여 분해 가능한(acid-labile) 다양한 보호기 (protecting group)로 치환되어 있을 수 있다. 상기 보호기는 t-부톡시카르보닐 (tertbutoxycarbonyl, t-BOC), 테트라하이드로피라닐 (tetrahydropyranyl), 트리메틸실릴 (trimethylsilyl), 페녹시에틸 (phenoxyethyl), 시클로헥세닐 (cyclohexenyl), t-부톡시카르보닐메틸 (tert-butoxycarbonylmethyl), t-부틸(tert-butyl), 아다만틸(adamantyl), 또는 노보닐(norbornyl) 기(group)로 이루어질 수 있다. 그러나, 본 발명의 기술적 사상은 상기 예시된 바에 한정되는 것은 아니다. In some embodiments, the photosensitive polymer may comprise a (meth) acrylate-based polymer. The (meth) acrylate-based polymer may be an aliphatic (meth) acrylate-based polymer. For example, the photosensitive polymer may be selected from the group consisting of polymethylmethacrylate (PMMA), poly (t-butylmethacrylate), poly (methacrylic acid) , Poly (norbornylmethacrylate), a binary or ternary copolymer of repeating units of the (meth) acrylate-based polymers, or a mixture thereof. In addition, the above-described photosensitive polymers may be substituted with various acid-labile protecting groups. The protecting group may be selected from the group consisting of t-butoxycarbonyl (t-BOC), tetrahydropyranyl, trimethylsilyl, phenoxyethyl, cyclohexenyl, t-butoxycar Tert-butoxycarbonylmethyl, tert-butyl, adamantyl, or norbornyl groups. However, the technical idea of the present invention is not limited to the above exemplified.

일부 실시예들에서, 상기 잠재적 산은 PAG (photoacid generator), TAG (thermoacid generator), 또는 이들의 조합으로 이루어질 수 있다. 일부 실시예들에서, 상기 PAG는 EUV 광 (1 ∼ 31 nm), F2 엑시머 레이저 (157 nm), ArF 엑시머 레이저 (193 nm), 및 KrF 엑시머 레이저 (248 nm) 중에서 선택되는 어느 하나의 광에 노광되면 산을 발생시키는 물질로 이루어질 수 있다. 상기 PAG는 오늄염, 할로겐 화합물, 니트로벤질 에스테르류, 알킬술포네이트류, 디아조나프토퀴논류, 이미노술포네이트류, 디술폰류, 디아조메탄류, 술포닐옥시케톤류 등으로 이루어질 수 있다. In some embodiments, the potential acid may be a PAG (photoacid generator), a TAG (thermoacid generator), or a combination thereof. In some embodiments, the PAG is a light source selected from any one of EUV light (1 to 31 nm), F2 excimer laser (157 nm), ArF excimer laser (193 nm), and KrF excimer laser And may be made of a material which generates an acid upon exposure. The PAG may be composed of an onium salt, a halogen compound, a nitrobenzyl ester, an alkylsulfonate, a diazonaphthoquinone, an iminosulfonate, a disulfone, a diazomethane, a sulfonyloxyketone and the like.

공정 P416에서, 본 발명의 기술적 사상에 의한 실시예들에 따른 포토마스크를 사용하여 반사형 노광계에서 공정 P414에서 형성한 포토레지스트막을 노광한다. 예를 들면, 상기 포토마스크로서, 도 1에 예시한 반사형 포토마스크 블랭크(100)로부터 얻어진 반사형 포토마스크, 및 도 6e 및 도 7e에 예시한 반사형 포토마스크(600, 700) 중 적어도 하나를 사용할 수 있다. In Step P416, the photoresist film formed in Step P414 is exposed in the reflective exposure system using the photomask according to the embodiments of the present invention. For example, as the photomask, a reflection type photomask obtained from the reflection type photomask blank 100 shown in Fig. 1 and at least one of the reflection type photomasks 600 and 700 exemplified in Figs. 6E and 7E Can be used.

상기 노광 공정에서, 포토마스크(600, 700)의 패시베이션막(140, 740) 및 캡핑층(130)을 거쳐 다중 반사막(120)으로부터 반사되는 EUV 광을 이용하여 상기 포토레지스트막을 노광할 수 있다. The photoresist film may be exposed using the EUV light reflected from the multiple reflective film 120 through the passivation films 140 and 740 of the photomasks 600 and 700 and the capping layer 130 in the exposure process.

공정 P418에서, 상기 노광된 포토레지스트막을 현상하여 포토레지스트 패턴을 형성한다. In Step P418, the exposed photoresist film is developed to form a photoresist pattern.

공정 P420에서, 상기 포토레지스트 패턴을 이용하여 상기 피쳐층을 가공한다. In step P420, the feature layer is processed using the photoresist pattern.

일부 실시예들에서, 공정 P420에 따라 상기 피쳐층을 가공하기 위하여, 상기 포토레지스트 패턴을 식각 마스크로 이용하여 상기 피쳐층을 식각하여 미세한 피쳐 패턴을 형성할 수 있다. In some embodiments, to process the feature layer according to process P420, the feature layer may be etched using the photoresist pattern as an etch mask to form a fine feature pattern.

다른 일부 실시예들에서, 공정 P420에 따라 상기 피쳐층을 가공하기 위하여, 상기 포토레지스트 패턴을 이온주입 마스크로 이용하여 상기 피쳐층에 불순물 이온을 주입할 수 있다. In some other embodiments, impurity ions can be implanted into the feature layer using the photoresist pattern as an ion implantation mask to process the feature layer according to process P420.

또 다른 일부 실시예들에서, 공정 P420에 따라 상기 피쳐층을 가공하기 위하여, 공정 P418에서 형성한 포토레지스트 패턴을 통해 노출되는 상기 피쳐층 위에 별도의 프로세스막 (process film)을 형성할 수 있다. 상기 프로세스막은 도전막, 절연막, 반도체막, 또는 이들의 조합으로 이루어질 수 있다. In some other embodiments, a separate process film may be formed over the feature layer exposed through the photoresist pattern formed in process P418 to process the feature layer in accordance with process P420. The process film may be a conductive film, an insulating film, a semiconductor film, or a combination thereof.

도 11은 본 발명의 기술적 사상에 의한 실시예들에 따른 반사형 포토마스크를 사용하여 제조된 집적 회로 소자를 포함하는 메모리 카드(1200)의 블록 다이어그램이다. 11 is a block diagram of a memory card 1200 including integrated circuit elements fabricated using a reflective photomask according to embodiments of the present invention.

메모리 카드(1200)는 명령 및 어드레스 신호 C/A를 생성하는 메모리 콘트롤러(1220)와, 메모리 모듈(1210), 예를 들면 1 개 또는 복수의 플래시 메모리 소자를 포함하는 플래시 메모리를 포함한다. 메모리 콘트롤러(1220)는 호스트에 명령 및 어드레스 신호를 전송하거나 이들 신호를 호스트로부터 수신하는 호스트 인터페이스(1223)와, 명령 및 어드레스 신호를 다시 메모리 모듈(1210)에 전송하거나 이들 신호를 메모리 모듈(1210)로부터 수신하는 메모리 인터페이스(1225)를 포함한다. 호스트 인터페이스(1223), 콘트롤러(1224), 및 메모리 인터페이스(1225)는 공통 버스 (common bus)를 통해 SRAM과 같은 콘트롤러 메모리(1221) 및 CPU와 같은 프로세서(1222)와 통신한다. Memory card 1200 includes a memory controller 1220 that generates a command and address signal C / A, and a memory module 1210, e.g., a flash memory that includes one or more flash memory elements. The memory controller 1220 includes a host interface 1223 that transmits and receives command and address signals to and from the host and a command and address signal to the memory module 1210, (Not shown). The host interface 1223, the controller 1224 and the memory interface 1225 communicate with a processor 1222, such as a CPU and a controller memory 1221, such as SRAM, via a common bus.

메모리 모듈(1210)은 메모리 콘트롤러(1220)로부터 명령 및 어드레스 신호를 수신하고, 응답으로서 메모리 모듈(1210)상의 메모리 소자중 적어도 하나에 데이터를 저장하고 상기 메모리 소자중 적어도 하나로부터 데이터를 검색한다. 각 메모리 소자는 복수의 어드레스 가능한 메모리 셀과, 명령 및 어드레스 신호를 수신하고 프로그래밍 및 독출 동작 중에 어드레스 가능한 메모리 셀 중 적어도 하나를 억세스하기 위하여 행 신호 및 열 신호를 생성하는 디코더를 포함한다. Memory module 1210 receives command and address signals from memory controller 1220 and as a response stores data in at least one of the memory elements on memory module 1210 and retrieves data from at least one of the memory elements. Each memory element includes a plurality of addressable memory cells and a decoder for receiving the command and address signals and generating row and column signals to access at least one of the addressable memory cells during a programming and a reading operation.

메모리 콘트롤러(1220)를 포함하는 메모리 카드(1200)의 각 구성품들, 메모리 콘트롤러(1220)에 포함되는 전자 소자들 (1221, 1222, 1223, 1224, 1225), 및 메모리 모듈(1210) 중 적어도 하나는 본 발명의 기술적 사상에 의한 실시예들에 따른 반사형 포토마스크, 예를 들면 도 1에 예시한 반사형 포토마스크 블랭크(100)로부터 얻어진 반사형 포토마스크, 및 도 6e 및 도 7e에 예시한 반사형 포토마스크(600, 700) 중 적어도 하나를 사용하여 제조된 집적회로 소자를 포함할 수 있다. Each component of the memory card 1200 including the memory controller 1220, at least one of the electronic components 1221, 1222, 1223, 1224, 1225 included in the memory controller 1220, and the memory module 1210 For example, a reflection type photomask obtained from the reflection type photomask blank 100 shown in FIG. 1, and a reflection type photomask obtained from the reflection type photomask shown in FIG. 6E and FIG. 7E according to embodiments of the technical idea of the present invention And an integrated circuit device fabricated using at least one of the reflective photomasks 600, 700.

도 12는 본 발명의 기술적 사상에 의한 실시예들에 따른 반사형 포토마스크를 사용하여 제조된 집적 회로 소자를 포함하는 메모리 카드(1310)을 채용하는 메모리 시스템(1300)의 블록 다이어그램이다. 12 is a block diagram of a memory system 1300 employing a memory card 1310 including integrated circuit devices fabricated using reflective photomasks according to embodiments of the present invention.

메모리 시스템(1300)은 공통 버스(1360)를 통해 통신하는 CPU와 같은 프로세서(1330), 랜덤 억세스 메모리(1340), 유저 인터페이스(1350) 및 모뎀(1320)을 포함할 수 있다. 상기 각 소자들은 버스(1360)를 통해 메모리 카드(1310)에 신호를 전송하고 메모리 카드(1310)로부터 신호를 수신한다. 메모리 카드(1310)와 함께 프로세서(1330), 랜덤 억세스 메모리(1340), 유저 인터페이스(1350) 및 모뎀(1320)을 포함하는 메모리 시스템(1300)은 본 발명의 기술적 사상에 의한 실시예들에 따른 반사형 포토마스크, 예를 들면 도 1에 예시한 반사형 포토마스크 블랭크(100)로부터 얻어진 반사형 포토마스크, 및 도 6e 및 도 7e에 예시한 반사형 포토마스크(600, 700) 중 적어도 하나를 사용하여 제조된 집적회로 소자를 포함할 수 있다. The memory system 1300 may include a processor 1330 such as a CPU that communicates over a common bus 1360, a random access memory 1340, a user interface 1350, and a modem 1320. Each of the devices transmits a signal to and receives a signal from memory card 1310 via bus 1360. A memory system 1300 including a processor 1330, a random access memory 1340, a user interface 1350 and a modem 1320 in combination with a memory card 1310 may be implemented in accordance with embodiments of the inventive concept A reflection type photomask, for example, a reflection type photomask obtained from the reflection type photomask blank 100 shown in FIG. 1, and at least one of the reflection type photomasks 600 and 700 exemplified in FIGS. 6E and 7E ≪ RTI ID = 0.0 > and / or < / RTI >

메모리 시스템(1300)은 다양한 전자 응용 분야에 응용될 수 있다. 예를 들면, SSD (solid state drives), CIS (CMOS image sensors) 및 컴퓨터 응용 칩 세트 분야에 응용될 수 있다. The memory system 1300 can be applied to various electronic applications. For example, it can be applied to solid state drives (SSD), CMOS image sensors (CIS), and computer application chipset.

본 명세서에서 개시된 메모리 시스템들 및 소자들은 예를 들면, BGA (ball grid arrays), CSP (chip scale packages), PLCC (plastic leaded chip carrier), PDIP (plastic dual in-line package), MCP (multi-chip package), WFP (wafer-level fabricated package), WSP (wafer-level processed stock package) 등을 포함하는 다양한 소자 패키지 형태들 중 임의의 형태로 패키지 될 수 있으며, 상기 예시된 바에 한정되는 것은 아니다.The memory systems and devices disclosed herein can be used in various applications including, for example, ball grid arrays (BGA), chip scale packages (CSP), plastic leaded chip carriers (PLCC), plastic dual in- chip package, a wafer-level fabricated package (WFP), a wafer-level processed stock package (WSP), and the like, and the present invention is not limited thereto.

이상, 본 발명을 바람직한 실시예를 들어 상세하게 설명하였으나, 본 발명은 상기 실시예에 한정되지 않고, 본 발명의 기술적 사상 및 범위 내에서 당 분야에서 통상의 지식을 가진 자에 의하여 여러가지 변형 및 변경이 가능하다. While the present invention has been particularly shown and described with reference to exemplary embodiments thereof, it is to be understood that the invention is not limited to the disclosed exemplary embodiments, but, on the contrary, This is possible.

100: 반사형 포토마스크 블랭크, 110: 포토마스크 기판, 120: 다중 반사막, 130: 캡핑층, 140: 패시베이션막, 150: 버퍼층, 170: 광흡수층, 172: 저반사층, 600, 700: 반사형 포토마스크. The present invention relates to a reflective type photomask blank and a method of fabricating the same and a reflective type photomask blank using the same. Mask.

Claims (10)

포토마스크 기판상에 형성된 다중 반사막과,
상기 다중 반사막 위에 형성되고 전이 금속을 포함하는 캡핑층 (capping layer)과,
상기 캡핑층 중 상기 다중 반사막의 반대측에서 상기 캡핑층의 적어도 일부에 접하고, 전이 금속 및 질소 원자를 포함하는 패시베이션막 (passivation film)과,
상기 캡핑층 위에 형성된 광흡수층을 포함하는 것을 특징으로 하는 반사형 포토마스크 블랭크.
A multiple reflection film formed on the photomask substrate,
A capping layer formed on the reflective film and including a transition metal;
A passivation film which is in contact with at least a part of the capping layer on the opposite side of the multiple reflection film and includes a transition metal and nitrogen atoms;
And a light absorbing layer formed on the capping layer.
제1항에 있어서,
상기 패시베이션막의 적어도 일부는 Ru 및 N을 포함하는 금속 질화물로 이루어지는 것을 특징으로 하는 반사형 포토마스크 블랭크.
The method according to claim 1,
Wherein at least a part of the passivation film is made of a metal nitride containing Ru and N. < RTI ID = 0.0 > 18. < / RTI >
제1항에 있어서,
상기 패시베이션막은 상기 패시베이션막 중 상기 캡핑층의 반대측 표면으로부터 상기 패시베이션막의 두께 방향을 따라 상기 캡핑층에 가까워질수록 질소 원자의 함량이 점차 작아지는 질소 농도 분포를 가지는 것을 특징으로 하는 반사형 포토마스크 블랭크.
The method according to claim 1,
Wherein the passivation film has a nitrogen concentration distribution in which the content of nitrogen atoms gradually decreases as the capping layer approaches the capping layer along the thickness direction of the passivation film from the surface of the passivation film opposite to the capping layer. .
포토마스크 기판상에 형성된 다중 반사막과,
상기 다중 반사막 위에 형성되고 전이 금속을 포함하는 캡핑층 (capping layer)과,
상기 캡핑층 중 상기 다중 반사막의 반대측에서 상기 캡핑층의 적어도 일부에 접하고, 전이 금속 및 질소 원자를 포함하는 패시베이션막 (passivation film)과,
상기 캡핑층의 일부를 덮는 광흡수 패턴을 포함하는 것을 특징으로 하는 반사형 포토마스크.
A multiple reflection film formed on the photomask substrate,
A capping layer formed on the reflective film and including a transition metal;
A passivation film which is in contact with at least a part of the capping layer on the opposite side of the multiple reflection film and includes a transition metal and nitrogen atoms;
And a light absorption pattern covering a part of the capping layer.
제4항에 있어서,
상기 패시베이션막은 외부로 노출되는 제1 부분과, 상기 캡핑층과 상기 광흡수 패턴과의 사이에 개재되는 제2 부분을 포함하고,
상기 제1 부분 및 상기 제2 부분은 각각 상기 캡핑층과 접해 있는 것을 특징으로 하는 반사형 포토마스크.
5. The method of claim 4,
Wherein the passivation film includes a first portion exposed to the outside and a second portion interposed between the capping layer and the light absorption pattern,
Wherein the first portion and the second portion are in contact with the capping layer, respectively.
제4항에 있어서,
상기 패시베이션막은 상기 캡핑층에 접하는 제1 부분과, 상기 캡핑층과 이격된 위치에서 상기 광흡수 패턴을 덮는 제2 부분을 포함하는 것을 특징으로 하는 반사형 포토마스크.
5. The method of claim 4,
Wherein the passivation film includes a first portion that is in contact with the capping layer and a second portion that covers the light absorbing pattern at a position spaced apart from the capping layer.
제6항에 있어서,
상기 패시베이션막의 상기 제1 부분 및 상기 제2 부분은 각각 외부로 노출되어 있는 것을 특징으로 하는 반사형 포토마스크.
The method according to claim 6,
Wherein the first portion and the second portion of the passivation film are each exposed to the outside.
제4항에 있어서,
상기 패시베이션막은 상기 캡핑층에 접하는 제1 부분과, 상기 캡핑층과 이격된 위치에서 상기 광흡수 패턴을 덮는 제2 부분을 포함하고,
상기 제1 부분 및 상기 제2 부분은 서로 다른 성분으로 이루어지는 것을 특징으로 하는 반사형 포토마스크.
5. The method of claim 4,
Wherein the passivation film comprises a first portion in contact with the capping layer and a second portion overlying the light absorption pattern at a location spaced apart from the capping layer,
Wherein the first portion and the second portion are made of different components.
제4항에 있어서,
상기 패시베이션막은 상기 캡핑층에 접하는 제1 부분과, 상기 캡핑층과 이격된 위치에서 상기 광흡수 패턴을 덮는 제2 부분을 포함하고,
상기 제1 부분 및 상기 제2 부분은 서로 동일한 성분으로 이루어지는 것을 특징으로 하는 반사형 포토마스크.
5. The method of claim 4,
Wherein the passivation film comprises a first portion in contact with the capping layer and a second portion overlying the light absorption pattern at a location spaced apart from the capping layer,
Wherein the first portion and the second portion are made of the same component.
제4항에 따른 포토마스크를 사용하여 제조된 집적 회로 소자.
An integrated circuit device fabricated using the photomask according to claim 4.
KR1020130121501A 2013-08-01 2013-10-11 Reflective photomask blank, reflective photomask and integrated circuit device manufactured by using photomask KR102171266B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/336,643 US9341941B2 (en) 2013-08-01 2014-07-21 Reflective photomask blank, reflective photomask, and integrated circuit device manufactured by using reflective photomask

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020130091700 2013-08-01
KR20130091700 2013-08-01

Publications (2)

Publication Number Publication Date
KR20150016056A true KR20150016056A (en) 2015-02-11
KR102171266B1 KR102171266B1 (en) 2020-10-28

Family

ID=52573172

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130121501A KR102171266B1 (en) 2013-08-01 2013-10-11 Reflective photomask blank, reflective photomask and integrated circuit device manufactured by using photomask

Country Status (1)

Country Link
KR (1) KR102171266B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023090867A1 (en) * 2021-11-17 2023-05-25 주식회사 인포비온 Reflective type blankmask and method for manufacturing same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080104267A (en) * 2006-03-30 2008-12-02 도판 인사츠 가부시키가이샤 Reflective photomask blank, process for producing the same, reflective photomask and process for producing semiconductor device
KR20100035559A (en) * 2008-09-26 2010-04-05 주식회사 에스앤에스텍 Blank mask for euv and photomask manufactured thereof
KR20110120785A (en) * 2010-04-29 2011-11-04 주식회사 에스앤에스텍 Reflective type euv blankmask, photomask and its manufacturing method
WO2013031863A1 (en) * 2011-09-01 2013-03-07 旭硝子株式会社 Reflective mask blank, method for manufacturing reflective mask blank and method for quality control for reflective mask blank

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080104267A (en) * 2006-03-30 2008-12-02 도판 인사츠 가부시키가이샤 Reflective photomask blank, process for producing the same, reflective photomask and process for producing semiconductor device
KR20100035559A (en) * 2008-09-26 2010-04-05 주식회사 에스앤에스텍 Blank mask for euv and photomask manufactured thereof
KR20110120785A (en) * 2010-04-29 2011-11-04 주식회사 에스앤에스텍 Reflective type euv blankmask, photomask and its manufacturing method
WO2013031863A1 (en) * 2011-09-01 2013-03-07 旭硝子株式会社 Reflective mask blank, method for manufacturing reflective mask blank and method for quality control for reflective mask blank

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023090867A1 (en) * 2021-11-17 2023-05-25 주식회사 인포비온 Reflective type blankmask and method for manufacturing same

Also Published As

Publication number Publication date
KR102171266B1 (en) 2020-10-28

Similar Documents

Publication Publication Date Title
KR102109129B1 (en) Reflective photomask blank and reflective photomask
CN106663601B (en) Extreme ultraviolet covering layer and manufacturing and photoetching method thereof
US11921434B2 (en) Mask cleaning
TWI464529B (en) EUV microfilm with anti-reflective substrate, EUV microsurgical reflective mask substrate, EUV microsurgical reflective mask and manufacturing method of the reflective substrate
US8679707B2 (en) Method of fabricating a lithography mask
US8927179B2 (en) Optical member for EUV lithography, and process for production of reflective layer-equipped substrate
TWI663467B (en) Halftone phase shift mask blank, halftone phase shift mask, and pattern exposure method
EP1777588B1 (en) Fabrication method of photomask-blank
CN110389500B (en) Method for manufacturing semiconductor device
EP3575870B1 (en) Photomask blank, method of manufacturing photomask, and photomask
JP4589918B2 (en) Reflective semiconductor mask for EUV lithography, method for producing the same, and photoresist patterning method using the semiconductor mask
US9341941B2 (en) Reflective photomask blank, reflective photomask, and integrated circuit device manufactured by using reflective photomask
KR20130034624A (en) Mask blank, transfer mask, manufacturing method of transfer mask, and manufacturing method of semiconductor device
JP2011176127A (en) Reflection type mask and method of manufacturing the same
KR20070114025A (en) Blank mask and manufacturing thereof
KR102171266B1 (en) Reflective photomask blank, reflective photomask and integrated circuit device manufactured by using photomask
JP2005332972A (en) Optical element, optical apparatus, and manufacturing method of semiconductor device
US11448956B2 (en) EUV mask
KR102296739B1 (en) Method of manufacturing integrated circuit device using photomask cleaning composition
JP5381167B2 (en) Reflective photomask blank and reflective photomask
US20170052442A1 (en) Lithography Mask and Fabricating the Same
TW202105045A (en) Photomask blank, method for producing photomask, and photomask
Huh et al. Lifetime of EUVL masks as a function of degree of carbon contamination and capping materials
KR20180126810A (en) Blankmask, Photomask and method for fabricating of the same
JP7002700B2 (en) Reflective mask blank, reflective mask, and method for manufacturing reflective mask and semiconductor device.

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant