KR20140146874A - Semiconductor device and method for fabricating the same - Google Patents

Semiconductor device and method for fabricating the same Download PDF

Info

Publication number
KR20140146874A
KR20140146874A KR1020130069736A KR20130069736A KR20140146874A KR 20140146874 A KR20140146874 A KR 20140146874A KR 1020130069736 A KR1020130069736 A KR 1020130069736A KR 20130069736 A KR20130069736 A KR 20130069736A KR 20140146874 A KR20140146874 A KR 20140146874A
Authority
KR
South Korea
Prior art keywords
pattern
active pattern
spacer
sidewall
gate
Prior art date
Application number
KR1020130069736A
Other languages
Korean (ko)
Other versions
KR102065496B1 (en
Inventor
김성민
차동호
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020130069736A priority Critical patent/KR102065496B1/en
Priority to US14/262,937 priority patent/US9276087B2/en
Publication of KR20140146874A publication Critical patent/KR20140146874A/en
Priority to US15/003,288 priority patent/US9431522B2/en
Application granted granted Critical
Publication of KR102065496B1 publication Critical patent/KR102065496B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

Provided are a semiconductor device and a method for fabricating the same. A semiconductor device includes an active pattern which is extended from a semiconductor substrate, includes a source and a drain region, and a channel region between them in a point of a horizontal view and a local insulating pattern locally formed between the channel region of the active pattern and the semiconductor substrate in a point of vertical view, a gate electrode which crosses the channel region of the active pattern and the sidewall of the local insulating pattern, a sidewall spacer of both sides of the gate electrode, and a protection spacer which is interposed between the both sidewalls of the gate electrode and the sidewall spacer and is made of a material which has etch selectivity to the sidewall spacer.

Description

반도체 장치 및 그 제조 방법{Semiconductor device and method for fabricating the same}TECHNICAL FIELD [0001] The present invention relates to a semiconductor device and a manufacturing method thereof,

본 발명은 반도체 장치 및 그 제조 방법에 관한 것으로서, 보다 상세하게는 핀 전계 효과 트랜지스터 및 그 제조 방법에 관한 것이다.Field of the Invention [0002] The present invention relates to a semiconductor device and a manufacturing method thereof, and more particularly, to a fin field effect transistor and a manufacturing method thereof.

반도체 장치는 모스 전계 효과 트랜지스터들(MOS(Metal Oxide Semiconductor) FET)로 구성된 집적회로를 포함한다. 반도체 장치의 크기 및 디자인 룰(Design rule)이 점차 축소됨에 따라, 모스 전계 효과 트랜지스터들의 크기 축소(scale down)도 점점 가속화되고 있다. 모스 전계 효과 트랜지스터들의 크기 축소는 숏 채널 효과(short channel effect) 등을 유발할 수 있으며, 이로 인해 반도체 장치의 동작 특성이 저하될 수 있다. 이에 따라, 반도체 장치의 고집적화에 따른 한계를 극복하면서 보다 우수한 성능을 반도체 장치를 형성하기 위한 다양한 방법이 연구되고 있다.The semiconductor device includes an integrated circuit composed of MOS (Metal Oxide Semiconductor) FETs. As the size and design rules of semiconductor devices are gradually shrinking, the scale down of MOS field effect transistors is also accelerating. The size reduction of the MOS field effect transistors may cause a short channel effect and the like, which may degrade the operation characteristics of the semiconductor device. Accordingly, various methods for forming a semiconductor device with superior performance while overcoming the limitations of high integration of the semiconductor device have been researched.

본원 발명이 해결하고자 하는 과제는 전기적 특성이 향상된 반도체 장치를 제공하는데 있다.SUMMARY OF THE INVENTION It is an object of the present invention to provide a semiconductor device with improved electrical characteristics.

본원 발명이 해결하고자 하는 다른 과제는 전기적 특성이 향상된 반도체 장치의 제조 방법을 제공하는데 있다. Another object of the present invention is to provide a method of manufacturing a semiconductor device having improved electrical characteristics.

본 발명이 해결하고자 하는 과제는 이상에서 언급한 과제에 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.The problems to be solved by the present invention are not limited to the above-mentioned problems, and other problems not mentioned can be clearly understood by those skilled in the art from the following description.

상기 해결하고자 하는 과제를 달성하기 위하여 본 발명의 일 실시예에 따른 반도체 장치는 반도체 기판으로부터 연장된 활성 패턴으로서, 상기 활성 패턴은, 수평적 관점에서, 소오스 및 드레인 영역들과 이들 사이의 채널 영역을 포함하는 것, 수직적 관점에서, 상기 활성 패턴의 상기 채널 영역과 상기 반도체 기판 사이에 국소적으로 형성된 국소 절연 패턴, 상기 국소 절연 패턴의 측벽 및 상기 활성 패턴의 상기 채널 영역을 가로지르는 게이트 전극, 상기 게이트 전극 양측의 측벽 스페이서, 및 상기 게이트 전극의 양측벽들과 상기 측벽 스페이서 사이에 개재되며, 상기 측벽 스페이서에 대해 식각 선택성을 갖는 물질로 형성된 보호 스페이서를 포함한다. According to an aspect of the present invention, there is provided an active pattern extending from a semiconductor substrate, the active pattern including, in a horizontal view, source and drain regions and a channel region therebetween, A vertical insulated pattern locally formed between the channel region of the active pattern and the semiconductor substrate, a gate electrode across the sidewall of the localized insulated pattern and the channel region of the active pattern, A sidewall spacer on either side of the gate electrode and a protection spacer interposed between the sidewall spacers and the sidewall spacers of the gate electrode and formed of a material having etch selectivity to the sidewall spacers.

일 실시예에 따르면, 상기 보호 스페이서는 상기 게이트 전극의 양측벽들과 직접 접촉할 수 있다.According to one embodiment, the protective spacers may be in direct contact with both sidewalls of the gate electrode.

일 실시예에 따르면, 상기 보호 스페이서는 금속 산화물로 이루어질 수 있다. According to one embodiment, the protective spacer may be made of a metal oxide.

일 실시예에 따르면, 상기 게이트 전극의 최하부면은 상기 국소 절연 패턴의 상부면 아래에 위치할 수 있다.According to one embodiment, the lowermost surface of the gate electrode may be located below the top surface of the localized insulation pattern.

일 실시예에 따르면, 상기 국소 절연 패턴의 폭은 상기 활성 패턴의 폭과 실질적으로 동일하거나 클 수 있다.According to one embodiment, the width of the localized insulation pattern may be substantially equal to or greater than the width of the active pattern.

일 실시예에 따르면, 상기 국소 절연 패턴은, 수평적 관점에서, 상기 소오스 및 드레인 전극들 사이에 배치될 수 있다. According to one embodiment, the local insulation pattern may be disposed between the source and drain electrodes in a horizontal view.

상기 해결하고자 하는 과제를 달성하기 위하여 본 발명의 일 실시예에 따른 반도체 장치의 제조 방법은, 반도체 기판을 패터닝하여 활성 패턴을 형성하는 것, 상기 활성 패턴의 상부 측벽을 덮는 산화 방지 스페이서를 형성하는 것, 상기 활성 패턴 및 상기 산화 방지 스페이서를 가로지르는 더미 게이트 패턴을 형성하는 것, 상기 더미 게이트 패턴의 양측벽들을 덮으며, 상기 산화 방지 스페이서에 대해 식각 선택성을 갖는 물질로 이루어진 보호 스페이서들을 형성하는 것, 상기 더미 게이트 패턴을 제거하여, 상기 보호 스페이서들 사이에서 상기 활성 패턴의 하부 측벽을 노출시키는 게이트 영역을 형성하는 것, 상기 게이트 영역에 노출된 상기 활성 패턴의 하부 측벽을 산화시켜 상기 활성 패턴 내에 국소 절연 패턴을 형성하는 것, 및 상기 게이트 영역 내에 게이트 전극을 형성하는 것을 포함한다.According to an aspect of the present invention, there is provided a method of manufacturing a semiconductor device, comprising: patterning a semiconductor substrate to form an active pattern; forming an anti-oxidation spacer covering an upper sidewall of the active pattern; Forming an active pattern and a dummy gate pattern across the antioxidant spacer; covering both sidewalls of the dummy gate pattern; forming protective spacers made of a material having etch selectivity for the antioxidant spacer Removing the dummy gate pattern to form a gate region between the protection spacers exposing a bottom sidewall of the active pattern; oxidizing the bottom sidewall of the active pattern exposed in the gate region, Forming a localized insulation pattern in the gate insulation layer, In the includes forming a gate electrode.

일 실시예에 따르면, 상기 더미 게이트 패턴을 형성하기 전에, 상기 산화 방지 스페이서의 바닥면과 이격되어 상기 활성 패턴의 하부 측벽 일부를 노출시키는 소자 분리 패턴을 형성하는 것을 더 포함한다.According to one embodiment, before forming the dummy gate pattern, forming a device isolation pattern that exposes a portion of a lower sidewall of the active pattern away from a bottom surface of the anti-oxidation spacer.

일 실시예에 따르면, 상기 더미 게이트 패턴은 상기 소자 분리 패턴과 상기 산화 방지 스페이서 사이에서 상기 활성 패턴과 직접 접촉할 수 있다. According to one embodiment, the dummy gate pattern may directly contact the active pattern between the device isolation pattern and the anti-oxidation spacer.

일 실시예에 따르면, 상기 활성 패턴을 형성하는 것은, 상기 반도체 기판 상에 상기 활성 패턴을 정의하는 마스크 패턴을 형성하는 것, 상기 마스크 패턴을 식각 마스크로 이용하여 상기 반도체 기판을 식각함으로써 트렌치들을 형성하는 것, 및 상기 트렌치들 내에 상기 활성 패턴의 상부 측벽을 노출시키는 소자 분리막을 형성하는 것을 포함하되, 상기 산화 방지 스페이서는 상기 소자 분리막 상에 형성될 수 있다. According to one embodiment, forming the active pattern may include forming a mask pattern defining the active pattern on the semiconductor substrate, etching the semiconductor substrate using the mask pattern as an etch mask to form trenches And forming an element isolation film exposing an upper sidewall of the active pattern in the trenches, wherein the anti-oxidation spacer can be formed on the element isolation film.

일 실시예에 따르면, 상기 산화 방지 스페이서를 형성한 후에, 상기 소자 분리막의 상부면을 리세스하여 상기 활성 패턴의 하부 측벽을 노출시키는 소자 분리 패턴을 형성하는 것을 더 포함한다. According to one embodiment, after forming the antioxidant spacer, the method further comprises forming an element isolation pattern that recesses an upper surface of the isolation layer to expose a lower sidewall of the active pattern.

일 실시예에 따르면, 상기 게이트 전극은 상기 국소 절연 패턴의 측벽과 직접 접촉할 수 있다. According to one embodiment, the gate electrode may be in direct contact with the sidewalls of the localized insulation pattern.

일 실시예에 따르면, 상기 게이트 전극을 형성하는 것은, 상기 산화 방지 스페이서를 제거하여 상기 활성 패턴의 상부 부분을 노출시키는 것, 및 상기 활성 패턴의 상부가 노출된 상기 게이트 영역 내에 상기 보호 스페이서들과 직접 접촉하는 도전막을 채우는 것을 포함한다. According to one embodiment, forming the gate electrode comprises exposing an upper portion of the active pattern by removing the antioxidant spacer, and exposing an upper portion of the active pattern to the protective spacers And filling the conductive film in direct contact.

일 실시예에 따르면, 상기 게이트 영역을 형성하기 전에, 상기 보호 스페이서의 측벽을 덮는 측벽 스페이서를 형성하는 것을 더 포함하되, 상기 측벽 스페이서는 상기 보호 스페이서와 다른 절연 물질로 형성될 수 있다.According to one embodiment, before forming the gate region, the method further comprises forming a sidewall spacer covering the sidewall of the protection spacer, wherein the sidewall spacer may be formed of an insulating material different from the protection spacer.

일 실시예에 따르면, 상기 활성 패턴은 상기 더미 게이트 패턴 아래의 채널 영역 및 상기 채널 영역 양측의 소오스 및 드레인 영역들을 포함하되, 상기 게이트 영역을 형성하기 전에, 상기 활성 패턴의 상기 소오스 및 드레인 영역들에 에피택셜층을 형성하는 것을 더 포함한다.According to one embodiment, the active pattern includes a channel region below the dummy gate pattern and source and drain regions on either side of the channel region, wherein before forming the gate region, the source and drain regions To form an epitaxial layer.

기타 실시예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다. The details of other embodiments are included in the detailed description and drawings.

본 발명의 실시예들에 따르면, 채널 영역의 하부에 국소 절연 패턴을 갖는 핀 전계 효과 트랜지스터의 제조 공정에서, 소오스 및 드레인 전극들을 형성한 후에 게이트 전극을 형성할 때, 더미 게이트 패턴의 폭과 게이트 전극의 폭이 달라지는 것을 방지할 수 있다.According to embodiments of the present invention, in the process of manufacturing a fin field effect transistor having a local insulation pattern in a lower portion of a channel region, when the gate electrode is formed after the source and drain electrodes are formed, The width of the electrode can be prevented from varying.

또한, 더미 게이트 패턴을 제거한 후에 소자 분리막을 리세스하는 공정이 수행되지 않으므로, 소자 분리막을 리세스하는 공정에 의해 게이트 영역의 높이를 정의하는 층간 절연막의 높이가 감소되는 것을 방지할 수 있다. 따라서, 게이트 전극의 높이가 줄어드는 것을 방지할 수 있다. Further, since the step of recessing the element isolation film is not performed after removing the dummy gate pattern, the step of recessing the element isolation film can prevent the height of the interlayer insulating film, which defines the height of the gate region, from being reduced. Therefore, the height of the gate electrode can be prevented from being reduced.

도 1은 본 발명의 실시예들에 따른 반도체 장치의 제조 방법을 설명하기 위한 순서도이다.
도 2a 내지 도 15a는 본 발명의 실시예들에 따른 반도체 장치의 제조 방법을 설명하기 위한 사시도들이다.
도 2b 내지 도 15b는 본 발명의 실시예들에 따른 반도체 장치의 제조 방법을 설명하기 위한 단면도들로서, 도 2a 내지 도 16a의 I-I', II-II', 및 III-III'선을 따라 자른 단면들이다.
도 16은 본 발명의 실시예들에 따른 반도체 장치의 구조적 특징들을 설명하기 위한 사시도이다.
도 17 및 도 18은 본 발명의 실시예들에 따른 반도체 장치를 포함하는 전자 장치들을 간략히 나타내는 블록도들이다.
1 is a flowchart illustrating a method of manufacturing a semiconductor device according to an embodiment of the present invention.
2A to 15A are perspective views illustrating a method of manufacturing a semiconductor device according to embodiments of the present invention.
FIGS. 2B to 15B are cross-sectional views for explaining a method of manufacturing a semiconductor device according to embodiments of the present invention, and are taken along lines I-I ', II-II', and III-III ' Cut sections.
16 is a perspective view for explaining structural features of a semiconductor device according to embodiments of the present invention.
17 and 18 are block diagrams schematically illustrating electronic devices including a semiconductor device according to embodiments of the present invention.

본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예를 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 수 있으며, 단지 본 실시예는 본 발명의 개시가 완전하도록 하고, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 명세서 전문에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다.BRIEF DESCRIPTION OF THE DRAWINGS The advantages and features of the present invention, and how to accomplish them, will become apparent by reference to the embodiments described in detail below with reference to the accompanying drawings. The present invention may, however, be embodied in many different forms and should not be construed as being limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the concept of the invention to those skilled in the art. Is provided to fully convey the scope of the invention to those skilled in the art, and the invention is only defined by the scope of the claims. Like reference numerals refer to like elements throughout the specification.

본 명세서에서 사용된 용어는 실시예들을 설명하기 위한 것이며 본 발명을 제한하고자 하는 것은 아니다. 본 명세서에서, 단수형은 문구에서 특별히 언급하지 않는 한 복수형도 포함한다. 명세서에서 사용되는 '포함한다(comprises)' 및/또는 '포함하는(comprising)'은 언급된 구성요소, 단계, 동작 및/또는 소자는 하나 이상의 다른 구성요소, 단계, 동작 및/또는 소자의 존재 또는 추가를 배제하지 않는다.The terminology used herein is for the purpose of illustrating embodiments and is not intended to be limiting of the present invention. In the present specification, the singular form includes plural forms unless otherwise specified in the specification. As used herein, the terms 'comprises' and / or 'comprising' mean that the stated element, step, operation and / or element does not imply the presence of one or more other elements, steps, operations and / Or additions.

또한, 본 명세서에서 기술하는 실시예들은 본 발명의 이상적인 예시도인 단면도 및/또는 평면도들을 참고하여 설명될 것이다. 도면들에 있어서, 막 및 영역들의 두께는 기술적 내용의 효과적인 설명을 위해 과장된 것이다. 따라서, 제조 기술 및/또는 허용 오차 등에 의해 예시도의 형태가 변형될 수 있다. 따라서, 본 발명의 실시예들은 도시된 특정 형태로 제한되는 것이 아니라 제조 공정에 따라 생성되는 형태의 변화도 포함하는 것이다. 예를 들면, 직각으로 도시된 식각 영역은 라운드지거나 소정 곡률을 가지는 형태일 수 있다. 따라서, 도면에서 예시된 영역들은 개략적인 속성을 가지며, 도면에서 예시된 영역들의 모양은 소자의 영역의 특정 형태를 예시하기 위한 것이며 발명의 범주를 제한하기 위한 것이 아니다.In addition, the embodiments described herein will be described with reference to cross-sectional views and / or plan views, which are ideal illustrations of the present invention. In the drawings, the thicknesses of the films and regions are exaggerated for an effective description of the technical content. Thus, the shape of the illustrations may be modified by manufacturing techniques and / or tolerances. Accordingly, the embodiments of the present invention are not limited to the specific forms shown, but also include changes in the shapes that are generated according to the manufacturing process. For example, the etched area shown at right angles may be rounded or may have a shape with a certain curvature. Thus, the regions illustrated in the figures have schematic attributes, and the shapes of the regions illustrated in the figures are intended to illustrate specific types of regions of the elements and are not intended to limit the scope of the invention.

이하, 도면들을 참조하여 본 발명의 실시예들에 따른 반도체 장치의 제조 방법 및 이에 따라 형성된 반도체 장치에 대해 상세히 설명한다. Hereinafter, a method of manufacturing a semiconductor device according to embodiments of the present invention and a semiconductor device formed thereby will be described in detail with reference to the drawings.

도 1은 본 발명의 실시예들에 따른 반도체 장치의 제조 방법을 설명하기 위한 순서도이다. 도 2a 내지 도 15a는 본 발명의 실시예들에 따른 반도체 장치의 제조 방법을 설명하기 위한 사시도들이다. 도 2b 내지 도 15b는 본 발명의 실시예들에 따른 반도체 장치의 제조 방법을 설명하기 위한 단면도들로서, 도 2a 내지 도 15a의 I-I', II-II', 및 III-III'선을 따라 자른 단면들이다.1 is a flowchart illustrating a method of manufacturing a semiconductor device according to an embodiment of the present invention. 2A to 15A are perspective views illustrating a method of manufacturing a semiconductor device according to embodiments of the present invention. FIGS. 2B to 15B are cross-sectional views illustrating a method of manufacturing a semiconductor device according to embodiments of the present invention. FIGS. 2B to 15B are cross-sectional views taken along lines I-I ', II-II', and III-III ' Cut sections.

도 1, 도 2a 및 도 2b를 참조하면, 반도체 기판(100)을 패터닝하여 활성 패턴(101)을 정의하는 트렌치들(103)을 형성한다(S10).Referring to FIGS. 1, 2A and 2B, the semiconductor substrate 100 is patterned to form trenches 103 defining active patterns 101 (S10).

트렌치들(103)을 형성하는 것은, 반도체 기판(100)의 소정 영역들을 노출시키는 마스크 패턴(110)을 형성하는 것과, 마스크 패턴(110)을 식각 마스크로 이용하여 이방성 식각하는 것을 포함할 수 있다. The formation of the trenches 103 may include forming a mask pattern 110 that exposes certain regions of the semiconductor substrate 100 and anisotropically etching the mask pattern 110 as an etch mask .

일 실시예에 따르면, 마스크 패턴(110)은 제 1 방향(즉, x축 방향) 연장되는 라인 형태일 수 있으며, 차례로 적층된 산화막 패턴(111) 및 하드 마스크 패턴(113)을 포함한다. According to one embodiment, The mask pattern 110 may be in the form of a line extending in a first direction (i.e., an x-axis direction) and includes an oxide film pattern 111 and a hard mask pattern 113 stacked in that order.

보다 상세하게, 마스크 패턴(110)을 형성하는 것은, 반도체 기판(100) 상에 실리콘 산화막 및 하드 마스크막을 차례로 적층하는 것, 하드 마스크막 상에 활성 패턴(101)을 정의하는 포토레지스트 패턴(미도시)을 형성하는 것, 포토레지스트 패턴(미도시)을 식각 마스크로 이용하여, 반도체 기판(100)의 상면이 노출되도록 하드 마스크막 및 실리콘 산화막을 차례로 이방성 식각하는 것을 포함할 수 있다. 여기서, 포토레지스트 패턴(미도시) 제 1 방향(즉, x축 방향) 연장되는 라인 형태일 수 있다. 실리콘 산화막은 반도체 기판(100)을 열산화(thermal oxidation)시켜 형성할 수 있으며, 이러한 실리콘 산화막은 반도체 기판(100)과 하드 마스크막 간의 스트레스를 완화시킬 수 있다. 하드 마스크막은 실리콘 질화막, 실리콘 산질화막 및 폴리실리콘막 중에서 선택되는 어느 하나의 물질로 형성될 수 있다. 그리고, 하드 마스크막의 두께는 반도체 기판(100)에 형성되는 트렌치들(103)의 깊이에 따라 달라질 수 있다. 또한, 하드 마스크막은 실리콘 산화막에 비해 두꺼울 수 있다. 일 실시예에 따르면, 마스크 패턴(110)을 형성한 후에 포토레지스트 패턴(미도시)은 제거될 수 있다.More specifically, the formation of the mask pattern 110 may be achieved by sequentially laminating a silicon oxide film and a hard mask film on the semiconductor substrate 100, a photoresist pattern (not shown) defining the active pattern 101 on the hard mask film And then anisotropically etching the hard mask film and the silicon oxide film in order to expose the upper surface of the semiconductor substrate 100 using a photoresist pattern (not shown) as an etch mask. Here, the photoresist pattern (not shown) may be a line shape extending in a first direction (i.e., x-axis direction). The silicon oxide film can be formed by thermal oxidation of the semiconductor substrate 100, and such a silicon oxide film can relieve the stress between the semiconductor substrate 100 and the hard mask film. The hard mask film may be formed of any one material selected from a silicon nitride film, a silicon oxynitride film, and a polysilicon film. The thickness of the hard mask layer may vary depending on the depth of the trenches 103 formed in the semiconductor substrate 100. Further, the hard mask film may be thicker than the silicon oxide film. According to one embodiment, after forming the mask pattern 110, the photoresist pattern (not shown) may be removed.

이어서, 마스크 패턴(110)을 식각 마스크로 사용하여, 반도체 기판(100)을 소정 깊이까지 이방성 식각한다. 이에 따라, 활성 패턴(101)을 정의하는 트렌치들이 반도체 기판(100)에 형성될 수 있다. 트렌치들(103)은 제 1 방향(즉, x축 방향)으로 연장된 라인 형태일 수 있으며, 이방성 식각 공정에 의해 상부 폭보다 하부 폭이 작게 형성될 수 있다. 즉, 트렌치들(103)은 하부로 갈수록 폭이 좁아지는 측벽 프로파일을 가질 수 있다. Subsequently, the semiconductor substrate 100 is anisotropically etched to a predetermined depth by using the mask pattern 110 as an etching mask. Accordingly, trenches defining the active pattern 101 can be formed in the semiconductor substrate 100. [ The trenches 103 may be in the form of a line extending in the first direction (i.e., the x-axis direction) and may be formed to have a lower width smaller than the top width by an anisotropic etching process. That is, the trenches 103 may have a sidewall profile that becomes narrower toward the bottom.

도 3a, 및 도 3b를 참조하면, 트렌치들(103) 내에 활성 패턴(101)의 상부 측벽을 노출시키는 소자 분리막(105)을 형성한다. 즉, 소자 분리막(105)의 상부면이 활성 패턴(101)의 상부면보다 아래에 위치할 수 있다. 3A and 3B, an element isolation film 105 is formed to expose the upper sidewall of the active pattern 101 in the trenches 103. [ That is, the upper surface of the device isolation film 105 may be located below the upper surface of the active pattern 101.

일 실시예에 따르면, 소자 분리막(105)을 형성하는 것은, 트렌치들(103)을 채우는 절연막을 형성하는 것, 절연막을 평탄화하여 마스크 패턴(110)의 상부면을 노출시키는 것, 및 평탄화된 절연막의 상부면을 리세스하여, 활성 패턴(101)의 상부 측벽을 노출시키는 것을 포함한다. 여기서, 트렌치들(103)을 채우는 절연막은 단차 도포성이 우수한 증착 기술을 이용하여 증착될 수 있다. 또한, 절연막은 갭 필(gap fill) 특성이 우수한 절연 물질로 형성될 수 있으며, 예를 들어, BPSG(boron-phosphor silicate glass)막, HDP(High Density Plasma) 산화막, USG(Undoped Silicate Glass) 또는 TOSZ(Tonen SilaZene) 물질로 형성될 수 있다. 또한, 절연막에 대한 평탄화 공정으로는 에치백(etch back) 방법 및/또는 CMP(chemical mechanical polishing) 방법이 이용될 수 있다. 그리고, 평탄화된 절연막의 상부면을 리세스하는 것은, 활성 패턴(101)에 대해 식각 선택성을 갖는 식각 레서피를 사용하여 평탄화된 절연막을 선택적 식각하는 것일 수 있다. 이와 같이 절연막의 상부면을 리세스하는 동안, 마스크 패턴(110)의 두께가 감소될 수도 있다. According to one embodiment, forming the device isolation film 105 may be performed by forming an insulating film filling the trenches 103, planarizing the insulating film to expose the upper surface of the mask pattern 110, To expose the top sidewalls of the active pattern 101. [0034] Here, the insulating film filling the trenches 103 can be deposited using a deposition technique with excellent step coverage. The insulating layer may be formed of an insulating material having excellent gap fill characteristics. For example, a boron-phosphor silicate glass (BPSG) layer, a high density plasma (HDP) oxide layer, an undoped silicate glass TOSZ (Tonen SilaZene) material. In addition, an etch back method and / or a chemical mechanical polishing (CMP) method may be used as the planarization process for the insulating film. And, recessing the upper surface of the planarized insulating film may be selective etching of the planarized insulating film using the etching recipe having etching selectivity with respect to the active pattern 101. While the upper surface of the insulating film is thus recessed, the thickness of the mask pattern 110 may be reduced.

도 1, 도 4a, 및 도 4b를 참조하면, 소자 분리막(105) 상에서 활성 패턴(101)의 상부 측벽 덮는 산화 방지 스페이서(117)를 형성한다(S20). 나아가, 산화 방지 스페이서(117)는 마스크 패턴(110)의 측벽을 덮을 수 있다. Referring to FIGS. 1, 4A, and 4B, an anti-oxidation spacer 117 covering an upper sidewall of the active pattern 101 is formed on the device isolation film 105 (S20). Further, the anti-oxidation spacer 117 may cover the side wall of the mask pattern 110. [

산화 방지 스페이서(117)를 형성하는 것은, 활성 패턴(101) 및 마스크 패턴(110)의 표면을 따라 산화 방지막을 컨포말하게 증착하는 것, 및 산화 방지막을 전면 이방성 식각하는 것을 포함한다. 여기서, 산화 방지막에 대한 전면 이방성 식각 공정에 의해, 마스크 패턴(110)의 상면 및 소자 분리막(105)의 상면에서 산화 방지막이 제거될 수 있다.Formation of the anti-oxidation spacer 117 includes conformally depositing an anti-oxidation film along the surface of the active pattern 101 and the mask pattern 110, and anisotropically etching the anti-oxidation film. Here, the oxidation preventing film can be removed from the upper surface of the mask pattern 110 and the upper surface of the isolation film 105 by the front anisotropic etching process for the oxidation preventing film.

일 실시예에 따르면, 산화 방지 스페이서(117)는 실리콘 산화막에 대해 식각 선택성을 갖는 물질로 형성될 수 있으며, 예를 들어, 실리콘 질화막 또는 실리콘 산질화막으로 형성될 수 있다. 일 실시예에서, 산화 방지 스페이서(117)는 마스크 패턴(110)의 하드 마스크 패턴(113)과 동일한 물질로 형성될 수 있다. According to one embodiment, the anti-oxidation spacer 117 may be formed of a material having an etching selectivity to the silicon oxide film, and may be formed of, for example, a silicon nitride film or a silicon oxynitride film. In one embodiment, the anti-oxidation spacer 117 may be formed of the same material as the hard mask pattern 113 of the mask pattern 110.

한편, 산화 방지 스페이서(117)을 형성하기 전에, 활성 패턴(101)의 상부 측벽을 보호하기 위한 측벽 산화막(115)이 형성될 수 있다. 측벽 산화막(115)은 활성 패턴(101)의 상부 측벽을 열산화시켜 형성할 수 있다.On the other hand, before forming the oxidation preventing spacer 117, a sidewall oxide film 115 for protecting the upper sidewall of the active pattern 101 may be formed. The sidewall oxide film 115 can be formed by thermally oxidizing the upper sidewall of the active pattern 101.

도 5a, 및 도 5b를 참조하면, 소자 분리막(105)의 상부면을 리세스하여 활성 패턴(101)의 하부 측벽을 노출시키는 소자 분리 패턴(107)을 형성한다. 5A and 5B, the upper surface of the device isolation film 105 is recessed to form a device isolation pattern 107 that exposes the lower sidewalls of the active pattern 101. As shown in FIG.

일 실시예에 따르면, 소자 분리 패턴(107)을 형성하는 것은, 산화 방지 스페이서(117) 및 활성 패턴(101)에 대해 식각 선택성을 갖는 식각 레서피를 사용하여 소자 분리막(105)을 선택적 식각하는 것일 수 있다. 여기서, 소자 분리막(105)을 선택적 식각하기 위해, 등방성 건식 또는 습식 식각 방법이 이용될 수 있다. 이에 따라, 소자 분리 패턴(107)의 상부면과 산화 방지 스페이서(117)의 바닥면이 이격될 수 있으며, 소자 분리 패턴(107)과 산화 방지 스페이서(117) 사이에서 활성 패턴(101)의 하부 측벽 일부분이 노출될 수 있다. 즉, 활성 패턴(101)은 산화 방지 스페이서(117)에 의해 측벽이 덮여 있는 제 1 부분(101a), 소자 분리 패턴(107)에 의해 측벽이 덮여 있으며 반도체 기판(100)과 연결되는 제 2 부분(101b), 및 제 1 부분(101a)과 제 2 부분(101b) 사이에 배치되며 측벽이 노출되는 제 3 부분(101c)을 포함할 수 있다. According to one embodiment, forming the element isolation pattern 107 is to selectively etch the element isolation film 105 using the anti-oxidation spacer 117 and the etch recipe having etch selectivity for the active pattern 101 . Here, in order to selectively etch the element isolation film 105, an isotropic dry or wet etching method may be used. The upper surface of the device isolation pattern 107 and the bottom surface of the anti-oxidation spacer 117 can be spaced apart from each other and the lower surface of the active pattern 101 between the device isolation pattern 107 and the anti- A portion of the sidewall may be exposed. That is, the active pattern 101 has a first portion 101a covered with a sidewall by the oxidation preventing spacer 117, a second portion 101b whose sidewall is covered by the device isolation pattern 107 and is connected to the semiconductor substrate 100, And a third portion 101c that is disposed between the first portion 101a and the second portion 101b and to which the sidewall is exposed.

도 6a, 및 도 6b를 참조하면, 소자 분리 패턴(107)이 형성된 반도체 기판(100) 전면에 더미 게이트막(120)을 형성한다. 6A and 6B, the dummy gate film 120 is formed on the entire surface of the semiconductor substrate 100 on which the element isolation patterns 107 are formed.

더미 게이트막(120)은 소자 분리 패턴(107)이 형성된 트렌치들(103)을 채우면서 마스크 패턴(110) 상에 형성될 수 있다. 트렌치들(103)을 채우는 더미 게이트막(120)은 활성 패턴(101)의 제 3 부분(101c)과 직접 접촉할 수 있다. The dummy gate film 120 may be formed on the mask pattern 110 while filling the trenches 103 in which the element isolation patterns 107 are formed. The dummy gate film 120 filling the trenches 103 can directly contact the third portion 101c of the active pattern 101. [

더미 게이트막(120)은 소자 분리 패턴(107), 산화 방지 스페이서(117) 및 활성 패턴(101)에 대해 식각 선택성을 갖는 물질로 형성될 수 있다. 예를 들어, 더미 게이트막(120)은 불순물이 도우프된 폴리실리콘막, 언도우프된 폴리실리콘막, 실리콘 게르마늄막, 또는 실리콘 카바이드막으로 형성될 수 있다. The dummy gate film 120 may be formed of a material having etch selectivity with respect to the device isolation pattern 107, the anti-oxidation spacer 117, and the active pattern 101. [ For example, the dummy gate film 120 may be formed of an impurity doped polysilicon film, an undoped polysilicon film, a silicon germanium film, or a silicon carbide film.

더미 게이트막(120)은 화학적 기상 증착(Chemical Vapor Deposition: CVD) 방법, 물리 기상 증착(Physical Vapor Deposition: PVD) 방법, 또는 원자층 증착(Atomic Layer Deposition: ALD) 방법 등을 통해 형성할 수 있다. 이러한 증착 방법을 이용하여 더미 게이트막(120)을 형성한 후에, 더미 게이트막(120)의 상부면은 평탄화될 수 있다. The dummy gate film 120 may be formed by a chemical vapor deposition (CVD) method, a physical vapor deposition (PVD) method, or an atomic layer deposition (ALD) method . After forming the dummy gate film 120 using this deposition method, the upper surface of the dummy gate film 120 can be planarized.

도 1, 도 7a, 및 도 7b를 참조하면, 활성 패턴(101)을 가로지르는 더미 게이트 패턴(125)을 형성한다(S30). 1, 7A, and 7B, a dummy gate pattern 125 is formed across the active pattern 101 (S30).

더미 게이트 패턴(125)을 형성하는 것은, 더미 게이트막(120) 상에 활성 패턴(101)을 가로지르는 게이트 마스크 패턴(121)을 형성하는 것, 및 게이트 마스크 패턴(121)을 이용하여 더미 게이트막(120)을 이방성 식각하는 것을 포함한다. 여기서, 더미 게이트막(120)에 대한 이방성 식각 공정시, 하드 마스크 패턴(113) 및 소자 분리 패턴(107)이 식각 정지막으로 이용될 수 있다. The dummy gate pattern 125 is formed by forming the gate mask pattern 121 across the active pattern 101 on the dummy gate film 120 and forming the dummy gate pattern 121 by using the gate mask pattern 121. [ RTI ID = 0.0 > 120 < / RTI > Here, in the anisotropic etching process for the dummy gate film 120, the hard mask pattern 113 and the device isolation pattern 107 can be used as an etching stopper film.

더미 게이트 패턴(125)을 형성함에 따라 활성 패턴(101)의 제 1 부분(101a)에 채널 영역(CHR)과 소오스 및 드레인 영역들(SDR)이 정의될 수 있다. 여기서, 채널 영역(CHR)은 더미 게이트 패턴(125) 아래에 위치하는 활성 패턴(101)의 일부분이고, 소오스 및 드레인 영역들(SDR)은 더미 게이트 패턴(125)의 양측에 위치하며 채널 영역(CHR)에 의해 수평적으로 분리된 활성 패턴(101)의 다른 부분들이다.The channel region CHR and the source and drain regions SDR may be defined in the first portion 101a of the active pattern 101 as the dummy gate pattern 125 is formed. Here, the channel region CHR is a portion of the active pattern 101 located under the dummy gate pattern 125, the source and drain regions SDR are located on both sides of the dummy gate pattern 125, CHR < / RTI >

도 8a, 및 도 8b를 참조하면, 더미 게이트 패턴(125)을 식각 마스크로 이용하여, 마스크 패턴(110)의 일부분을 이방성 식각한다. 이에 따라, 소오스 및 드레인 영역들(SDR)의 활성 패턴(101)을 덮고 있는 산화막 패턴(111)이 노출될 수 있다. 또한, 잔여 하드 마스크 패턴(114) 및 산화 방지 스페이서(117)가 더미 게이트 패턴(125) 아래에 국소적으로 형성될 수 있다. 8A and 8B, a part of the mask pattern 110 is anisotropically etched using the dummy gate pattern 125 as an etching mask. Accordingly, the oxide film pattern 111 covering the active pattern 101 of the source and drain regions SDR can be exposed. Also, The remaining hard mask pattern 114 and the anti-oxidation spacer 117 can be locally formed under the dummy gate pattern 125. [

도 1, 도 9a, 및 도 9b를 참조하면, 더미 게이트 패턴(125)의 양측벽에 보호 스페이서(131) 및 측벽 스페이서(133)를 차례로 형성한다(S40). 보호 스페이서(131)는 더미 게이트 패턴(125)의 측벽과 직접 접촉될 수 있다.Referring to FIGS. 1, 9A and 9B, protective spacers 131 and sidewall spacers 133 are sequentially formed on both side walls of the dummy gate pattern 125 (S40). The protective spacers 131 may be in direct contact with the sidewalls of the dummy gate pattern 125. [

보호 스페이서(131) 및 측벽 스페이서(133)를 형성하는 것은, 더미 게이트 패턴(125)이 형성된 반도체 기판(100) 상에 보호 스페이서막 및 측벽 스페이서막을 컨포말하게 증착하는 것 및 보호 스페이서막 및 측벽 스페이서막을 전면 이방성 식각하는 것을 포함한다. The formation of the protective spacers 131 and the sidewall spacers 133 is accomplished by conformally depositing a protective spacer film and a sidewall spacer film on the semiconductor substrate 100 on which the dummy gate pattern 125 is formed, Anisotropically etching the spacer film.

일 실시예에 따르면, 보호 스페이서(131)는 산화 방지 스페이서(117)에 대해 식각 선택성을 갖는 물질로 형성될 수 있다. 예를 들어, 보호 스페이서(131)는 탄탈륨 산화막, 티타늄 산화막, 하프늄 산화막, 지르코늄 산화막, 알루미늄 산화막, 이트륨 산화막, 니오븀 산화막, 세슘 산화막, 인듐 산화막, 이리듐 산화막, BST(barium strontium titanate)막 및 PZT(lead zirconate titanate)막과 같은 금속 산화물로 형성될 수 있다. According to one embodiment, the protective spacers 131 may be formed of a material having etch selectivity to the anti-oxidant spacers 117. For example, the protective spacers 131 may be formed of tantalum oxide, titanium oxide, hafnium oxide, zirconium oxide, aluminum oxide, yttrium oxide, niobium oxide, cesium oxide, indium oxide, iridium oxide, barium strontium titanate (BST) lead zirconate titanate) film.

또한, 측벽 스페이서(133)는 보호 스페이서(131)에 대해 식각 선택성을 갖는 물질로 형성될 수 있다. 예를 들어, 측벽 스페이서(133)는 실리콘 질화막 또는 실리콘 산질화막으로 형성될 수 있다. In addition, the sidewall spacers 133 may be formed of a material having an etch selectivity to the protective spacers 131. For example, the sidewall spacers 133 may be formed of a silicon nitride film or a silicon oxynitride film.

한편, 다른 실시예에 따르면, 보호 스페이서(131) 상에 측벽 스페이서를 형성하는 것은 생략될 수 있으며, 이러한 경우, 보호 스페이서(131)가 층간 절연막(140)과 직접 접촉될 수 있다. On the other hand, according to another embodiment, forming the sidewall spacer on the protection spacer 131 may be omitted, and in this case, the protection spacer 131 may be in direct contact with the interlayer insulating film 140.

도 1, 도 10a, 및 도 10b를 참조하면, 더미 게이트 패턴(125) 양측의 활성 패턴(101)에 소오스 및 드레인 전극들(135)을 형성한다(S50).Referring to FIGS. 1, 10A, and 10B, on both sides of the dummy gate pattern 125, Source and drain electrodes 135 are formed in the active pattern 101 (S50).

소오스 및 드레인 전극들(135)은 활성 패턴(101)의 소오스/드레인 영역들(SDR)의 위치에 형성될 수 있다. 이에 따라, 활성 패턴(101)의 채널 영역(CHR)은 소오스 및 드레인 전극들(135) 사이에 개재될 수 있다. The source and drain electrodes 135 may be formed at the positions of the source / drain regions SDR of the active pattern 101. [ Accordingly, the channel region CHR of the active pattern 101 can be interposed between the source and drain electrodes 135. [

일 실시예에 따르면, 소오스 및 드레인 전극들(135)을 형성하는 것은, 소오스/드레인 영역들(SDR)의 활성 패턴(101)을 제거하는 것, 및 에피택셜층을 형성하는 것을 포함할 수 있다. 반도체 장치가 CMOS 구조인 경우, 에피택셜층을 형성하는 것은 NMOSFET의 소오스/드레인 전극을 위한 제 1 에피택셜층을 형성하는 것 및 PMOSFET의 소오스/드레인 전극을 위한 제 2 에피택셜층을 형성하는 것을 포함할 수 있다. 일부 실시예들에 따르면, 제 1 에피택셜층은 인장성 스트레인(tensile strain)을 유발할 수 있도록 구성되고, 제 2 에피택셜층은 압축성 스트레인(compressive strain)를 유발할 수 있도록 구성될 수 있다. 예를 들면, 제 1 에피택셜층은 실리콘 카바이드(SiC)로 형성되고, 제 2 에피택셜층은 실리콘 게르마늄(SiGe)로 형성될 수 있지만, 본 발명의 실시예들이 이에 한정되는 것은 아니다. 이에 더하여, 소오스 및 드레인 전극들(135) 상에 니켈 실리사이드, 코발트 실리사이드, 텅스텐 실리사이드, 티타늄 실리사이드, 니오븀 실리사이드, 또는 탄탈룸 실리사이드와 같은 실리사이드막(미도시)이 형성될 수도 있다. According to one embodiment, forming the source and drain electrodes 135 can include removing the active pattern 101 of the source / drain regions (SDR) and forming an epitaxial layer . If the semiconductor device is a CMOS structure, forming the epitaxial layer may be accomplished by forming a first epitaxial layer for the source / drain electrode of the NMOSFET and forming a second epitaxial layer for the source / drain electrode of the PMOSFET . According to some embodiments, the first epitaxial layer may be configured to cause a tensile strain, and the second epitaxial layer may be configured to cause a compressive strain. For example, the first epitaxial layer may be formed of silicon carbide (SiC) and the second epitaxial layer may be formed of silicon germanium (SiGe), but the embodiments of the present invention are not limited thereto. In addition, a silicide film (not shown) such as nickel silicide, cobalt silicide, tungsten silicide, titanium silicide, niobium silicide, or tantalum silicide may be formed on the source and drain electrodes 135.

다른 실시예에 따르면, 소오스 및 드레인 전극들(135)을 형성하는 것은, 더미 게이트 패턴(125)을 이온 주입 마스크로 이용하여 소오스/드레인 영역들(SDR)의 활성 패턴(101) 내에 n형 또는 p형의 불순물을 이온 주입하는 것을 포함할 수 있다. According to another embodiment, forming the source and drain electrodes 135 may be performed by using the dummy gate pattern 125 as an ion implantation mask to form an n-type or an n-type electrode in the active pattern 101 of the source / drain regions (SDR) and ion implantation of a p-type impurity.

도 11a, 및 도 11b를 참조하면, 활성 패턴(101) 상에 더미 게이트 패턴(125)의 상부면을 노출시키는 층간 절연막(140)을 형성한다.Referring to FIGS. 11A and 11B, on the active pattern 101, The interlayer insulating film 140 exposing the upper surface of the pattern 125 is formed.

층간 절연막(140)을 형성하는 것은, 소오스 및 드레인 전극들(135)이 형성된 결과물을 덮는 절연막을 형성한 후, 더미 게이트 패턴(125)의 상부면이 노출되도록 상기 절연막을 평탄화하는 것을 포함할 수 있다. 층간 절연막(140)은 실리콘 산화막, 실리콘 질화막, 실리콘 산화질화막 또는 저유전막들 중의 적어도 하나를 포함할 수 있다. The formation of the interlayer insulating film 140 may include forming an insulating film covering the resultant formed with the source and drain electrodes 135 and then planarizing the insulating film so that the upper surface of the dummy gate pattern 125 is exposed. have. The interlayer insulating film 140 may include at least one of a silicon oxide film, a silicon nitride film, a silicon oxynitride film, or a low dielectric film.

도 12a, 및 도 12b를 참조하면, 더미 게이트 패턴(125)을 제거하여 보호 스페이서들(131) 사이에 게이트 영역(141)을 형성한다.Referring to FIGS. 12A and 12B, the dummy gate pattern 125 is removed to form the gate region 141 between the protection spacers 131. FIG.

더미 게이트 패턴(125)을 제거하는 것은 건식 및 습식 식각 공정을 조합하여 수행될 수 있다. 상세히 설명하면, 층간 절연막(140), 보호 스페이서들(131) 및 산화 방지 스페이서(117)에 대해 식각 선택성을 갖는 식각 레서피를 사용하여 더미 게이트 패턴(125)을 습식 식각할 수 있다. 일 실시예에서, 더미 게이트 패턴(125)이 실리콘-게르마늄(SiGe)으로 형성된 경우, 암모니아수 및 과산화수소가 혼합된 식각액을 이용하여 더미 게이트 패턴(125)을 제거할 수 있다. 다른 실시예에서, 더미 게이트 패턴(125)이 폴리실리콘으로 형성된 경우, 질산, 초산 및 불산이 혼합된 식각액을 이용하여 폴리실리콘을 습식 식각할 수 있다. Removing the dummy gate pattern 125 can be performed by a combination of dry and wet etching processes. In detail, the dummy gate pattern 125 can be wet-etched using the etch recipe having etch selectivity for the interlayer insulating film 140, the protective spacers 131, and the anti-oxidation spacer 117. In one embodiment, when the dummy gate pattern 125 is formed of silicon-germanium (SiGe), the dummy gate pattern 125 may be removed using an etchant mixed with ammonia water and hydrogen peroxide. In another embodiment, when the dummy gate pattern 125 is formed of polysilicon, the polysilicon may be wet etched using an etchant mixed with nitric acid, acetic acid, and hydrofluoric acid.

이와 같이, 더미 게이트 패턴(125)을 제거함에 따라, 게이트 영역(141)에서 활성 패턴(101)의 하부 측벽 일부가 노출될 수 있다. 다시 말해, 활성 패턴(101)의 제 3 부분(103c)이 노출될 수 있다. 그리고, 활성 패턴(101)의 제 1 부분(103a)은 산화 방지 스페이서(117) 및 마스크 패턴(110)에 의해 덮여 있을 수 있다. As described above, by removing the dummy gate pattern 125, a part of the lower side wall of the active pattern 101 in the gate region 141 can be exposed. In other words, the third portion 103c of the active pattern 101 can be exposed. The first portion 103a of the active pattern 101 may be covered with the anti-oxidation spacer 117 and the mask pattern 110. [

일 실시예에 따르면, 활성 패턴(101)의 하부 측벽 일부를 노출시킬 때, 절연 물질로 이루어진 소자 분리 패턴(107)을 리세스하는 공정이 수행되지 않으므로, 층간 절연막(140)의 상부면이 소자 분리 패턴(107)의 상부면과 리세스되는 것을 방지할 수 있다. 따라서, 게이트 영역(141)을 형성한 후에, 층간 절연막(140)의 두께가 감소하는 것을 방지할 수 있다. 다시 말해, 게이트 영역(141)을 형성한 후에, 게이트 영역(141)의 높이를 유지할 수 있다.The upper surface of the interlayer insulating layer 140 is not exposed to the surface of the device isolation pattern 107 because the process of recessing the device isolation pattern 107 made of an insulating material is not performed when a portion of the lower side wall of the active pattern 101 is exposed. And can be prevented from being recessed from the upper surface of the separation pattern 107. Therefore, it is possible to prevent the thickness of the interlayer insulating film 140 from decreasing after the gate region 141 is formed. In other words, after the gate region 141 is formed, the height of the gate region 141 can be maintained.

도 1, 도 13a, 및 도 13b를 참조하면, 게이트 영역(141)에 노출된 활성 패턴(101)의 하부 측벽을 산화시켜 활성 패턴(101)의 채널 영역에 국소 절연 패턴(151; local insulation pattern)을 형성한다(S60). Referring to FIGS. 1, 13A and 13B, a lower side wall of the active pattern 101 exposed in the gate region 141 is oxidized to form a local insulation pattern 151 in the channel region of the active pattern 101 (S60).

일 실시예에 따르면, 국소 절연 패턴(151)을 형성하는 것은 산소 원자들을 포함하는 가스 분위기에서 열처리하는 산화 공정이 수행될 수 있다. 예를 들어, 산화 공정으로는 열산화(thermal oxidation) 공정 또는 라디칼 산화(radical oxidation) 공정이 수행될 수 있으며, 열산화 공정은 산소를 이용한 건식 산화(dry oxidation) 방법, 또는 산화제로 스팀(steam)을 이용한 습식 산화(wet oxidation) 방법이 이용될 수 있다. 산화 공정시 소스 가스로는, O2 가스, H2O(g) 가스(즉, 스팀), H2 및 O2의 혼합 가스, 또는 H2, Cl2 및 O2의 혼합 가스가 사용될 수 있다. According to one embodiment, forming the localized insulating pattern 151 can be performed by an oxidation process that is heat-treated in a gas atmosphere containing oxygen atoms. For example, the oxidation process may be a thermal oxidation process or a radical oxidation process. The thermal oxidation process may be a dry oxidation process using oxygen, a steam oxidation process, ) May be used as a wet oxidation method. As the source gas in the oxidation step, O 2 gas, H 2 O (g) gas (that is, steam), a mixed gas of H 2 and O 2, or a mixed gas of H 2, Cl 2 and O 2 can be used.

이와 같이 산화 공정을 수행함에 따라, 산소 원자들이 게이트 영역(141)에 노출된 활성 패턴(101)의 제 3 부분(101c)의 실리콘 원자들과 반응하여 실리콘 산화막이 형성될 수 있다. 이와 같이 형성된 국소 절연 패턴(151)의 폭은 활성 패턴(101)의 폭과 실질적으로 같거나 클 수 있다. 그리고, 국소 절연 패턴(151)은 활성 패턴(101)의 양 측벽들에서부터 산화되어 형성되므로, 굴곡진 상부면과 하부면을 가질 수 있다. Oxygen atoms react with the silicon atoms of the third portion 101c of the active pattern 101 exposed in the gate region 141 to form a silicon oxide film. The width of the local insulation pattern 151 thus formed may be substantially equal to or greater than the width of the active pattern 101. [ Since the local insulation pattern 151 is formed by oxidizing from both side walls of the active pattern 101, it may have a curved upper surface and a lower surface.

도 14a, 및 도 14b를 참조하면, 국소 절연 패턴(151)을 형성한 후, 활성 패턴(101)의 제 1 부분(101a)이 노출될 수 있도록 산화 방지 스페이서(117), 마스크 패턴(110) 및 측벽 산화막(115)이 제거될 수 있다. 14A and 14B, after the formation of the local insulation pattern 151, the oxidation prevention spacer 117, the mask pattern 110, and the like are patterned so that the first portion 101a of the active pattern 101 can be exposed. And the sidewall oxide film 115 can be removed.

산화 방지 스페이서(117), 마스크 패턴(110) 및 측벽 산화막(115)은 보호 스페이서(131)에 대해 식각 선택성을 갖는 식각 레서피를 이용한 식각 공정들을 수행하여 제거될 수 있다. 일 실시예에서, 산화 방지 스페이서(117) 및 잔여 하드 마스크 패턴(114)이 실리콘 질화막으로 형성된 경우, 산화 방지 스페이서(117) 및 잔여 하드 마스크 패턴(114)은 인산을 포함하는 식각액을 이용한 식각 공정에 의해 제거될 수 있다. 그리고, 측벽 산화막(115) 및 산화막 패턴(111)은 HF를 포함하는 식각액을 이용한 식각 공정에 의해 제거될 수 있다. The anti-oxidation spacer 117, the mask pattern 110 and the sidewall oxide film 115 can be removed by performing etching processes using an etch recipe having an etch selectivity for the protective spacers 131. In one embodiment, when the anti-oxidation spacer 117 and the residual hard mask pattern 114 are formed of a silicon nitride film, the anti-oxidation spacer 117 and the residual hard mask pattern 114 are etched using an etchant containing phosphoric acid Lt; / RTI > The sidewall oxide film 115 and the oxide film pattern 111 may be removed by an etching process using an etchant containing HF.

일 실시예에 따르면, 산화 방지 스페이서(117), 마스크 패턴(110) 및 측벽 산화막(115)을 제거하는 동안, 보호 스페이서(131)에 의해 측벽 스페이서(133)가 손실되는 것이 방지될 수 있다. 따라서, 게이트 영역(141)의 폭을 더미 게이트 패턴(125)의 폭과 실질적으로 동일하게 유지할 수 있다. According to one embodiment, the sidewall spacers 133 can be prevented from being lost by the protective spacers 131 while removing the anti-oxidation spacer 117, the mask pattern 110 and the sidewall oxide film 115. Therefore, the width of the gate region 141 can be kept substantially equal to the width of the dummy gate pattern 125. [

계속해서, 도 14a, 및 도 14b를 참조하면, 활성 패턴(101)의 제 1 부분(101a)의 표면을 컨포말하게 덮는 게이트 절연막(153)을 형성한다. 14A and 14B, a gate insulating film 153 which conformally covers the surface of the first portion 101a of the active pattern 101 is formed.

게이트 절연막(153)은 하프늄 산화물, 하프늄 실리케이트, 지르코늄 산화물, 또는 지르코늄 실리케이트와 같은 고유전막으로 형성될 수 있다. 이러한 게이트 절연막(153)은 원자층 증착 기술을 사용하여 활성 패턴(101)의 측벽들 및 상부면에 컨포말하게 형성될 수 있다. 이와 달리, 게이트 절연막(153)은 게이트 영역(141)에 노출된 활성 패턴(101)의 제 1 부분(101a)의 표면을 열산화하여 형성될 수도 있다. The gate insulating film 153 may be formed of a high-k film such as hafnium oxide, hafnium silicate, zirconium oxide, or zirconium silicate. This gate insulating film 153 can be conformally formed on the sidewalls and the upper surface of the active pattern 101 using an atomic layer deposition technique. The gate insulating film 153 may be formed by thermally oxidizing the surface of the first portion 101a of the active pattern 101 exposed in the gate region 141. [

도 1, 도 15a, 및 도 15b를 참조하면, 게이트 절연막(153)이 형성된 게이트 영역(141) 내에 게이트 전극(160)을 형성한다(S70). Referring to FIGS. 1, 15A and 15B, a gate electrode 160 is formed in a gate region 141 in which a gate insulating film 153 is formed (S70).

일 실시예에 따르면, 게이트 전극(160)은 활성 패턴(101)을 가로지르는 방향(즉, y축 방향)으로 연장될 수 있다. 게이트 전극(160)은 국소 절연 패턴(151)의 측벽들과 접하도록 형성될 수 있다. 게이트 전극(160)은 활성 패턴(101)의 상부면에서보다 소자 분리 패턴(107)의 상부면에서 두껍게 형성될 수 있다. 게이트 전극(160)은 차례로 형성되는 배리어 금속 패턴(161) 및 금속 패턴(163)을 포함할 수 있다. According to one embodiment, the gate electrode 160 may extend in a direction transverse to the active pattern 101 (i.e., in the y-axis direction). The gate electrode 160 may be formed in contact with the sidewalls of the local insulation pattern 151. The gate electrode 160 may be formed thicker on the upper surface of the device isolation pattern 107 than on the upper surface of the active pattern 101. [ The gate electrode 160 may include a barrier metal pattern 161 and a metal pattern 163 which are sequentially formed.

배리어 금속 패턴(161)은 보호 스페이서들(131)과 직접 접촉될 수 있다. 배리어 금속 패턴(161)은 소정의 일함수를 갖는 도전성 물질로 형성되어, 상기 채널 영역(CHR)의 문턱 전압을 조절하는데 기여할 수 있다. 실시예들에 따르면, 배리어 금속 패턴(161)은 금속 질화물들 중의 하나로 형성될 수 있다. 예를 들어, 배리어 금속 패턴(161)은 티타늄질화물, 탄탈늄질화물, 텅스텐질화물, 하프늄질화물, 및 지르코늄질화물과 같은 금속 질화막으로 형성될 수 있다. The barrier metal pattern 161 may be in direct contact with the protective spacers 131. The barrier metal pattern 161 may be formed of a conductive material having a predetermined work function, and may contribute to adjusting a threshold voltage of the channel region CHR. According to embodiments, the barrier metal pattern 161 may be formed of one of the metal nitrides. For example, the barrier metal pattern 161 may be formed of a metal nitride film such as titanium nitride, tantalum nitride, tungsten nitride, hafnium nitride, and zirconium nitride.

금속 패턴(163)은 배리어 금속 패턴(161)보다 낮은 비저항을 갖는 물질들 중의 하나로 형성될 수 있다. 예를 들어, 금속 패턴(163)은 텅스텐, 구리, 하프늄, 지르코늄, 티타늄, 탄탈륨, 알루미늄, 루테늄, 팔라듐, 백금, 코발트, 니켈 및 도전성 금속 질화물들 중에서 선택된 어느 하나 또는 이들의 조합으로 형성될 수 있다. The metal pattern 163 may be formed of one of materials having a lower specific resistance than the barrier metal pattern 161. [ For example, the metal pattern 163 can be formed of any one or a combination of tungsten, copper, hafnium, zirconium, titanium, tantalum, aluminum, ruthenium, palladium, platinum, cobalt, nickel and conductive metal nitrides have.

일 실시예에 따르면, 게이트 전극(160)을 형성하는 것은, 게이트 절연막(153)이 형성된 게이트 영역(141) 내에 배리어 금속막 및 금속막을 차례로 증착하는 것, 및 층간 절연막(140)의 상부면이 노출되도록 금속막 및 배리어 금속막을 평탄화하는 것을 포함한다. According to one embodiment, the gate electrode 160 is formed by sequentially depositing a barrier metal film and a metal film in the gate region 141 in which the gate insulating film 153 is formed, and depositing the barrier metal film and the metal film on the upper surface of the interlayer insulating film 140 And planarizing the metal film and the barrier metal film so as to be exposed.

여기서, 배리어 금속막 및 금속막은 화학기상증착 기술, 물리적기상증착 기술 또는 원자층 증착 기술을 사용하여 형성될 수 있다. 배리어 금속막은 게이트 영역(141)의 내벽을 컨포말하게 덮도록 증착될 수 있다. 즉, 배리어 금속막은 게이트 영역(141)에 노출된 게이트 절연막(153) 및 보호 스페이서(131) 상에 균일한 두께로 형성될 수 있다. 그리고, 배리어 금속막 및 금속막에 대한 평탄화 공정으로는 전면 이방성 식각 공정 및/또는 CMP 공정이 이용될 수 있다. Here, the barrier metal film and the metal film may be formed using a chemical vapor deposition technique, a physical vapor deposition technique, or an atomic layer deposition technique. The barrier metal film may be deposited to conformally cover the inner wall of the gate region 141. [ That is, the barrier metal film may be formed with a uniform thickness on the gate insulating film 153 exposed on the gate region 141 and on the protective spacer 131. In the planarization process for the barrier metal film and the metal film, the front anisotropic etching process and / or the CMP process may be used.

한편, 반도체 장치가 CMOS 구조인 경우, 게이트 전극(160)을 형성하는 것은 NMOSFET의 게이트 전극(160)을 형성하는 것, 및 이와 독립적으로 실시되는 PMOSFET의 게이트 전극(160)을 형성하는 것을 포함할 수 있다. On the other hand, when the semiconductor device is a CMOS structure, forming the gate electrode 160 includes forming the gate electrode 160 of the NMOSFET and forming the gate electrode 160 of the PMOSFET independently performed thereon .

도 16은 본 발명의 실시예들에 따른 반도체 장치의 구조적 특징들을 설명하기 위한 사시도이다. 16 is a perspective view for explaining structural features of a semiconductor device according to embodiments of the present invention.

도 16을 참조하면, 게이트 전극(160)이 반도체 기판(100)으로부터 연장된 활성 패턴(101)을 가로질러 배치될 수 있다. Referring to FIG. 16, a gate electrode 160 may be disposed across the active pattern 101 extending from the semiconductor substrate 100.

일 실시예에 따르면, 반도체 기판(100)은 벌크 실리콘 웨이퍼일 수 있으며, 활성 패턴(101)은 일 방향(즉, x축 방향)으로 연장되는 바(bar) 형태를 가질 수 있다. 활성 패턴(101)은, 수평적 관점에서, 게이트 전극(160) 아래의 채널 영역(CHR)과 채널 영역(CHR)들 양측의 소오스 및 드레인 영역들을 포함할 수 있다. 활성 패턴(101)의 소오스 및 드레인 영역들에 소오스 및 드레인 전극들(135)이 배치될 수 있다. 일 실시예에 따르면 소오스 및 드레인 전극들(135)은 활성 패턴(101)으로부터 에피택셜하게 성장된 에피택셜층으로 이루어질 수 있다. According to one embodiment, the semiconductor substrate 100 may be a bulk silicon wafer, and the active pattern 101 may have a bar shape extending in one direction (i.e., x-axis direction). The active pattern 101 may include source and drain regions on both sides of the channel region CHR and the channel region CHR below the gate electrode 160 from a horizontal viewpoint. The source and drain electrodes 135 may be disposed in the source and drain regions of the active pattern 101. [ According to one embodiment, the source and drain electrodes 135 may be formed of an epitaxial layer grown epitaxially from the active pattern 101.

일 실시예에 따르면, 수직적 관점에서, 활성 패턴(101)의 채널 영역(CHR)과 반도체 기판(101) 사이에 국소적으로 형성된 국소 절연 패턴(151)이 배치된다. 국소 절연 패턴(151)은, 수평적 관점에서, 활성 패턴(101)의 소오스 및 드레인 영역들 사이에 배치될 수 있다. 국소 절연 패턴(151)은 실리콘 산화막으로 형성될 수 있으며, 국소 절연 패턴(151)의 폭은 활성 패턴(101)의 폭과 실질적으로 동일하거나 클 수 있다. 나아가, 국소 절연 패턴(151)의 상부면은 소자 분리 패턴의 상부면보다 위에 배치될 수 있으며, 국소 절연 패턴(151)의 측벽이 게이트 전극(160)과 접촉될 수 있다. According to one embodiment, in a vertical view, a local insulation pattern 151 locally formed between the channel region CHR of the active pattern 101 and the semiconductor substrate 101 is disposed. The local insulation pattern 151 can be disposed between the source and drain regions of the active pattern 101 from a horizontal viewpoint. The local insulation pattern 151 may be formed of a silicon oxide film and the width of the local insulation pattern 151 may be substantially equal to or greater than the width of the active pattern 101. [ Further, the upper surface of the localized insulation pattern 151 may be disposed above the upper surface of the element isolation pattern, and the sidewall of the localized insulation pattern 151 may be in contact with the gate electrode 160.

일 실시예에 따르면, 게이트 전극(160)은 활성 패턴(101)을 가로지르는 방향(즉, y축 방향)으로 연장될 수 있다. 게이트 전극(160)은 활성 패턴(101)의 상부면에서보다 소자 분리 패턴(107)의 상부면에서 두껍게 형성될 수 있다. 게이트 전극(160)은 국소 절연 패턴(151)의 측벽을 덮으므로, 게이트 전극(160)의 최하부면은 국소 절연 패턴(151)의 상부면 아래에 위치할 수 있다. 또한, 게이트 전극(160)은 차례로 형성되는 배리어 금속 패턴(161) 및 금속 패턴(163)을 포함할 수 있다.According to one embodiment, the gate electrode 160 may extend in a direction transverse to the active pattern 101 (i.e., in the y-axis direction). The gate electrode 160 may be formed thicker on the upper surface of the device isolation pattern 107 than on the upper surface of the active pattern 101. [ Since the gate electrode 160 covers the sidewall of the local insulation pattern 151, the lowermost surface of the gate electrode 160 may be located below the upper surface of the localized insulation pattern 151. In addition, the gate electrode 160 may include a barrier metal pattern 161 and a metal pattern 163 which are sequentially formed.

게이트 전극(160)과 채널 영역(CHR) 사이에는 게이트 절연막(153)이 개재될 수 있다. 일 실시예에서, 게이트 절연막(153)은 활성 패턴(101)의 채널 영역(CHR)을 감싸도록 형성될 수 있다. 게이트 절연막(153)은 고유전막들 중의 적어도 하나를 포함할 수 있다. 예를 들면, 게이트 절연막(153)은 하프늄 산화물, 하프늄 실리케이트, 지르코늄 산화물, 또는 지르코늄 실리케이트 중의 적어도 하나로 형성될 수 있다.A gate insulating layer 153 may be interposed between the gate electrode 160 and the channel region CHR. In one embodiment, the gate insulating film 153 may be formed to surround the channel region CHR of the active pattern 101. [ The gate insulating film 153 may include at least one of the high-k films. For example, the gate insulating film 153 may be formed of at least one of hafnium oxide, hafnium silicate, zirconium oxide, or zirconium silicate.

일 실시예에 따르면, 게이트 전극(160)의 양측벽에 측벽 스페이서(133)가 배치되며, 측벽 스페이서(133)와 게이트 전극(160)의 측벽 사이에 보호 스페이서(131)가 개재될 수 있다. According to one embodiment, a sidewall spacer 133 is disposed on both sidewalls of the gate electrode 160 and a protective spacer 131 may be interposed between the sidewall spacer 133 and the sidewalls of the gate electrode 160.

상세하게, 측벽 스페이서(133)는 뿔 형상을 가질 수 있으며, 절연 물질로 형성될 수 있다. 보호 스페이서(131)는 측벽 스페이서(133)에 대해 식각 선택성을 갖는 절연 물질로 형성될 수 있으며, 게이트 전극(160)의 측벽과 측벽 스페이서(133)의 하부면을 덮는 L자 형태를 가질 수 있다. 예를 들어, 측벽 스페이서(133)는 실리콘 질화물 또는 실리콘 산질화물로 형성될 수 있으며, 보호 스페이서(131)는 탄탈륨 산화막, 티타늄 산화막, 하프늄 산화막, 지르코늄 산화막, 알루미늄 산화막, 이트륨 산화막, 니오븀 산화막, 세슘 산화막, 인듐 산화막, 이리듐 산화막, BST(barium strontium titanate)막 및 PZT(lead zirconate titanate)막과 같은 금속 산화물로 형성될 수 있다. In detail, the sidewall spacers 133 may have a conical shape and may be formed of an insulating material. The protective spacers 131 may be formed of an insulating material having an etch selectivity to the sidewall spacers 133 and may have an L shape that covers the sidewalls of the gate electrode 160 and the bottom surface of the sidewall spacers 133 . For example, the sidewall spacers 133 may be formed of silicon nitride or silicon oxynitride, and the protective spacers 131 may be formed of a tantalum oxide film, a titanium oxide film, a hafnium oxide film, a zirconium oxide film, an aluminum oxide film, a yttrium oxide film, An oxide film, an indium oxide film, an iridium oxide film, a barium strontium titanate (BST) film, and a lead zirconate titanate (PZT) film.

도 17 및 도 18은 본 발명의 실시예들에 따른 반도체 장치를 포함하는 전자 시스템들의 일 예를 간략히 도시한 블록도들이다. 17 and 18 are block diagrams schematically illustrating an example of electronic systems including a semiconductor device according to embodiments of the present invention.

도 17을 참조하면, 발명의 실시예들에 따른 반도체 장치를 포함하는 전자 시스템은 개인 휴대용 정보 단말기(PDA, personal digital assistant) 포터블 컴퓨터(portable computer), 웹 타블렛(web tablet), 무선 전화기(wireless phone), 모바일 폰(mobile phone), 디지털 뮤직 플레이어(digital music player), 메모리 카드(memory card), 또는 정보를 무선환경에서 송신 및/또는 수신할 수 있는 모든 전자 제품에 적용될 수 있다.Referring to FIG. 17, an electronic system including a semiconductor device according to embodiments of the present invention may be a personal digital assistant (PDA) portable computer, a web tablet, a wireless telephone phone, a mobile phone, a digital music player, a memory card, or any electronic device capable of transmitting and / or receiving information in a wireless environment.

전자 시스템(1100)은 컨트롤러(1110), 입출력 장치(1120, I/O), 기억 장치(1130, memory device), 인터페이스(1140) 및 버스(1150, bus)를 포함할 수 있다. 상기 컨트롤러(1110), 입출력 장치(1120), 기억 장치(1130) 및/또는 인터페이스(1140)는 버스(1150)를 통하여 서로 결합 될 수 있다. 버스(1150)는 데이터들이 이동되는 통로(path)에 해당한다. 컨트롤러(1110), 입출력 장치(1120, I/O), 기억 장치(1130, memory device), 및/또는 인터페이스(1140)은 본 발명의 실시예들에 따른 반도체 장치를 포함할 수 있다.The electronic system 1100 may include a controller 1110, an I / O device 1120, a memory device 1130, an interface 1140, and a bus 1150, bus. The controller 1110, the input / output device 1120, the storage device 1130, and / or the interface 1140 may be coupled to each other via a bus 1150. The bus 1150 corresponds to a path through which data is moved. The controller 1110, the input / output device 1120, the memory device 1130, and / or the interface 1140 may include a semiconductor device according to embodiments of the present invention.

컨트롤러(1110)는 마이크로프로세서, 디지털 신호 프로세스, 마이크로컨트롤러, 및 이들과 유사한 기능을 수행할 수 있는 논리 소자들 중에서 적어도 하나를 포함할 수 있다. 입출력 장치(1120)는 키패드(keypad), 키보드 및 디스플레이 장치등을 포함할 수 있다. 기억 장치(1130)는 데이터 및/또는 명령어등을 저장할 수 있다. 인터페이스(1140)는 통신 네트워크로 데이터를 전송하거나 통신 네트워크로부터 데이터를 수신하는 기능을 수행할 수 있다. 인터페이스(1140)는 유선 또는 무선 형태일 수 있다. 예컨대, 인터페이스(1140)는 안테나 또는 유무선 트랜시버 등을 포함할 수 있다. 도시하지 않았지만, 전자 시스템(1100)은 컨트롤러(1110)의 동작을 향상시키기 위한 동작 기억 소자로서, 고속의 디램 소자 및/또는 에스램 소자 등을 더 포함할 수도 있다.The controller 1110 may include at least one of a microprocessor, a digital signal process, a microcontroller, and logic elements capable of performing similar functions. The input / output device 1120 may include a keypad, a keyboard, a display device, and the like. The storage device 1130 may store data and / or instructions and the like. The interface 1140 may perform the function of transmitting data to or receiving data from the communication network. Interface 1140 may be in wired or wireless form. For example, the interface 1140 may include an antenna or a wired or wireless transceiver. Although not shown, the electronic system 1100 may further include a high-speed DRAM device and / or an SLAM device as an operation memory device for improving the operation of the controller 1110. [

도 18은 본 발명의 실시예들에 따른 반도체 장치는 메모리 시스템(memory system)을 구현하기 위해 사용될 수 있다. 메모리 시스템(1400)은 대용량의 데이터를 저장하기 위한 메모리 소자(1410) 및 메모리 컨트롤러(1420)를 포함할 수 있다. 메모리 컨트롤러(1420)는 호스트(1430)의 읽기/쓰기 요청에 응답하여 메모리 소자(1410)로부터 저장된 데이터를 독출 또는 기입하도록 메모리 소자(1410)를 제어한다. 메모리 컨트롤러(1420)는 호스트(1430), 가령 모바일 기기 또는 컴퓨터 시스템으로부터 제공되는 어드레스를 메모리 소자(1410)의 물리적인 어드레스로 맵핑하기 위한 어드레스 맵핑 테이블(Address mapping table)을 구성할 수 있다. 메모리 소자(1410)는 상술한 본 발명의 실시예들에 따른 반도체 장치를 포함할 수 있다.Figure 18 illustrates a semiconductor device according to embodiments of the present invention may be used to implement a memory system. The memory system 1400 may include a memory device 1410 and a memory controller 1420 for storing large amounts of data. The memory controller 1420 controls the memory element 1410 to read or write the stored data from the memory element 1410 in response to a read / write request of the host 1430. The memory controller 1420 may configure an address mapping table for mapping an address provided by the host 1430, e.g., a mobile device or a computer system, to the physical address of the memory device 1410. The memory element 1410 may include a semiconductor device according to the above-described embodiments of the present invention.

상술된 실시예들에서 개시된 반도체 장치들은 다양한 형태들의 반도체 패키지(semiconductor package)로 구현될 수 있다. 예를 들면, 본 발명의 실시예들에 따른 반도체 장치들은 PoP(Package on Package), Ball grid arrays(BGAs), Chip scale packages(CSPs), Plastic Leaded Chip Carrier(PLCC), Plastic Dual In-Line Package(PDIP), Die in Waffle Pack, Die in Wafer Form, Chip On Board(COB), Ceramic Dual In-Line Package(CERDIP), Plastic Metric Quad Flat Pack(MQFP), Thin Quad Flatpack(TQFP), Small Outline(SOIC), Shrink Small Outline Package(SSOP), Thin Small Outline(TSOP), Thin Quad Flatpack(TQFP), System In Package(SIP), Multi Chip Package(MCP), Wafer-level Fabricated Package(WFP), Wafer-Level Processed Stack Package(WSP) 등의 방식으로 패키징될 수 있다. 나아가, 본 발명의 실시예들에 따른 반도체 장치가 실장된 패키지는 상기 반도체 장치를 제어하는 컨트롤러 및/또는 논리 소자 등을 더 포함할 수도 있다. The semiconductor devices disclosed in the above embodiments can be implemented in various types of semiconductor packages. For example, the semiconductor devices according to the embodiments of the present invention may be used in a package on package (PoP), ball grid arrays (BGAs), chip scale packages (CSPs), plastic leaded chip carriers (PDIP), Die in Waffle Pack, Die in Wafer Form, Chip On Board (COB), Ceramic Dual In-Line Package (CERDIP), Plastic Metric Quad Flat Pack (MQFP), Thin Quad Flatpack (TQFP) SOIC), Shrink Small Outline Package (SSOP), Thin Small Outline (TSOP), Thin Quad Flatpack (TQFP), System In Package (SIP), Multi Chip Package (MCP), Wafer-level Fabricated Package Level Processed Stack Package (WSP) or the like. Furthermore, the package in which the semiconductor device according to the embodiments of the present invention is mounted may further include a controller and / or a logic element for controlling the semiconductor device.

이상, 첨부된 도면을 참조하여 본 발명의 실시예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예에는 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.
While the present invention has been described in connection with what is presently considered to be practical exemplary embodiments, it is to be understood that the invention is not limited to the disclosed embodiments, but, on the contrary, It will be understood. It is therefore to be understood that the above-described embodiments are illustrative and not restrictive in every respect.

Claims (10)

반도체 기판으로부터 연장된 활성 패턴으로서, 상기 활성 패턴은, 수평적 관점에서, 소오스 및 드레인 영역들과 이들 사이의 채널 영역을 포함하는 것;
수직적 관점에서, 상기 활성 패턴의 상기 채널 영역과 상기 반도체 기판 사이에 국소적으로 형성된 국소 절연 패턴;
상기 국소 절연 패턴의 측벽 및 상기 활성 패턴의 상기 채널 영역을 가로지르는 게이트 전극;
상기 게이트 전극 양측의 측벽 스페이서; 및
상기 게이트 전극의 양측벽들과 상기 측벽 스페이서 사이에 개재되며, 상기 측벽 스페이서에 대해 식각 선택성을 갖는 물질로 형성된 보호 스페이서를 포함하는 반도체 장치.
An active pattern extending from a semiconductor substrate, said active pattern comprising, from a horizontal viewpoint, source and drain regions and a channel region therebetween;
A vertical insulated pattern locally formed between the channel region of the active pattern and the semiconductor substrate;
A gate electrode crossing a side wall of the localized insulation pattern and the channel region of the active pattern;
Side wall spacers on both sides of the gate electrode; And
And a protective spacer interposed between both sidewalls of the gate electrode and the sidewall spacers and formed of a material having etch selectivity with respect to the sidewall spacers.
제 1 항에 있어서,
상기 보호 스페이서는 상기 게이트 전극의 양측벽들과 직접 접촉하는 반도체 장치.
The method according to claim 1,
Wherein the protection spacer is in direct contact with both side walls of the gate electrode.
제 1 항에 있어서,
상기 보호 스페이서는 금속 산화물로 이루어진 반도체 장치.
The method according to claim 1,
Wherein the protection spacer is made of a metal oxide.
제 1 항에 있어서,
상기 게이트 전극의 최하부면은 상기 국소 절연 패턴의 상부면 아래에 위치하는 반도체 장치.
The method according to claim 1,
And the lowermost surface of the gate electrode is located below the upper surface of the localized insulation pattern.
반도체 기판을 패터닝하여 활성 패턴을 형성하는 것;
상기 활성 패턴의 상부 측벽을 덮는 산화 방지 스페이서를 형성하는 것;
상기 활성 패턴 및 상기 산화 방지 스페이서를 가로지르는 더미 게이트 패턴을 형성하는 것;
상기 더미 게이트 패턴의 양측벽들을 덮으며, 상기 산화 방지 스페이서에 대해 식각 선택성을 갖는 물질로 이루어진 보호 스페이서들을 형성하는 것;
상기 더미 게이트 패턴을 제거하여, 상기 보호 스페이서들 사이에서 상기 활성 패턴의 하부 측벽을 노출시키는 게이트 영역을 형성하는 것;
상기 게이트 영역에 노출된 상기 활성 패턴의 하부 측벽을 산화시켜 상기 활성 패턴 내에 국소 절연 패턴을 형성하는 것; 및
상기 게이트 영역 내에 게이트 전극을 형성하는 것을 포함하는 반도체 소자의 제조 방법.
Patterning a semiconductor substrate to form an active pattern;
Forming an anti-oxidation spacer over the top sidewall of the active pattern;
Forming a dummy gate pattern across the active pattern and the anti-oxidation spacer;
Forming protective spacers overlying the sidewalls of the dummy gate pattern, the protection spacers being made of a material having etch selectivity to the antioxidant spacers;
Removing the dummy gate pattern to form a gate region between the protection spacers to expose bottom sidewalls of the active pattern;
Oxidizing a lower sidewall of the active pattern exposed in the gate region to form a localized insulation pattern in the active pattern; And
And forming a gate electrode in the gate region.
제 5 항에 있어서,
상기 더미 게이트 패턴을 형성하기 전에,
상기 산화 방지 스페이서의 바닥면과 이격되어 상기 활성 패턴의 하부 측벽 일부를 노출시키는 소자 분리 패턴을 형성하는 것을 더 포함하는 반도체 소자의 제조 방법.
6. The method of claim 5,
Before forming the dummy gate pattern,
Further comprising forming a device isolation pattern that is spaced apart from a bottom surface of the anti-oxidation spacer to expose a portion of a lower sidewall of the active pattern.
제 6 항에 있어서,
상기 더미 게이트 패턴은 상기 소자 분리 패턴과 상기 산화 방지 스페이서 사이에서 상기 활성 패턴과 직접 접촉하는 반도체 소자의 제조 방법.
The method according to claim 6,
Wherein the dummy gate pattern is in direct contact with the active pattern between the device isolation pattern and the anti-oxidation spacer.
제 5 항에 있어서,
상기 게이트 전극은 상기 국소 절연 패턴의 측벽과 직접 접촉하는 반도체 소자의 제조 방법.
6. The method of claim 5,
Wherein the gate electrode is in direct contact with a side wall of the localized insulation pattern.
제 5 항에 있어서,
상기 게이트 전극을 형성하는 것은,
상기 산화 방지 스페이서를 제거하여 상기 활성 패턴의 상부 부분을 노출시키는 것; 및
상기 활성 패턴의 상부가 노출된 상기 게이트 영역 내에 상기 보호 스페이서들과 직접 접촉하는 도전막을 채우는 것을 포함하는 반도체 소자의 제조 방법.
6. The method of claim 5,
The reason why the gate electrode is formed is that,
Removing the anti-oxidation spacer to expose an upper portion of the active pattern; And
And filling the conductive film in direct contact with the protective spacers in the gate region in which the top of the active pattern is exposed.
제 5 항에 있어서,
상기 게이트 영역을 형성하기 전에, 상기 보호 스페이서의 측벽을 덮는 측벽 스페이서를 형성하는 것을 더 포함하되, 상기 측벽 스페이서는 상기 보호 스페이서와 다른 절연 물질로 형성된 반도체 소자의 제조 방법.
6. The method of claim 5,
Further comprising forming a sidewall spacer that covers a sidewall of the protection spacer prior to forming the gate region, wherein the sidewall spacer is formed of an insulating material different from the protection spacers.
KR1020130069736A 2013-05-10 2013-06-18 Semiconductor device and method for fabricating the same KR102065496B1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020130069736A KR102065496B1 (en) 2013-06-18 2013-06-18 Semiconductor device and method for fabricating the same
US14/262,937 US9276087B2 (en) 2013-05-10 2014-04-28 Methods of manufacturing FINFET semiconductor devices using sacrificial gate patterns and selective oxidization of a fin
US15/003,288 US9431522B2 (en) 2013-05-10 2016-01-21 Methods of manufacturing FINFET semiconductor devices using sacrificial gate patterns and selective oxidization of a fin

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020130069736A KR102065496B1 (en) 2013-06-18 2013-06-18 Semiconductor device and method for fabricating the same

Publications (2)

Publication Number Publication Date
KR20140146874A true KR20140146874A (en) 2014-12-29
KR102065496B1 KR102065496B1 (en) 2020-01-13

Family

ID=52675905

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130069736A KR102065496B1 (en) 2013-05-10 2013-06-18 Semiconductor device and method for fabricating the same

Country Status (1)

Country Link
KR (1) KR102065496B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170116514A (en) * 2016-04-11 2017-10-19 삼성전자주식회사 Semiconductor device and method for manufacturing the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060124904A (en) * 2005-06-01 2006-12-06 매그나칩 반도체 유한회사 Method for manufacturing a fin field effect transistor
JP2007242737A (en) * 2006-03-06 2007-09-20 Toshiba Corp Semiconductor device
JP2009027002A (en) * 2007-07-20 2009-02-05 Sony Corp Method for manufacturing semiconductor device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060124904A (en) * 2005-06-01 2006-12-06 매그나칩 반도체 유한회사 Method for manufacturing a fin field effect transistor
JP2007242737A (en) * 2006-03-06 2007-09-20 Toshiba Corp Semiconductor device
JP2009027002A (en) * 2007-07-20 2009-02-05 Sony Corp Method for manufacturing semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170116514A (en) * 2016-04-11 2017-10-19 삼성전자주식회사 Semiconductor device and method for manufacturing the same

Also Published As

Publication number Publication date
KR102065496B1 (en) 2020-01-13

Similar Documents

Publication Publication Date Title
US9431522B2 (en) Methods of manufacturing FINFET semiconductor devices using sacrificial gate patterns and selective oxidization of a fin
KR101876793B1 (en) Field Effect Transistor and Method of fabricating the same
CN106611792B (en) Semiconductor device and method for manufacturing the same
KR101927717B1 (en) Semiconductor device and method of forming the same
US9214349B2 (en) Method for manufacturing semiconductor device
US9536868B2 (en) Semiconductor device
US9111991B2 (en) Method of thin silicon deposition for enhancement of on current and surface characteristics of semiconductor device
US9349851B2 (en) Semiconductor device and method of forming the same
KR102183038B1 (en) Method for manufacturing a semiconductor device
US20140299989A1 (en) Semiconductor device with air gap and method for fabricating the same
KR102243492B1 (en) Semiconductor device and method for manufacturing the same
KR20150087005A (en) Semiconductor device and method for fabricating the same
US11901359B2 (en) Method of manufacturing a semiconductor device
US20140203357A1 (en) Semiconductor Device and Method of Manufacturing the Same
KR20150131447A (en) Semiconductor device and method for manufacturing the same
US9536983B2 (en) Methods of manufacturing semiconductor devices including gate patterns with sidewall spacers and capping patterns on the sidewall spacers
KR20140130924A (en) Semiconductor device and method of fabricating the same
KR20150087004A (en) Methods of selectively growing source and drain regions of fin field effect transistor
KR20170134170A (en) Semiconductor device and method for fabricating the same
KR20160139301A (en) Semiconductor device having stressor and method for manufacturing the same
KR102070094B1 (en) Semiconductor Device Having a Resistor Electrode
US10714397B2 (en) Semiconductor device including an active pattern having a lower pattern and a pair of channel patterns disposed thereon and method for manufacturing the same
KR20160139120A (en) A semiconductor device and a method of fabricating the same
US11749734B2 (en) Integrated circuit devices and methods of manufacturing the same
KR102065496B1 (en) Semiconductor device and method for fabricating the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant