KR20140133534A - Substrate Processing Apparatus - Google Patents

Substrate Processing Apparatus Download PDF

Info

Publication number
KR20140133534A
KR20140133534A KR1020147024510A KR20147024510A KR20140133534A KR 20140133534 A KR20140133534 A KR 20140133534A KR 1020147024510 A KR1020147024510 A KR 1020147024510A KR 20147024510 A KR20147024510 A KR 20147024510A KR 20140133534 A KR20140133534 A KR 20140133534A
Authority
KR
South Korea
Prior art keywords
transfer
arm
module
transfer chamber
drive
Prior art date
Application number
KR1020147024510A
Other languages
Korean (ko)
Other versions
KR102359364B1 (en
Inventor
알렉산더 크루피세브
율리시스 길크리스트
로버트 티. 카베니
다니엘 뱁스
Original Assignee
브룩스 오토메이션 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브룩스 오토메이션 인코퍼레이티드 filed Critical 브룩스 오토메이션 인코퍼레이티드
Priority to KR1020227003606A priority Critical patent/KR102529273B1/en
Priority claimed from PCT/US2013/025513 external-priority patent/WO2013120054A1/en
Publication of KR20140133534A publication Critical patent/KR20140133534A/en
Application granted granted Critical
Publication of KR102359364B1 publication Critical patent/KR102359364B1/en

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J15/00Gripping heads and other end effectors
    • B25J15/0019End effectors other than grippers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J15/00Gripping heads and other end effectors
    • B25J15/02Gripping heads and other end effectors servo-actuated
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/0009Constructional details, e.g. manipulator supports, bases
    • B25J9/0027Means for extending the operation range
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/10Programme-controlled manipulators characterised by positioning means for manipulator elements
    • B25J9/102Gears specially adapted therefor, e.g. reduction gears
    • B25J9/1025Harmonic drives
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices

Abstract

이송 챔버 안에서 기판들을 운반하기 위한 이송 장치로서, 상기 이송 챔버는 제1 단부 및 제2 단부 및 상기 단부들 사이에서 연장되는 2개의 측부들(sides)을 구비한다. 상기 이송 장치는, 구동부, 및 상기 이송 챔버에 대하여 고정된 일 단부를 구비한 적어도 하나의 베이스 아암으로서, 상기 구동부에 회전가능하게 결합된 적어도 하나의 아암 링크, 및 상기 베이스 아암의 공통 단부에 회전가능하게 결합되고 2개의 엔드 이펙터들을 가지는 적어도 하나의 이송 아암을 포함하는, 베이스 아암을 포함한다. 상기 구동부는, 3의 자유도를 정의하는 독립적인 회전축들을 갖는 모터들을 구비한다. 1 자유도는 상기 적어도 하나의 이송 아암을 운반하기 위해 상기 적어도 하나의 베이스 아암을 수평으로 이동시키며, 2 자유도는, 상기 적어도 하나의 이송 아암을 신장 및 수축시키고 상기 2개의 엔드 이펙터들을 맞교환하도록, 상기 적어도 하나의 이송 아암을 구동한다.A transfer device for transferring substrates within a transfer chamber, the transfer chamber having a first end and a second end and two sides extending between the ends. The transfer device includes at least one base arm having a drive portion and a fixed end relative to the transfer chamber, the at least one base arm having at least one arm link rotatably coupled to the drive portion, And at least one transfer arm, possibly coupled and having two end effectors. The drive includes motors having independent rotational axes defining a degree of freedom of three. One degree of freedom moves the at least one base arm horizontally to carry the at least one transfer arm and the two degrees of freedom extend and retract the at least one transfer arm and the two end effectors, And drives at least one transfer arm.

Description

기판 프로세싱 장치{Substrate Processing Apparatus}[0001] Substrate Processing Apparatus [

관련된 출원들에 대한 상호 참조Cross-reference to related applications

본 출원은 2012년 2월 10일에 출원된 미국 특허가출원 제61/597,507호; 2012년 6월 18일에 출원된 제61/660,900호; 2012년 6월 21일에 출원된 제61/662,690호의 우선권을 주장하는 바, 그것들의 개시는 그 전체로서 본 명세서에 참조 병합된다.This application is related to U.S. Patent Application No. 61 / 597,507, filed February 10, 2012; 61 / 660,900, filed June 18, 2012; 61 / 662,690, filed June 21, 2012, the disclosures of which are incorporated herein by reference in their entirety.

발명의 기술분야TECHNICAL FIELD OF THE INVENTION

예시적 실시예들은 일반적으로 로봇 운반 장치에 관한 것이며, 더 구체적으로는 다수의 기판 유지 위치들로 기판들을 운반하기 위한 로봇 운반 장치에 관한 것이다.Exemplary embodiments generally relate to robotic transport devices, and more particularly to robotic transport devices for transporting substrates to a plurality of substrate retention locations.

기판들을, 예컨대 선형적 세장형 이송 챔버(linearly elongated transfer chamber)에서와 같이 나란히(side by side) 배치된 다수의 기판 유지 위치들로 운반하는 로봇 운반 시스템들에서는 일반적으로 하나 초과의 이송 로봇이 이용됨으로써 상기 기판이 상기 선형적 세장형 이송 챔버의 길이를 따라 하나의 로봇으로부터 다른 로봇으로 인계(hand off)된다. 다른 일 양상에서는 리니어 슬라이드(linear slide)에 장착(mount)된 단일 로봇 트랜스포트(transport)가 선형적 세장형 이송 챔버를 통하여 기판들을 운반하는 데에 이용된다.In robotic transport systems where substrates are transported to a plurality of substrate retention locations arranged side by side, as for example in a linearly elongated transfer chamber, generally more than one transport robot is used Thereby causing the substrate to be handed off from one robot to another robot along the length of the linear elongate delivery chamber. In another aspect, a single robotic transport mounted on a linear slide is used to transport substrates through a linear elongated transfer chamber.

다수의 선형적으로 배치된 기판 유지 위치들 및/또는 나란한 기판 유지 위치들 사이에서, 기판들의 이송 로봇들 사이에서의 인계 없이, 그리고 리니어 슬라이드의 이용 없이, 기판들을 운반할 수 있어서 상기 이송 챔버 안의 밀봉된 환경에 대한 경계면(interface)들이 감소되는 것이 유리할 것이다.Without transferring between the transfer robots of the substrates and without the use of linear slides, between the plurality of linearly arranged substrate holding positions and / or parallel substrate holding positions, It would be advantageous to reduce the interfaces to the sealed environment.

게다가, 일반적으로 클러스터 유형 도구 배치들로써, 상기 기판 유지 위치들은 공통 주 이송 챔버에 소통가능하게 결합된다(communicably coupled).In addition, generally with cluster type tool arrangements, the substrate holding positions are communicably coupled to a common main transfer chamber.

또한 상기 이송 챔버의 다른 부분(portion)들로부터 상기 클러스터 도구를 위한 이송 챔버의 부분들을 밀봉할 수 있는 것이 유리할 것이다. 그것의 장점들은, 450mm 반도체 웨이퍼들을 프로세싱하기 위한 도구 아키텍처 및 상기 도구 구성 전체에 걸쳐 그것과 결부된 치수적 증가들 때문에 특별히 중요하다.It would also be advantageous to be able to seal portions of the transfer chamber for the cluster tool from other portions of the transfer chamber. Its advantages are particularly important because of the tool architecture for processing 450 mm semiconductor wafers and the dimensional increases associated therewith throughout the tool configuration.

덧붙여 일반적으로 원조(original) 장비 제조자들(equipment manufacturers)/프로세스 공급자(process suppliers)들은, 진공 클러스터 도구들을 대기 장비 프론트 엔드 모듈(EFEM) 적재기들(loaders)과 연계시켜서, 상기 웨이퍼들을 이동성 저장 캐리어(mobile storage carrier)들로부터 프로세스 모듈들로 운반하기 위한 청정 환경(clean environment)을 유지하는 방식(way)을 제공한다. 각각의 웨이퍼의 상기 프로세스 챔버 안으로의 사이클(cycle) 동안에 상기 각각의 웨이퍼는 대기로부터 진공으로 이송되고 다시 대기로 이송된다. 몇몇 경우들에서 프로세싱된 웨이퍼들이 대기에 노출된 후에 그것들은 습공기(humid air)와 반응하여 산성으로 되어서 웨이퍼들 및 취급 장비에 대한 손상이 촉진될 수 있다.Additionally, in general, original equipment manufacturers / process suppliers may associate vacuum cluster tools with standby equipment front end modules (EFEM) loaders to transfer the wafers to a mobile storage carrier provides a way to maintain a clean environment for transport from mobile storage carriers to process modules. During a cycle of each wafer into the process chamber, each of the wafers is transferred from the atmosphere to the vacuum and back to the atmosphere. In some instances, after the processed wafers are exposed to the atmosphere, they may become acidic by reacting with humid air, thereby promoting damage to the wafers and handling equipment.

인접하는 도구들 사이에서의 기판 운반 동안에 제어된 환경을 유지하도록 존재하는(existing) 프로세스 모듈들 및/또는 클러스터 도구들을 연결하는 것이 더 유리할 것이다. 또한 상기 EFEM을 상기 프로세싱 챔버들/클러스터 도구들로부터 원격에 위치시키는 것이 유리할 것이다.It would be more advantageous to connect existing process modules and / or cluster tools to maintain a controlled environment during substrate transfer between adjacent tools. It would also be advantageous to remotely locate the EFEM from the processing chambers / cluster tools.

개시된 실시예의 하나 이상의 양상들에 따르면, 이송 챔버 안에서 기판들을 운반하기 위한 이송 장치로서, 상기 이송 챔버는 제1 단부 및 제2 단부 및 상기 단부들 사이에서 연장되는 2개의 측부들(sides)을 구비하고 각각의 측부는 적어도 2개의 선형적으로 배치된 기판 유지 스테이션들을 가지며 각각의 단부는 적어도 하나의 기판 유지 스테이션을 가지는 이송 장치가 제공된다. 상기 이송 장치는, 구동부; 상기 이송 챔버에 대하여 고정된 일 단부를 구비한 적어도 하나의 베이스 아암으로서, 상기 구동부에 회전가능하게 결합된 적어도 하나의 아암 링크, 및 상기 베이스 아암의 공통 단부에 회전가능하게 결합되고 2개의 엔드 이펙터들을 가지는 적어도 하나의 이송 아암을 포함하는, 베이스 아암;을 포함한다. 상기 구동부는, 3의 자유도를 정의(define)하는 독립적인 회전축들을 갖는 모터들을 구비한다. 상기 구동부의 1 자유도는 상기 이송 챔버 안에서 상기 적어도 하나의 이송 아암을 운반하기 위해 상기 적어도 하나의 베이스 아암을 수평으로 이동시키며, 상기 구동부의 2 자유도는, 상기 적어도 하나의 이송 아암을 신장(extend)시키고 상기 적어도 하나의 이송 아암을 수축(retract)시키고 상기 2개의 엔드 이펙터들을 맞교환(swap)하도록, 상기 적어도 하나의 이송 아암을 구동한다.According to one or more aspects of the disclosed embodiments, there is provided a transfer device for transferring substrates within a transfer chamber, the transfer chamber having a first end and a second end and two sides extending between the ends And each side has at least two linearly arranged substrate holding stations, each end having at least one substrate holding station. The conveying device includes a driving unit; At least one base arm having one end fixed relative to the transfer chamber, the at least one base arm being rotatably coupled to the common end of the base arm and rotatably coupled to the two end effectors And a base arm including at least one transfer arm having at least one transfer arm. The drive unit includes motors having independent rotational axes that define a degree of freedom of three. Wherein one degree of freedom of the drive unit horizontally moves the at least one base arm to transport the at least one transfer arm within the transfer chamber and the two degrees of freedom of the drive unit extend the at least one transfer arm, And drives the at least one transfer arm to retract the at least one transfer arm and swap the two end effectors.

개시된 실시예의 하나 이상의 양상들에 따르면 상기 이송 장치는, 상기 이송 챔버의 각각의 측부 상에 상기 적어도 2개의 선형적으로 배치된 기판 유지 스테이션들 사이에서, 그리고 상기 이송 챔버의 상기 제1 단부 및 제2 단부 각각 상에 배치된 상기 적어도 하나의 기판 유지 스테이션으로, 기판들을 이송하도록 구성된다.According to one or more aspects of the disclosed embodiments, the transfer device is arranged between the at least two linearly arranged substrate holding stations on each side of the transfer chamber, and between the first and second ends of the transfer chamber, And transferring the substrates to the at least one substrate holding station disposed on each of the two ends.

개시된 실시예의 하나 이상의 양상들에 따르면 상기 이송 챔버의 상기 제1 단부 및 제2 단부 중 하나 이상 사이에 배치된 상기 적어도 하나의 기판 유지 스테이션은 3개의 일렬로 늘어선(inline) 로드 록들 또는 4개의 일렬로 늘어선 로드 록들을 포함한다.According to one or more aspects of the disclosed embodiments, the at least one substrate holding station disposed between at least one of the first end and the second end of the transfer chamber comprises three inline load locks or four in- Lt; / RTI >

개시된 실시예들의 하나 이상의 양상들에 따르면 상기 이송 장치는 450mm 직경 웨이퍼들을 취급하도록 구성된다.According to one or more aspects of the disclosed embodiments, the transfer device is configured to handle 450 mm diameter wafers.

개시된 실시예들의 하나 이상의 양상들에 따르면 상기 이송 장치는 평면 패널 디스플레이들, 발광 다이오드들, 유기 발광 다이오드들 또는 태양 전지판을 위한 평면 패널들, 300mm 직경 웨이퍼들, 또는 200mm 직경 웨이퍼들을 취급하도록 구성된다. According to one or more aspects of the disclosed embodiments, the transfer device is configured to handle flat panels for flat panel displays, light emitting diodes, organic light emitting diodes or solar panels, 300 mm diameter wafers, or 200 mm diameter wafers .

개시된 실시예의 하나 이상의 양상들에 따르면 상기 구동부는 동축 구동 샤프트 구성(coaxial drive shaft arrangement)을 포함한다.According to one or more aspects of the disclosed embodiments, the drive includes a coaxial drive shaft arrangement.

개시된 실시예의 하나 이상의 양상들에 따르면 상기 구동부는, 상기 적어도 하나의 이송 아암의 신장(extension) 및 수축(retraction)의 축에 실질적으로 직각인 방향으로 상기 적어도 하나의 이송 아암을 선형적으로 이동시키도록 구성되는 z-축 구동기(z-axis drive)를 포함한다.According to one or more aspects of the disclosed embodiments, the drive unit is configured to linearly move the at least one transfer arm in a direction substantially perpendicular to an axis of extension and retraction of the at least one transfer arm Axis drive (z-axis drive).

개시된 실시예의 하나 이상의 양상들에 따르면 상기 적어도 하나의 베이스 아암은, 구동 축(drive axis)에서 상기 구동부에 회전가능하게 장착된 일 단부를 구비한 적어도 하나의 아암 링크를 포함하며, 상기 적어도 하나의 이송 아암은 어깨 축에서 상기 적어도 하나의 아암 링크의 반대측 제2 단부에 회전가능하게 장착된다.According to one or more aspects of the disclosed embodiments, the at least one base arm includes at least one arm link having an end rotatably mounted to the drive at a drive axis, The transfer arm is rotatably mounted in the shoulder axis at the opposite second end of the at least one arm link.

개시된 실시예의 하나 이상의 양상들에 따르면 상기 구동부는, 상기 구동 축에 배치된 1 자유도 구동기 및 상기 어깨 축에 배치된 2 자유도 구동기를 포함한다.According to one or more aspects of the disclosed embodiments, the drive includes a one degree of freedom driver disposed on the drive shaft and a two degree of freedom driver disposed on the shoulder axis.

개시된 실시예의 양상들 중 하나 이상의 양상들에 따르면 상기 1 자유도 구동기는 하모닉 구동기(harmonic drive)를 포함한다.According to one or more aspects of the aspects of the disclosed embodiments, the 1 degree of freedom driver includes a harmonic drive.

개시된 실시예의 하나 이상의 양상들에 따르면 상기 2 자유도 구동기는, 내부 및 외부 구동 샤프트를 구비한 동축 구동기(coaxial drive)를 포함하고, 상기 외부 구동 샤프트는 상기 내부 구동 샤프트에 대해 독립적으로 회전가능하며 상기 내부 구동 샤프트의 지지 베어링에 의해 지지된다.According to one or more aspects of the disclosed embodiments, the two-degree-of-freedom driver includes a coaxial drive having internal and external drive shafts, the external drive shaft being independently rotatable with respect to the internal drive shaft And is supported by a support bearing of the internal drive shaft.

개시된 실시예의 하나 이상의 양상들에 따르면 상기 적어도 하나의 베이스 아암은, 제1 단부 및 제2 단부를 구비한 상부 아암 링크, 및 제1 단부 및 제2 단부를 구비한 전방 아암 링크(forearm link)를 포함하며, 상기 상부 아암 링크는 상기 제1 단부에서 상기 구동부에 구동 축을 중심으로 회전가능하게 장착되며, 상기 전방 아암 링크는 제1 단부에서 상기 상부 아암 링크의 상기 제2 단부에 회전가능하게 장착된다. 상기 적어도 하나의 이송 아암은 어깨 회전축에서 상기 전방 아암 링크의 상기 제2 단부에 회전가능하게 장착된다. 개시된 실시예의 다른 양상에서, 상기 전방 아암 링크가 상기 구동부에 종속됨으로써 상기 어깨 회전축은 실질적으로 선형인 경로를 따르도록 실질적으로 구속된다(substantially constrained). 상기 상부 아암 및 전방 아암 링크 중의 하나 이상은 적어도 하나의 교환가능한 스페이서부(interchangeable spacer section)를 포함하며, 상기 적어도 하나의 교환가능한 스페이서부는, 상기 상부 아암 링크 및 전방 아암 링크 중 개별의 하나의 길이가 확대/축소(scale)될 수 있도록 하기 위하여, 다른 제거가능한 스페이서부들(removable spacer sections)과 교환가능하도록 구성된다. 개시된 실시예의 다른 양상에서 상기 구동부는 모터를 포함하는 바, 상기 모터는 상기 전방 아암의 회전을 구동하기 위해 상기 상부 아암 링크의 상기 제2 단부에서 배치된다. 개시된 실시예의 또 다른 양상에서 상기 베이스 아암은, 제1 단부 및 제2 단부를 구비한 상부 아암 링크, 제1 단부 및 제2 단부를 구비한 전방 아암 링크, 제1 단부 및 제2 단부를 구비한 손목을 포함하는 바, 상부 아암 링크는 상기 제1 단부에서 상기 구동부에 상기 구동 축을 중심으로 회전가능하게 장착되며, 상기 전방 아암 링크는 상기 제1 단부에서 회전가능하게 상기 상부 아암 링크의 상기 제2 단부에 장착되고, 상기 손목은 상기 제1 단부에서 회전가능하게 상기 전방 아암 링크의 상기 제2 단부에 장착된다.According to one or more aspects of the disclosed embodiments, the at least one base arm includes an upper arm link having a first end and a second end, and a forearm link having a first end and a second end, Wherein the upper arm link is rotatably mounted about the drive shaft to the drive at the first end and the front arm link is rotatably mounted to the second end of the upper arm link at a first end . The at least one transfer arm is rotatably mounted to the second end of the front arm link at a shoulder rotational axis. In another aspect of the disclosed embodiment, the shoulder rotational axis is substantially constrained to follow a substantially linear path as the front arm link is subordinate to the driver. Wherein at least one of the upper arm and the front arm link includes at least one interchangeable spacer section and wherein the at least one interchangeable spacer section has a length of one of the upper arm link and the front arm link, To be displaceable with other removable spacer sections in order to be able to be scaled. In another aspect of the disclosed embodiment, the drive includes a motor, wherein the motor is disposed at the second end of the upper arm link to drive rotation of the front arm. In yet another aspect of the disclosed embodiment, the base arm includes an upper arm link having a first end and a second end, a front arm link having a first end and a second end, a first end having a first end and a second end, A bar including a wrist, wherein an upper arm link is rotatably mounted to the driver at the first end about the drive shaft, the front arm link rotatably supporting the second arm link at the first end, And the wrist is rotatably mounted to the second end of the front arm link at the first end.

개시된 실시예의 하나 이상의 양상들에 따르면 이송 챔버 안에서 기판들을 운반하기 위한 이송 장치로서, 상기 이송 챔버는 제1 단부 및 제2 단부 및 상기 단부들 사이에서 연장되는 2개의 측부들(sides)을 구비하고 각각의 측부는 적어도 2개의 선형적으로 배치된 기판 유지 스테이션들을 가지는 이송 장치가 제공된다. 상기 이송 장치는, 구동부; 상기 이송 챔버에 대하여 고정된 일 단부를 구비한 적어도 하나의 베이스 아암으로서, 상기 구동부에 회전가능하게 결합된 적어도 하나의 아암 링크, 및 상기 베이스 아암에 회전가능하게 결합되고 2개의 엔드 이펙터들을 가지는 적어도 하나의 이송 아암을 포함하는, 베이스 아암;을 포함한다. 상기 구동부는, 3의 자유도를 정의하는 독립적인 회전축들을 갖는 모터들을 구비한다. 상기 구동부의 1 자유도는 상기 이송 챔버 안에서 상기 이송 아암을 운반하기 위해 상기 적어도 하나의 베이스 아암을 수평으로 이동시키며, 상기 구동부의 2 자유도는, 상기 적어도 하나의 이송 아암을 신장시키고 상기 적어도 하나의 이송 아암을 수축시키고 상기 2개의 엔드 이펙터들을 맞교환하도록, 상기 적어도 하나의 이송 아암을 구동한다.According to one or more aspects of the disclosed embodiments, there is provided a transfer device for transferring substrates within a transfer chamber, the transfer chamber having a first end and a second end and two sides extending between the ends Each side is provided with a transfer device having at least two linearly arranged substrate holding stations. The conveying device includes a driving unit; At least one base arm having one end fixed relative to the transfer chamber, at least one base arm rotatably coupled to the base arm and rotatably coupled to the base arm and having at least two end effectors And a base arm including one transfer arm. The drive includes motors having independent rotational axes defining a degree of freedom of three. Wherein one degree of freedom of the drive moves the at least one base arm horizontally to transport the transfer arm within the transfer chamber, the two degrees of freedom of the drive extend the at least one transfer arm and the at least one transfer Drives the at least one transfer arm to retract the arm and to swap the two end effectors.

개시된 실시예의 하나 이상의 양상들에 따르면 상기 이송 장치는, 상기 이송 챔버의 각각의 측부 상의 상기 적어도 2개의 선형적으로 배치된 기판 유지 스테이션들 사이에서 기판들을 이송하도록 구성된다.According to one or more aspects of the disclosed embodiments, the transfer device is configured to transfer substrates between the at least two linearly arranged substrate holding stations on each side of the transfer chamber.

개시된 실시예의 하나 이상의 양상들에 따르면 상기 이송 챔버는 상기 이송 챔버의 제1 단부 및 제2 단부 중 하나 이상에서 배치된 3개의 일렬로 늘어선 로드 록들 또는 4개의 일렬로 늘어선 로드 록들을 포함하며, 상기 이송 장치는, 상기 3개의 일렬로 늘어선 로드 록들 또는 4개의 일렬로 늘어선 로드 록들로, 그리고 상기 3개의 일렬로 늘어선 로드 록들 또는 4개의 일렬로 늘어선 로드 록들로부터, 기판들을 이송하도록 구성된다.According to one or more aspects of the disclosed embodiments, the transfer chamber comprises three in-line load locks or four in-line load locks disposed in at least one of a first end and a second end of the transfer chamber, The transfer device is configured to transfer the substrates from the three row-by-row loadlocks or the four row-by-row loadlocks and from the three row-by-row loadlocks or the four row-by-row loadlocks.

개시된 실시예들의 하나 이상의 양상들에 따르면 상기 이송 장치는 450mm 직경 웨이퍼들을 취급하도록 구성된다.According to one or more aspects of the disclosed embodiments, the transfer device is configured to handle 450 mm diameter wafers.

개시된 실시예들의 하나 이상의 양상들에 따르면 상기 이송 장치는 평면 패널 디스플레이들, 발광 다이오드들, 유기 발광 다이오드들 또는 태양 전지판을 위한 평면 패널들, 300mm 직경 웨이퍼들, 또는 200mm 직경 웨이퍼들을 취급하도록 구성된다.According to one or more aspects of the disclosed embodiments, the transfer device is configured to handle flat panels for flat panel displays, light emitting diodes, organic light emitting diodes or solar panels, 300 mm diameter wafers, or 200 mm diameter wafers .

개시된 실시예의 하나 이상의 양상들에 따르면 기판 프로세싱 장치가 제공된다. 상기 장치는, 실질적으로 밀봉된 환경을 형성하는 적어도 하나의 이송 챔버, 및 적어도 부분적으로 상기 적어도 하나의 이송 챔버 각각 내에 배치된 적어도 하나의 이송 장치를 포함한다. 상기 적어도 하나의 이송 장치는, 구동부; 상기 이송 챔버에 대하여 고정된 일 단부를 구비한 베이스 아암으로서, 상기 구동부에 회전가능하게 결합된 적어도 하나의 아암 링크, 및 상기 베이스 아암의 공통 단부에 회전가능하게 결합되고 2개의 엔드 이펙터들을 가지는 적어도 하나의 이송 아암을 포함하는, 상기 베이스 아암;을 포함한다. 상기 구동부는, 3의 자유도를 정의하는 독립적인 회전축들을 갖는 모터들을 구비한다. 상기 구동부의 1 자유도는 상기 이송 챔버 안에서 상기 적어도 하나의 이송 아암을 수평으로 운반하기 위해 상기 베이스 아암을 이동시키며, 상기 구동부의 2 자유도는, 상기 적어도 하나의 이송 아암을 신장시키고 상기 적어도 하나의 이송 아암을 수축시키고 상기 2개의 엔드 이펙터들을 맞교환하도록, 상기 적어도 하나의 이송 아암을 구동한다.According to one or more aspects of the disclosed embodiments, a substrate processing apparatus is provided. The apparatus includes at least one transfer chamber forming a substantially sealed environment, and at least one transfer device disposed at least partially within each of the at least one transfer chamber. Wherein the at least one transfer device comprises: a driver; A base arm having one end fixed relative to the transfer chamber, the base arm comprising: at least one arm link rotatably coupled to the drive; and at least one arm link rotatably coupled to the common end of the base arm and having at least two end effectors, And the base arm including one transfer arm. The drive includes motors having independent rotational axes defining a degree of freedom of three. Wherein one degree of freedom of the drive moves the base arm to horizontally convey the at least one transfer arm within the transfer chamber, the two degrees of freedom of the drive extend the at least one transfer arm and the at least one transfer Drives the at least one transfer arm to retract the arm and to swap the two end effectors.

개시된 실시예의 하나 이상의 양상들에 따르면, 상기 적어도 하나의 이송 챔버 각각은 제1 단부 및 제2 단부 및 상기 단부들 사이에서 연장되는 2개의 측부들(sides)을 구비하고 각각의 측부는 적어도 2개의 선형적으로 배치된 기판 유지 스테이션들을 가지며 각각의 단부는 적어도 하나의 기판 유지 스테이션을 가지고, 상기 이송 장치는, 상기 이송 챔버의 각각의 측부 상에 상기 적어도 2개의 선형적으로 배치된 기판 유지 스테이션들 사이에서, 그리고 상기 이송 챔버의 상기 제1 단부 및 제2 단부 각각 상에 배치된 상기 적어도 하나의 기판 유지 스테이션으로, 기판들을 이송하도록 구성된다.According to one or more aspects of the disclosed embodiments, each of the at least one transfer chamber has a first end and a second end, and two sides extending between the ends, each side having at least two A transfer station having at least two substrate holding stations on each side of the transfer chamber, the substrate transfer station comprising: at least two linearly arranged substrate holding stations And the at least one substrate holding station disposed on each of the first and second ends of the transfer chamber.

개시된 실시예의 하나 이상의 양상들에 따르면 상기 이송 챔버의 제1 단부 및 제2 단부 중 하나 이상에 배치된 상기 적어도 하나의 기판 유지 스테이션은 3개의 일렬로 늘어선 로드 록들 또는 4개의 일렬로 늘어선 로드 록을 포함한다.According to one or more aspects of the disclosed embodiments, the at least one substrate holding station disposed in at least one of the first end and the second end of the transfer chamber comprises three in-line load locks or four in- .

개시된 실시예들의 하나 이상의 양상들에 따르면 상기 기판 프로세싱 장치는 450mm 직경 웨이퍼들을 취급하도록 구성된다. According to one or more aspects of the disclosed embodiments, the substrate processing apparatus is configured to handle 450 mm diameter wafers.

개시된 실시예들의 하나 이상의 양상들에 따르면 상기 기판 프로세싱 장치는 평면 패널 디스플레이들, 발광 다이오드들, 유기 발광 다이오드들 또는 태양 전지판을 위한 평면 패널들, 300mm 직경 웨이퍼들, 또는 200mm 직경 웨이퍼들을 취급하도록 구성된다.According to one or more aspects of the disclosed embodiments, the substrate processing apparatus is configured to handle flat panels for planar panel displays, light emitting diodes, organic light emitting diodes or solar panels, 300 mm diameter wafers, or 200 mm diameter wafers do.

개시된 실시예의 하나 이상의 양상들에 따르면 상기 적어도 하나의 이송 챔버는 클러스터링된 구성을 갖는다. 다른 양상에서 상기 클러스터링된 구성은 이중 클러스터 이송 챔버 구성 또는 삼중 클러스터 이송 챔버 구성이다.According to one or more aspects of the disclosed embodiments, the at least one transfer chamber has a clustered configuration. In another aspect, the clustered configuration is a dual cluster transfer chamber configuration or a triple cluster transfer chamber configuration.

개시된 실시예의 하나 이상의 양상들에 따르면 상기 적어도 하나의 이송 챔버의 적어도 일 단부는 상기 기판 프로세싱 장치에서 기판들을 제거 또는 삽입하기 위한 장비 프론트 엔드 모듈을 포함한다.According to one or more aspects of the disclosed embodiments, at least one end of the at least one transfer chamber includes an equipment front end module for removing or inserting substrates in the substrate processing apparatus.

개시된 실시예의 하나 이상의 양상들에 따르면 상기 적어도 하나의 이송 챔버는, 결합된(combined) 선형적 세장형 이송 챔버를 형성하도록 서로 소통가능하게 결합되는 적어도 2개의 선형적 세장형 이송 챔버들을 포함한다. 다른 양상에서 상기 결합된 선형적 세장형 이송 챔버의 적어도 일 단부는 상기 기판 프로세싱 장치에서 기판들을 제거 또는 삽입하기 위한 장비 프론트 엔드 모듈을 포함한다.According to one or more aspects of the disclosed embodiments, the at least one transfer chamber comprises at least two linear elongated transfer chambers communicatively coupled to form a combined linear elongated transfer chamber. In another aspect, at least one end of the combined linear elongate delivery chamber includes an equipment front end module for removing or inserting substrates in the substrate processing apparatus.

개시된 실시예의 하나 이상의 양상들에 따르면 상기 구동부는 동축 구동 샤프트 구성을 포함한다.According to one or more aspects of the disclosed embodiments, the drive comprises a coaxial drive shaft arrangement.

개시된 실시예의 하나 이상의 양상들에 따르면 상기 베이스 아암은 구동 축에서 상기 구동부에 회전가능하게 장착된 일 단부를 구비한 적어도 하나의 아암 링크를 포함하고, 상기 적어도 하나의 이송 아암은 어깨 축에서 상기 적어도 하나의 아암 링크의 반대측 제2 단부에 회전가능하게 장착된다.According to one or more aspects of the disclosed embodiments, the base arm includes at least one arm link having an end rotatably mounted to the drive in a drive shaft, the at least one transfer arm having at least one And is rotatably mounted on the opposite end of the one arm link.

개시된 실시예의 하나 이상의 양상들에 따르면, 상기 구동부는 상기 구동 축에 배치된 1 자유도 구동기 및 상기 어깨 축에 배치된 2 자유도 구동기를 포함한다.According to one or more aspects of the disclosed embodiments, the drive includes a one degree of freedom driver disposed on the drive shaft and a two degree of freedom driver disposed on the shoulder axis.

개시된 실시예의 양상들 중 하나 이상의 양상들에 따르면 상기 1 자유도 구동기는 하모닉 구동기를 포함한다.According to one or more aspects of the aspects of the disclosed embodiments, the 1 degree of freedom driver includes a harmonic driver.

개시된 실시예의 하나 이상의 양상들에 따르면 상기 2 자유도 구동기는, 내부 및 외부 구동 샤프트를 구비한 동축 구동기를 포함하고, 상기 외부 구동 샤프트는 상기 내부 구동 샤프트에 대해 독립적으로 회전가능하며 상기 내부 구동 샤프트의 지지 베어링에 의해 지지된다.According to one or more aspects of the disclosed embodiments, the two degree of freedom driver includes a coaxial driver having an inner and an outer drive shaft, the outer drive shaft being independently rotatable relative to the inner drive shaft, As shown in Fig.

개시된 실시예의 하나 이상의 양상들에 따르면 상기 베이스 아암은, 제1 단부 및 제2 단부를 구비한 상부 아암 링크, 및 제1 단부 및 제2 단부를 구비한 전방 아암 링크(forearm link)를 포함하며, 상기 상부 아암 링크는 상기 제1 단부에서 상기 구동부에 구동 축을 중심으로 회전가능하게 장착되며, 상기 전방 아암 링크는 제1 단부에서 상기 상부 아암 링크의 상기 제2 단부에 회전가능하게 장착된다. 상기 적어도 하나의 이송 아암은 상기 어깨 회전축에서 상기 전방 아암 링크의 상기 제2 단부에 회전가능하게 장착된다. 개시된 실시예의 다른 양상에서 상기 전방 아암 링크는 상기 구동부에 종속됨으로써 상기 어깨 회전축은 상기 적어도 하나의 선형적 세장형 이송 챔버의 길이를 따라 실질적으로 선형인 경로를 따르도록 실질적으로 구속된다. 상기 상부 아암 및 전방 아암 링크 중의 하나 이상은 적어도 하나의 교환가능한 스페이서부(interchangeable spacer section)를 포함하며, 상기 적어도 하나의 교환가능한 스페이서부는, 상기 상부 아암 링크 및 전방 아암 링크 중 개별의 하나의 길이가 확대/축소(scale)될 수 있도록 하기 위하여, 다른 제거가능한 스페이서부들(removable spacer sections)과 교환가능하도록 구성된다. 개시된 실시예의 다른 양상에서 상기 구동부는 모터를 포함하는 바, 상기 모터는 상기 전방 아암의 회전을 구동하기 위해 상기 상부 아암 링크의 상기 제2 단부에서 배치된다. 개시된 실시예의 또 다른 양상에서 상기 베이스 아암은, 제1 단부 및 제2 단부를 구비한 상부 아암 링크, 제1 단부 및 제2 단부를 구비한 전방 아암 링크, 제1 단부 및 제2 단부를 구비한 손목을 포함하는 바, 상부 아암 링크는 상기 제1 단부에서 상기 구동부에 상기 구동 축을 중심으로 회전가능하게 장착되며, 상기 전방 아암 링크는 상기 제1 단부에서 상기 상부 아암 링크의 상기 제2 단부에 회전가능하게 장착되고, 상기 손목은 상기 제1 단부에서 상기 전방 아암 링크의 상기 제2 단부에 회전가능하게 장착된다.According to one or more aspects of the disclosed embodiments, the base arm includes an upper arm link having a first end and a second end, and a forearm link having a first end and a second end, The upper arm link is rotatably mounted to the drive at the first end about a drive shaft, and the front arm link is rotatably mounted at the first end and the second end of the upper arm link. The at least one transfer arm is rotatably mounted at the second end of the front arm link at the shoulder rotational axis. In another aspect of the disclosed embodiment, the front arm link is subordinate to the drive so that the shoulder rotational axis is substantially constrained to follow a path that is substantially linear along the length of the at least one linear elongated delivery chamber. Wherein at least one of the upper arm and the front arm link includes at least one interchangeable spacer section and wherein the at least one interchangeable spacer section has a length of one of the upper arm link and the front arm link, To be displaceable with other removable spacer sections in order to be able to be scaled. In another aspect of the disclosed embodiment, the drive includes a motor, wherein the motor is disposed at the second end of the upper arm link to drive rotation of the front arm. In yet another aspect of the disclosed embodiment, the base arm includes an upper arm link having a first end and a second end, a front arm link having a first end and a second end, a first end having a first end and a second end, A bar including a wrist, wherein an upper arm link is rotatably mounted to the drive at the first end about the drive shaft, the front arm link is rotatably attached to the second end of the upper arm link at the first end, And the wrist is rotatably mounted to the second end of the front arm link at the first end.

개시된 실시예의 하나 이상의 양상들에 따르면 기판 프로세싱 장치가 제공된다. 상기 기판 프로세싱 장치는, 적어도 하나의 선형적 세장형 이송 챔버; 및 적어도 부분적으로 상기 적어도 하나의 선형적 세장형 이송 챔버 안에 배치된 이송 장치;를 포함한다. 상기 이송 장치는, 3 자유도를 정의하는 3개의 독립적인 회전축들을 갖는 구동 시스템을 구비한 구동부를 포함한다. 베이스 아암부는 상기 구동부에 회전가능하게 결합되며, 이송 아암부는 상기 베이스 아암부에 회전가능하게 결합된다. 상기 이송 아암부는 2개의 엔드 이펙터들을 구비한다. 상기 구동부의 1 자유도는 상기 이송 아암부를 운반하기 위해 상기 베이스 아암을 수평으로 이동시키며, 2 자유도는, 상기 이송 아암부를 신장시키고 상기 이송 아암부를 수축시키고 상기 2개의 엔드 이펙터들을 맞교환하도록, 상기 이송 아암부를 구동한다. According to one or more aspects of the disclosed embodiments, a substrate processing apparatus is provided. The substrate processing apparatus includes at least one linear elongated transfer chamber; And a transfer device disposed at least partially within the at least one linear elongate transfer chamber. The transport apparatus includes a drive unit having a drive system having three independent rotational axes defining three degrees of freedom. The base arm portion is rotatably coupled to the driving portion, and the transfer arm portion is rotatably coupled to the base arm portion. The transfer arm portion has two end effectors. Wherein one degree of freedom of the drive unit moves the base arm horizontally to carry the transfer arm unit and the two degrees of freedom are such that the transfer arm unit is stretched and the transfer arm unit is contracted and the two end effectors are swapped, .

개시된 실시예들의 하나 이상의 양상들에 따르면 상기 기판 프로세싱 장치는 450mm 직경 웨이퍼들을 취급하도록 구성된다. According to one or more aspects of the disclosed embodiments, the substrate processing apparatus is configured to handle 450 mm diameter wafers.

개시된 실시예들의 하나 이상의 양상들에 따르면 상기 기판 프로세싱 장치는 평면 패널 디스플레이들, 발광 다이오드들, 유기 발광 다이오드들 또는 태양 전지판을 위한 평면 패널들, 300mm 직경 웨이퍼들, 또는 200mm 직경 웨이퍼들을 취급하도록 구성된다.According to one or more aspects of the disclosed embodiments, the substrate processing apparatus is configured to handle flat panels for planar panel displays, light emitting diodes, organic light emitting diodes or solar panels, 300 mm diameter wafers, or 200 mm diameter wafers do.

개시된 실시예의 하나 이상의 양상들에 따르면 기판 운반 장치가 제공된다. 상기 기판 운반 장치는, 3 자유도를 정의하는 3개의 독립적인 회전축들을 갖는 구동부, 상기 구동부에 연결된 베이스 아암, 및 상기 베이스 아암에 회전가능하게 장착되고 2개의 엔드 이펙터들을 구비한 이송 아암을 포함한다. 상기 구동부의 1 자유도는 상기 이송 아암을 운반하기 위해 상기 베이스 아암을 수평으로 이동시킨다. 2 자유도를 갖는 상기 구동부의 모터는 유닛(unit)으로서, 제거가능하게 상기 베이스 아암에 결합되기 위하여 구성됨으로써 상기 이송 아암이 상기 베이스 아암에 결합된 때에 상기 2 자유도를 갖는 상기 구동부의 모터에 상기 이송 아암이 결합된다.According to one or more aspects of the disclosed embodiments, a substrate transport apparatus is provided. The substrate transport apparatus includes a driving unit having three independent rotational axes defining three degrees of freedom, a base arm connected to the driving unit, and a transfer arm rotatably mounted on the base arm and having two end effectors. One degree of freedom of the driving unit moves the base arm horizontally to carry the transfer arm. Wherein the motor of the driving unit having two degrees of freedom is a unit and is configured to be removably coupled to the base arm so that when the transfer arm is coupled to the base arm, The arm is coupled.

개시된 실시예들의 하나 이상의 양상들에 따르면 상기 기판 운반 장치는 450mm 직경 웨이퍼들을 취급하도록 구성된다.According to one or more aspects of the disclosed embodiments, the substrate transport apparatus is configured to handle 450 mm diameter wafers.

개시된 실시예들의 하나 이상의 양상들에 따르면 상기 기판 운반 장치는 평면 패널 디스플레이들, 발광 다이오드들, 유기 발광 다이오드들 또는 태양 전지판을 위한 평면 패널들, 300mm 직경 웨이퍼들, 또는 200mm 직경 웨이퍼들을 취급하도록 구성된다.According to one or more aspects of the disclosed embodiments, the substrate transport apparatus is configured to handle flat panel displays for flat panel displays, light emitting diodes, organic light emitting diodes or solar panels, 300 mm diameter wafers, or 200 mm diameter wafers do.

개시된 실시예의 하나 이상의 양상들에 따르면, 상기 2 자유도를 갖는 상기 구동부의 모터는, 내부 및 외부 구동 샤프트를 구비한 동축 구동기를 포함하고, 상기 외부 구동 샤프트는 상기 내부 구동 샤프트에 대해 독립적으로 회전가능하며 상기 내부 구동 샤프트의 지지 베어링에 의해 지지된다.According to one or more aspects of the disclosed embodiments, the motor of the drive with the two degrees of freedom comprises a coaxial driver having internal and external drive shafts, the external drive shaft being rotatable independently of the internal drive shaft And is supported by a support bearing of the internal drive shaft.

개시된 실시예의 하나 이상의 양상들에 따르면 기판 프로세싱 도구가 제공된다. 상기 기판 프로세싱 도구는, 다각형 이송 챔버, 및 상기 이송 챔버의 각각의 측부 상에 배치된 적어도 2개의 기판 유지 스테이션들을 포함한다. 적어도 2개의 기판 운반 장치는 적어도 부분적으로 상기 이송 챔버 안에 배치된다. 상기 적어도 2개의 기판 운반 장치 각각은, 구동 축에서 상기 이송 챔버 안에 회전가능하게 장착된 베이스 아암, 및 상기 베이스 아암 상에 회전가능하게 장착되고 2개의 엔드 이펙터들을 구비한 적어도 하나의 이송 아암을 포함한다. 각각의 베이스 아암은 상기 구동 축을 중심으로 독립적으로 회전가능하며, 상기 적어도 하나의 이송 아암은 개별의 베이스 아암에 대하여 독립적으로 회전가능함으로써, 각각의 이송 아암의 신장(extension) 및 수축(retraction)의 축(axis)이, 상기 기판 유지 스테이션들 중 임의의 것과 상기 이송 아암의 사이에서 기판들을 이송할 수 있게 한다.According to one or more aspects of the disclosed embodiments, a substrate processing tool is provided. The substrate processing tool includes a polygonal transfer chamber and at least two substrate holding stations disposed on each side of the transfer chamber. At least two substrate transport devices are disposed at least partially within the transfer chamber. Wherein each of the at least two substrate transfer devices includes a base arm rotatably mounted in the transfer chamber in a drive shaft and at least one transfer arm rotatably mounted on the base arm and having two end effectors do. Each base arm being independently rotatable about the drive axis and the at least one transfer arm being independently rotatable relative to a respective base arm so that the extension and retraction of each transfer arm An axis allows transfer of substrates between any of the substrate holding stations and the transfer arm.

개시된 실시예들의 하나 이상의 양상들에 따르면 상기 기판 프로세싱 도구는 450mm 직경 웨이퍼들을 취급하도록 구성된다.According to one or more aspects of the disclosed embodiments, the substrate processing tool is configured to handle 450 mm diameter wafers.

개시된 실시예들의 하나 이상의 양상들에 따르면 상기 기판 프로세싱 도구는 평면 패널 디스플레이들, 발광 다이오드들, 유기 발광 다이오드들 또는 태양 전지판을 위한 평면 패널들, 300mm 직경 웨이퍼들, 또는 200mm 직경 웨이퍼들을 취급하도록 구성된다.According to one or more aspects of the disclosed embodiments, the substrate processing tool is configured to handle flat panel displays, light emitting diodes, flat panels for organic light emitting diodes or solar panels, 300 mm diameter wafers, or 200 mm diameter wafers do.

개시된 실시예의 하나 이상의 양상들에 따르면 각각의 기판 운반 장치는, 1 자유도 구동 모터 및 2 자유도 구동 모터를 포함하고, 상기 1 자유도 구동 모터는 상기 베이스 아암을 회전가능하게 구동하도록 구성되고, 상기 2 자유도 구동 모터는 상기 베이스 아암에 대해 독립적으로 상기 적어도 하나의 이송 아암의 회전, 신장 및 수축을 수행(effect)하도록 구성된다.According to one or more aspects of the disclosed embodiments, each substrate transport apparatus includes a one-degree-of-freedom drive motor and a two-degree-of-freedom drive motor, The two degree of freedom drive motor is configured to effect rotation, extension and contraction of the at least one transfer arm independently of the base arm.

개시된 실시예의 하나 이상의 양상들에 따르면 기판 프로세싱 장치이 제공된다. 상기 기판 프로세싱 장치는, 상호연결된 이송 챔버 모듈들의 2차원적 배열로 형성된 격자(grid)를 포함한 복합 이송 챔버로서, 각각의 이송 챔버 모듈은 상기 이송 챔버 모듈들 중 다른 것들로부터 선택적으로 밀봉가능한, 복합 이송 챔버를 포함한다. 하나 이상의 기판 유지 스테이션들은 상기 이송 챔버 모듈들 각각에 소통가능하게 결합된다. 각각의 이송 챔버 모듈은, 상기 복합 이송 챔버에 소통가능하게 결합된 기판 유지 스테이션들과 상기 이송 챔버 모듈들 사이에서 기판들을 운반하기 위하여 상기 각각의 이송 챔버 모듈 안에 배치된 이송 아암을 포함한다.According to one or more aspects of the disclosed embodiments, a substrate processing apparatus is provided. Wherein the substrate processing apparatus is a composite transfer chamber including a grid formed by a two dimensional array of interconnected transfer chamber modules, each transfer chamber module including a plurality of transfer chamber modules that are selectively sealable from other ones of the transfer chamber modules, And a transfer chamber. One or more substrate holding stations are communicatively coupled to each of the transfer chamber modules. Each transfer chamber module includes substrate holding stations communicatively coupled to the composite transfer chamber and a transfer arm disposed within each transfer chamber module for transferring substrates between the transfer chamber modules.

개시된 실시예의 하나 이상의 양상들에 따르면 상기 상호연결된 이송 챔버 모듈들의 2차원적 배열은 적어도 이송 챔버 모듈들의 2x2(two-by-two) 배열을 포함한다.According to one or more aspects of the disclosed embodiments, the two-dimensional arrangement of interconnecting transfer chamber modules comprises at least a two-by-two arrangement of transfer chamber modules.

개시된 실시예의 하나 이상의 양상들에 따르면 상기 기판 프로세싱 장치는 다수의 수평 레벨들(multiple horizontal levels)로 된 기판 유지 스테이션들을 포함한다.According to one or more aspects of the disclosed embodiments, the substrate processing apparatus includes substrate holding stations at multiple horizontal levels.

개시된 실시예의 하나 이상의 양상들에 따르면 기판 프로세싱 도구가 제공된다. 상기 기판 프로세싱 도구는, 다각형 이송 챔버, 및 상기 이송 챔버의 각각의 측부 상에 배치된 적어도 2개의 기판 유지 스테이션들을 포함한다. 적어도 하나의 기판 운반 장치는 적어도 부분적으로 상기 이송 챔버 안에 배치된다. 상기 적어도 하나의 기판 운반 장치 각각은, 허브 스페이서 링크(hub spacer link)를 포함하고, 상기 허브 스페이서 링크는, 구동 축에서 상기 이송 챔버 안에 장착된 허브에 결합되며, 적어도 하나의 이송 아암은 상기 허브 스페이서 링크 상에 회전가능하게 장착된다. 상기 허브는 회전가능하게 인덱스 가능(rotatably indexable)함으로써, 각각의 이송 아암의 신장(extension) 및 수축(retraction)의 축(axis)이, 상기 기판 유지 스테이션들 중 임의의 것과 상기 이송 아암의 사이에서 기판들을 이송할 수 있게 한다. 상기 적어도 하나의 이송 아암을 구동시키기 위하여 모터 모듈이 상기 허브의 반대측, 각각의 허브 스페이서 링크의 단부에서 배치된다.According to one or more aspects of the disclosed embodiments, a substrate processing tool is provided. The substrate processing tool includes a polygonal transfer chamber and at least two substrate holding stations disposed on each side of the transfer chamber. At least one substrate transport apparatus is disposed at least partially within the transfer chamber. Wherein each of the at least one substrate transfer devices includes a hub spacer link, the hub spacer link is coupled to a hub mounted in the transfer chamber in a drive shaft, and at least one transfer arm is coupled to the hub And is rotatably mounted on the spacer link. The hub is rotatably indexable so that an axis of extension and retraction of each transfer arm is positioned between any of the substrate holding stations and the transfer arm Thereby allowing the substrates to be transported. A motor module is disposed on the opposite side of the hub, at the end of each hub spacer link, to drive the at least one transfer arm.

개시된 실시예의 하나 이상의 양상들에 따르면 기판 프로세싱 장치가 제공된다. 상기 기판 프로세싱 장치는, 서로 소통가능하게 결합되고 나란히(side by side) 배치된 적어도 제1 이송 챔버 모듈 및 제2 이송 챔버 모듈과, 상기 제1 이송 챔버 모듈 및 상기 제2 이송 챔버 모듈 옆에 나란히(alongside) 연장되며 상기 제1 이송 챔버 모듈 및 상기 제2 이송 챔버 모듈 모두에 소통가능하게 결합된 제3 이송 챔버 모듈을 구비한 복합 이송 챔버를 포함한다. 적어도 하나의 기판 유지 스테이션은 상기 제1 이송 챔버 모듈, 상기 제2 이송 챔버 모듈 및 상기 제3 이송 챔버 모듈 각각에 소통가능하게 결합된다. 상기 제1 이송 챔버 모듈, 상기 제2 이송 챔버 모듈 및 상기 제3 이송 챔버 모듈 각각은, 상기 제1 이송 챔버 모듈, 상기 제2 이송 챔버 모듈 및 상기 제3 이송 챔버 모듈과 상기 적어도 하나의 기판 유지 스테이션 사이에서 기판들을 운반하기 위하여, 상기 제1 이송 챔버 모듈, 상기 제2 이송 챔버 모듈 및 상기 제3 이송 챔버 모듈 각각의 안에 배치된 적어도 하나의 이송 아암을 구비한다.According to one or more aspects of the disclosed embodiments, a substrate processing apparatus is provided. The substrate processing apparatus includes at least a first transfer chamber module and a second transfer chamber module that are communicatively coupled to each other and disposed side by side and a second transfer chamber module that is arranged next to the first transfer chamber module and the second transfer chamber module and a third transfer chamber module extending along the first transfer chamber module and communicatively coupled to both the first transfer chamber module and the second transfer chamber module. At least one substrate holding station is communicatively coupled to each of the first transfer chamber module, the second transfer chamber module and the third transfer chamber module. Wherein each of the first transfer chamber module, the second transfer chamber module, and the third transfer chamber module includes at least one of the first transfer chamber module, the second transfer chamber module and the third transfer chamber module, And at least one transfer arm disposed within each of the first transfer chamber module, the second transfer chamber module and the third transfer chamber module for transferring substrates between the stations.

개시된 실시예의 하나 이상의 양상들에 따르면 상기 제3 이송 챔버 모듈은, 구동부, 및 상기 구동부에 회전가능하게 결합된 적어도 하나의 아암 링크가 포함되고 상기 제3 이송 챔버에 대하여 일 단부에서 고정되는 적어도 하나의 베이스 아암을 포함한다. 상기 제3 이송 챔버 모듈의 상기 적어도 하나의 이송 아암은 상기 베이스 아암의 공통 단부에 회전가능하게 결합되며, 상기 적어도 하나의 이송 아암은 2개의 엔드 이펙터들을 구비한다. 상기 구동부는, 3 자유도를 정의하는 독립적인 회전축들을 갖는 모터들을 구비한다. 상기 구동부의 1 자유도는 상기 제3 이송 챔버 모듈 안에서 상기 적어도 하나의 이송 아암을 운반하기 위해 상기 적어도 하나의 베이스 아암을 수평으로 이동시키며, 상기 구동부의 2 자유도는 상기 적어도 하나의 이송 아암을 신장시키고 상기 적어도 하나의 이송 아암을 수축시키고 상기 2개의 엔드 이펙터들을 맞교환하도록 구동한다.According to one or more aspects of the disclosed embodiments, the third transfer chamber module comprises a drive, and at least one arm link rotatably coupled to the drive, and at least one As shown in FIG. The at least one transfer arm of the third transfer chamber module is rotatably coupled to a common end of the base arm, the at least one transfer arm having two end effectors. The drive includes motors having independent rotational axes defining three degrees of freedom. Wherein one degree of freedom of the drive moves the at least one base arm horizontally to carry the at least one transfer arm within the third transfer chamber module and the two degrees of freedom of the drive extend the at least one transfer arm To retract the at least one transfer arm and to swap the two end effectors.

개시된 실시예의 하나 이상의 양상들에 따르면, 기판 프로세싱 장치가 제공된다. 상기 기판 프로세싱 장치는, 운반 터널, 및 상기 운반 터널에 소통가능하게 결합된 자동화 모듈을 포함한다. 상기 자동화 모듈은, 제1 단부 및 제2 단부와, 상기 단부들 사이에서 연장되는 2개의 측부들을 포함하고, 각각의 측부는 적어도 2개의 연결 포트들을 구비하고, 상기 단부들 중 적어도 하나는 상기 운반 터널에 결합되며, 상기 자동화 모듈의 적어도 일 측부의 상기 적어도 2개의 연결 포트들은 클러스터 도구 모듈에의 연결을 위하여 구성된다. 상기 자동화 모듈은 이송 장치를 더 포함하며, 상기 이송 장치는, 구동부, 상기 구동부에 회전가능하게 결합된 적어도 하나의 아암 링크가 포함되고 상기 이송 챔버에 대하여 일 단부에서 고정되는 적어도 하나의 베이스 아암, 및 상기 베이스 아암의 공통 단부에 회전가능하게 결합되는 적어도 하나의 이송 아암을 구비하며, 상기 적어도 하나의 이송 아암은 적어도 하나의 엔드 이펙터를 구비한다.According to one or more aspects of the disclosed embodiments, a substrate processing apparatus is provided. The substrate processing apparatus includes a transport tunnel and an automation module communicatively coupled to the transport tunnel. Wherein the automation module includes a first end and a second end and two sides extending between the ends, each side having at least two connection ports, at least one of the ends having a first end and a second end, Wherein the at least two connection ports on at least one side of the automation module are configured for connection to a cluster tool module. Wherein the automated module further comprises a transfer device including at least one base arm having at least one arm link rotatably coupled to the drive and being fixed at one end to the transfer chamber, And at least one transfer arm rotatably coupled to a common end of the base arm, wherein the at least one transfer arm has at least one end effector.

개시된 실시예의 하나 이상의 양상들에 따르면, 상기 적어도 하나의 이송 아암은 2개의 엔드 이펙터들을 포함하고, 상기 구동부는, 3 자유도를 정의하는 독립적인 회전축들을 갖는 모터들을 구비한다. 상기 구동부의 1 자유도는 상기 이송 챔버 안에 상기 적어도 하나의 이송 아암을 운반하기 위해 상기 적어도 하나의 베이스 아암을 수평으로 이동시키며, 상기 구동부의 2 자유도는 상기 적어도 하나의 이송 아암을 신장시키고 상기 적어도 하나의 이송 아암을 수축시키고 상기 2개의 엔드 이펙터들을 맞교환하도록 구동한다.According to one or more aspects of the disclosed embodiments, the at least one transfer arm includes two end effectors, and the drive includes motors with independent rotational axes defining three degrees of freedom. Wherein one degree of freedom of the drive moves the at least one base arm horizontally to transport the at least one transfer arm in the transfer chamber, the two degrees of freedom of the drive extend the at least one transfer arm, And actuates the two end effectors to swap.

개시된 실시예의 하나 이상의 양상들에 따르면 기판 프로세싱 장치가 제공된다. 상기 기판 프로세싱 장치는, 운반 터널, 및 상기 운반 터널에 결합된 적어도 하나의 모듈을 포함한다. 상기 운반 터널은, 상기 운반 터널의 길이방향 단부들 사이에서 통행(travel)하도록 구성된 적어도 하나의 운반 카트 포함하며, 상기 적어도 하나의 운반 카트는, 상기 운반 카트에 고정적으로 장착된 실질적으로 강성인 기판 홀더를 포함한다. 상기 운반 카트와 상기 적어도 하나의 모듈 사이에서 기판들을 이송하기 위하여, 상기 운반 카트가 상기 운반 터널의 상기 길이방향 단부들 중 적어도 하나에 인접 배치된 때에, 상기 실질적으로 강성인 기판 홀더는 상기 길이방향 단부들 중 상기 적어도 하나 너머로 연장되도록 구성된다.According to one or more aspects of the disclosed embodiments, a substrate processing apparatus is provided. The substrate processing apparatus includes a carrier tunnel, and at least one module coupled to the carrier tunnel. Wherein the transport tunnel comprises at least one transport cart configured to travel between longitudinal ends of the transport tunnel, the at least one transport cart comprising: a substantially rigid substrate holder . Wherein when the transfer cart is disposed adjacent at least one of the longitudinal ends of the conveyance tunnel to transfer substrates between the transfer cart and the at least one module, At least one of the first and second ends.

개시된 실시예의 하나 이상의 양상들에 따르면 상기 기판 프로세싱 장치는 자동화 모듈을 더 포함하고, 상기 자동화 모듈은 제1 단부 및 제2 단부와 상기 단부들 사이에서 연장되는 2개의 측부들을 구비하며, 각각의 측부는 적어도 2개의 연결 포트들을 구비하고, 상기 단부들 중 적어도 하나는 상기 운반 터널에 결합된다. 상기 자동화 모듈은 이송 장치를 더 포함하며, 상기 이송 장치는, 구동부, 상기 구동부에 회전가능하게 결합된 적어도 하나의 아암 링크를 포함하고 상기 이송 챔버에 대하여 고정된 일 단부를 구비한 적어도 하나의 베이스 아암, 및 상기 베이스 아암의 공통 단부에 회전가능하게 결합된 적어도 하나의 이송 아암을 구비하며, 상기 적어도 하나의 이송 아암은 적어도 하나의 엔드 이펙터를 구비한다. 상기 이송 장치는 각각의 측부 상의 상기 적어도 2개의 연결 포트들을 통하여 상기 제1 단부 및 상기 제2 단부 중 적어도 하나 너머로 연장되도록 구성된다. 상기 자동화 모듈은 상기 제1 단부 및 상기 제2 단부 중 하나에서 상기 운반 터널에 소통가능하게 연결된다.According to one or more aspects of the disclosed embodiments, the substrate processing apparatus further comprises an automation module, the automation module having a first end and a second end and two sides extending between the ends, Has at least two connection ports, and at least one of the ends is coupled to the conveying tunnel. Wherein the automated module further comprises a transfer device having at least one arm link rotatably coupled to the drive, at least one base having a fixed end relative to the transfer chamber, And at least one transfer arm rotatably coupled to a common end of the base arm, the at least one transfer arm having at least one end effector. The transfer device is configured to extend beyond at least one of the first end and the second end through the at least two connection ports on each side. The automation module is communicatively connected to the conveying tunnel at one of the first end and the second end.

개시된 실시예의 하나 이상의 양상들에 따르면 상기 기판 프로세싱 장치는 상기 자동화 모듈의 상기 측부들 중 적어도 하나 상의 상기 2개의 연결 포트들에 결합된 프로세싱 도구 모듈을 포함한다.According to one or more aspects of the disclosed embodiments, the substrate processing apparatus includes a processing tool module coupled to the two connection ports on at least one of the sides of the automation module.

개시된 실시예의 하나 이상의 양상들에 따르면 상기 기판 프로세싱 장치는 장비 프론트 엔드 모듈(EFEM)을 포함하고, 상기 운반 터널은 상기 장비 프론트 엔드 모듈 및 상기 자동화 모듈을 소통가능하게 연결한다.According to one or more aspects of the disclosed embodiments, the substrate processing apparatus includes an equipment front end module (EFEM), which communicatively connects the equipment front end module and the automation module.

개시된 실시예의 하나 이상의 양상들에 따르면 상기 기판 프로세싱 장치는 제2 운반 터널을 포함하고, 상기 제2 운반 터널은, 상기 자동화 모듈의 상기 제1 단부 및 상기 제2 단부 중 다른 하나(the other one)에 소통가능하게 연결되고, 상기 자동화 모듈을 다른 자동화 모듈과 연결한다.According to one or more aspects of the disclosed embodiments, the substrate processing apparatus includes a second conveying tunnel, the second conveying tunnel including a first one of the first and second ends of the automation module, And connects the automation module with another automation module.

개시된 실시예의 하나 이상의 양상들에 따르면 상기 운반 터널은 하나 이상의 터널 모듈들을 포함한다.According to one or more aspects of the disclosed embodiments, the carrier tunnel comprises one or more tunnel modules.

개시된 실시예의 하나 이상의 양상들에 따르면 상기 하나 이상의 터널 모듈들 중 적어도 하나는 상기 하나 이상의 터널 모듈들 중 다른 것들(other ones)로부터 밀봉가능하다.According to one or more aspects of the disclosed embodiments, at least one of the one or more tunnel modules is sealable from the other ones of the one or more tunnel modules.

개시된 실시예의 하나 이상의 양상들에 따르면 기판 프로세싱 장치가 제공된다. 상기 기판 프로세싱 장치는, 자동화 모듈, 및 상기 자동화 모듈에 소통가능하게 연결된 연결 모듈을 포함하고, 상기 자동화 모듈은, 제1 단부, 제2 단부, 상기 단부들 사이에서 연장되는 2개의 측부들을 포함하며, 각각의 측부는 적어도 2개의 연결 포트들을 구비하고, 상기 단부들 중 적어도 하나는 상기 연결 모듈에 결합된다. 상기 자동화 모듈은 이송 장치를 더 포함하며, 상기 이송 장치는, 구동부, 상기 구동부에 회전가능하게 결합된 적어도 하나의 아암 링크를 포함하고 상기 이송 챔버에 대하여 고정된 일 단부를 구비한 적어도 하나의 베이스 아암, 및 상기 베이스 아암의 공통 단부에 회전가능하게 결합된 적어도 하나의 이송 아암을 구비하며, 상기 적어도 하나의 이송 아암은 적어도 하나의 엔드 이펙터를 구비한다. 상기 이송 장치는 각각의 측부 상의 상기 적어도 2개의 연결 포트들을 통하여 상기 제1 단부 및 상기 제2 단부 중 적어도 하나 너머로 연장되도록 구성된다.According to one or more aspects of the disclosed embodiments, a substrate processing apparatus is provided. The substrate processing apparatus includes an automation module and a connection module communicatively coupled to the automation module, the automation module including a first end, a second end, two sides extending between the ends, , Each side having at least two connection ports, and at least one of the ends being coupled to the connection module. Wherein the automated module further comprises a transfer device having at least one arm link rotatably coupled to the drive, at least one base having a fixed end relative to the transfer chamber, And at least one transfer arm rotatably coupled to a common end of the base arm, the at least one transfer arm having at least one end effector. The transfer device is configured to extend beyond at least one of the first end and the second end through the at least two connection ports on each side.

개시된 실시예의 하나 이상의 양상들에 따르면, 상기 자동화 모듈의 적어도 일 측부의 상기 적어도 2개의 연결 포트들은 클러스터 도구 모듈에의 연결을 위해 구성된다.According to one or more aspects of the disclosed embodiments, the at least two connection ports of at least one side of the automation module are configured for connection to a cluster tool module.

개시된 실시예의 하나 이상의 양상들에 따르면 상기 기판 프로세싱 장치는, 장비 프론트 엔드 모듈을 포함하고, 상기 연결 모듈은 소통가능하게 상기 장비 프론트 엔드 모듈을 상기 자동화 모듈에 연결한다.According to one or more aspects of the disclosed embodiments, the substrate processing apparatus includes an equipment front end module, wherein the connecting module communicatively connects the equipment front end module to the automation module.

개시된 실시예의 하나 이상의 양상들에 따르면 상기 연결 모듈은 진공 모듈 및 운반 터널 중 하나 이상을 포함한다.According to one or more aspects of the disclosed embodiments, the connecting module comprises at least one of a vacuum module and a carrying tunnel.

개시된 실시예의 하나 이상의 양상들에 따르면 상기 연결 모듈은 운반 터널을 포함하고, 상기 운반 터널은, 상기 운반 터널 안에 배치되고 상기 운반 터널의 길이방향 단부들 사이에서 통행하도록 구성된 적어도 하나의 운반 카트를 구비한다.According to one or more aspects of the disclosed embodiments, the connecting module comprises a carrying tunnel, the carrying tunnel comprising at least one carrying cart arranged in the carrying tunnel and configured to pass between longitudinal ends of the carrying tunnel do.

개시된 실시예의 하나 이상의 양상들에 따르면 상기 기판 프로세싱 장치는 프로세싱 도구 모듈을 포함하고, 상기 프로세싱 도구 모듈은 상기 자동화 모듈의 적어도 일 측부 상의 상기 적어도 2개의 연결 포트들에 결합된다.According to one or more aspects of the disclosed embodiments, the substrate processing apparatus includes a processing tool module, wherein the processing tool module is coupled to the at least two connection ports on at least one side of the automation module.

개시된 실시예의 하나 이상의 양상들에 따르면 상기 자동화 모듈의 상기 이송 장치는, 실질적으로 기판에 한번 접촉함으로써, 상기 기판을 상기 연결 모듈로부터 상기 자동화 모듈의 측부들 상에 배치된 개별 포트들 모두를 통하여 운반하도록 구성된다.According to one or more aspects of the disclosed embodiments, the transfer device of the automation module is configured to transfer the substrate from the connection module through all of the individual ports disposed on the sides of the automation module, .

개시된 실시예의 하나 이상의 양상들에 따르면 기판 프로세싱 장치가 제공된다. 상기 기판 프로세싱 장치는, 내부에 밀봉된 환경을 유지할 수 있는 챔버를 형성하고 기판 포트 개구들을 구비함으로써, 상기 기판 포트 개구들을 통해 상기 챔버 안팎으로 기판들이 운반되는, 하우징을 포함한다. 상기 하우징은, 프로세스 도구 조립체의 측부와의 맞결합(mating)을 위한 맞결합 정합부(mating interface)를 한정하는 측부들을 구비한다. 상기 하우징의 적어도 일 측부는 하나 이상의 기판 운반 개구들을 구비하고, 상기 프로세스 도구 조립체의 측부에 있는 기판 운반 개구들은 상기 기판 운반 개구들에서 상기 맞결합 정합부에 맞결합되며, 상기 기판 운반 개구들은, 상기 프로세스 도구 조립체의 측부에 있는 기판 운반 개구들과 공동으로, 상기 하우징과 상기 프로세스 도구 조립체 사이의 장비 경계(equipment boundary)를 한정하며, 상이한 프로세싱 도구 조립체들은 미리 결정된 상이한 특성들을 가지며 상기 하우징의 상기 맞결합 정합부에 교환가능하게 맞결합될 수 있다.According to one or more aspects of the disclosed embodiments, a substrate processing apparatus is provided. The substrate processing apparatus includes a housing in which the substrate is transported into and out of the chamber through the substrate port openings by forming a chamber capable of maintaining a sealed environment therein and by having substrate port openings therein. The housing has sides defining a mating interface for mating with a side of the process tool assembly. Wherein at least one side of the housing has one or more substrate transfer openings and substrate transfer openings on the side of the process tool assembly are engaged with the mating mating portions at the substrate transfer openings, Wherein the processing tool assemblies define equipment boundaries between the housing and the process tool assembly in association with substrate transfer openings on a side of the process tool assembly and wherein the different processing tool assemblies have predetermined different characteristics, And can be interchangeably engaged with the mating fitting portion.

개시된 실시예의 하나 이상의 양상들에 따르면 상기 기판 프로세싱 장치는, 적어도 부분적으로 상기 하우징 안에 배치된 운반 장치를 포함한다. 상기 운반 장치는 베이스 링크 및 상기 베이스 링크 상에 장착된 적어도 하나의 운반 아암을 포함하고, 상기 적어도 하나의 운반 아암은, 상기 프로세스 도구 조립체의 이송 장치로의 기판들의 이송을 위해, 상기 기판 포트 개구들을 통해 상기 프로세스 도구 조립체 안으로 상기 기판들을 운반하도록 작동가능하다.According to one or more aspects of the disclosed embodiments, the substrate processing apparatus includes a carrier apparatus disposed at least partially within the housing. The transfer device comprising a base link and at least one transfer arm mounted on the base link, the at least one transfer arm being adapted for transferring substrates to the transfer device of the process tool assembly, To transfer the substrates into the process tool assembly.

개시된 실시예들의 전술한 양상들 및 다른 특징들은, 첨부된 도면들과 연관지어 아래의 설명에서 설명되는 바, 상기 첨부된 도면들 중에서:
도 1은 개시된 실시예의 양상에 따른 프로세싱 장치의 개략도이다;
도 2a는 개시된 실시예의 양상에 따른 운반 장치의 개략도이다;
도 2b 내지 2d는 개시된 실시예의 양상에 따른 도 2a의 운반 장치의 부분들의 개략도들이다;
도 2e 및 2f는 개시된 실시예의 양상에 따른 운반 장치의 개략도들이다;
도 2g는 개시된 실시예의 양상에 따른 프로세싱 장치의 부분의 개략도이다;
도 2h-2j는 개시된 실시예의 양상에 따른 운반 장치의 부분의 개략도들이다;
도 3a 및 3b는 개시된 실시예의 양상에 따른 프로세싱 장치의 부분의 개략도들이다;
도 4a 및 4b는 개시된 실시예의 양상에 따른 프로세싱 장치의 부분의 개략도들이다;
도 5a, 5b, 5c 및 5d는 개시된 실시예의 양상들에 따른 상이한 프로세싱 장치 구성들의 개략도들이다;
도 6은 개시된 실시예의 양상에 따른 프로세싱 장치의 개략도이다;
도 6a는 개시된 실시예의 양상에 따른 운반 장치의 부분의 개략도이다;
도 7a는 개시된 실시예의 양상에 따른 운반 장치의 개략도이다;
도 7b는 개시된 실시예의 양상에 따른 도 7a의 운반 장치의 부분의 개략도이다;
도 7c-7e는 개시된 실시예의 양상에 따른 운반 장치의 부분의 개략도들이다;
도 8a, 8b 및 8c는 개시된 실시예의 양상에 따른 프로세싱 장치의 부분의 개략도들이다;
도 9a, 9b 및 9c는 개시된 실시예의 양상에 따른 프로세싱 장치의 부분의 개략도들이다;
도 10a, 10b, 10c 및 10d는 개시된 실시예의 양상들에 따른 상이한 프로세싱 장치 구성들의 개략도들이다;
도 11은 개시된 실시예의 양상들에 따른 프로세스 장치의 개략도이다;
도 11a-11c는 개시된 실시예의 양상들에 따른 프로세싱 장치의 부분의 개략도들이다;
도 12는 개시된 실시예의 양상들에 따른 프로세스 장치의 개략도이다;
도 13은 개시된 실시예의 양상들에 따른 프로세스 장치의 개략도이다;
도 13a는 개시된 실시예의 양상들에 따른 프로세스 장치의 부분의 개략도이다;
도 14는 개시된 실시예의 양상들에 따른 프로세스 장치의 개략도이다;
도 14a는 개시된 실시예의 양상들에 따른 프로세스 장치의 개략도이다;
도 15는 개시된 실시예의 양상들에 따른 프로세스 장치의 개략도이다;
도 16은 개시된 실시예의 양상들에 따른 프로세스 장치의 개략도이다;
도 17은 개시된 실시예의 양상들에 따른 프로세스 장치의 개략도이다;
도 18은 개시된 실시예의 양상들에 따른 프로세스 장치의 개략도이다;
도 19는 개시된 실시예의 양상들에 따른 프로세스 장치의 개략도이다;
도 19a는 개시된 실시예의 양상들에 따른 프로세스 장치의 개략도이다;
도 20a, 20b, 20c, 20d 및 20e는 개시된 실시예의 양상들에 따른 프로세싱 장치의 부분들의 개략도들이다;
도 21a, 21b 및 21c는 개시된 실시예의 양상들에 따른 프로세싱 장치의 개략도들이다;
도 22a, 22b 및 22c는 개시된 실시예의 양상들에 따른 프로세싱 장치의 개략도들이다;
도 23a 및 23b는 개시된 실시예의 양상들에 따른 프로세싱 장치의 개략도들이다;
도 24a, 24b, 24c 및 24d는 개시된 실시예의 양상들에 따른 프로세싱 도구의 부분의 개략도들이다;
도 25a 및 25b는 개시된 실시예의 양상들에 따른 운반 터널의 개략도들이다;
도 26a, 26b, 및 26c는 개시된 실시예의 양상들에 따른 운반 터널의 부분들의 개략도들이다;
도 27a 및 27b는 개시된 실시예의 양상들에 따른 운반 터널의 개략도들이다;
도 28a, 28b, 및 28c는 개시된 실시예들의 양상들에 따른 운반 터널의 부분들의 개략도들이다;
도 29는 개시된 실시예의 양상들에 따른 기판 운반 카트의 개략도이다;
도 30a 및 30b는 개시된 실시예의 양상들에 따른 기판 운반 카트의 개략도들이다;
도 31a, 31b 및 31c는 개시된 실시예의 양상들에 따른 프로세싱 장치의 부분들의 개략도들이다;
도 32는 개시된 실시예의 양상들에 따른 프로세싱 장치의 부분의 개략도이다;
도 33은 개시된 실시예의 양상들에 따른 프로세싱 장치의 부분의 개략도이다;
도 34a 및 34b는 개시된 실시예의 양상들에 따른 프로세싱 장치의 부분의 개략도들이다;
도 35a, 35b 및 35c는 개시된 실시예의 양상들에 따른 프로세싱 장치의 부분의 개략도들이다;
도 36a, 36b, 36c 및 36d는 개시된 실시예의 양상들에 따른 프로세싱 장치의 부분의 개략도들이다;
도 37은 개시된 실시예의 양상에 따른 운반 장치의 개략도이다.
BRIEF DESCRIPTION OF THE DRAWINGS The foregoing aspects and other features of the disclosed embodiments, which are set forth in the following description in conjunction with the accompanying drawings,
1 is a schematic diagram of a processing device according to an aspect of the disclosed embodiment;
Figure 2a is a schematic view of a delivery device according to an aspect of the disclosed embodiment;
Figures 2b-2d are schematic illustrations of portions of the conveyance apparatus of Figure 2a according to aspects of the disclosed embodiment;
Figures 2e and 2f are schematic diagrams of a delivery device according to an aspect of the disclosed embodiment;
Figure 2G is a schematic diagram of a portion of a processing device in accordance with an aspect of the disclosed embodiment;
Figures 2h-2j are schematic illustrations of portions of a conveyance device according to aspects of the disclosed embodiment;
Figures 3a and 3b are schematic diagrams of portions of a processing device according to aspects of the disclosed embodiments;
Figures 4A and 4B are schematic illustrations of portions of a processing device according to aspects of the disclosed embodiments;
Figures 5A, 5B, 5C and 5D are schematic diagrams of different processing device configurations in accordance with aspects of the disclosed embodiments;
6 is a schematic diagram of a processing device according to an aspect of the disclosed embodiment;
6A is a schematic view of a portion of a conveyance apparatus according to an aspect of the disclosed embodiment;
7A is a schematic view of a delivery device according to an aspect of the disclosed embodiment;
Figure 7b is a schematic view of a portion of the delivery apparatus of Figure 7a according to an aspect of the disclosed embodiment;
Figures 7C-7E are schematic diagrams of a portion of a conveyance apparatus according to an aspect of the disclosed embodiment;
Figures 8A, 8B and 8C are schematic diagrams of portions of a processing device according to aspects of the disclosed embodiments;
Figures 9A, 9B, and 9C are schematic diagrams of portions of a processing device in accordance with aspects of the disclosed embodiments;
Figures 10a, 10b, 10c and 10d are schematic diagrams of different processing device configurations in accordance with aspects of the disclosed embodiments;
11 is a schematic diagram of a process apparatus according to aspects of the disclosed embodiments;
Figures 11A-11C are schematic diagrams of portions of a processing device according to aspects of the disclosed embodiments;
12 is a schematic diagram of a process apparatus according to aspects of the disclosed embodiments;
13 is a schematic diagram of a process apparatus according to aspects of the disclosed embodiments;
13A is a schematic diagram of a portion of a process apparatus according to aspects of the disclosed embodiments;
Figure 14 is a schematic diagram of a process apparatus according to aspects of the disclosed embodiments;
14A is a schematic diagram of a process apparatus according to aspects of the disclosed embodiments;
15 is a schematic diagram of a process apparatus according to aspects of the disclosed embodiments;
16 is a schematic diagram of a process apparatus according to aspects of the disclosed embodiments;
17 is a schematic diagram of a process apparatus according to aspects of the disclosed embodiments;
18 is a schematic diagram of a process apparatus according to aspects of the disclosed embodiments;
19 is a schematic diagram of a process apparatus according to aspects of the disclosed embodiments;
19A is a schematic diagram of a process apparatus according to aspects of the disclosed embodiments;
Figures 20A, 20B, 20C, 20D and 20E are schematic diagrams of portions of a processing device according to aspects of the disclosed embodiments;
Figures 21A, 21B and 21C are schematic diagrams of a processing device according to aspects of the disclosed embodiments;
Figures 22A, 22B and 22C are schematic diagrams of a processing device according to aspects of the disclosed embodiments;
Figures 23A and 23B are schematic diagrams of a processing device according to aspects of the disclosed embodiments;
24A, 24B, 24C and 24D are schematic diagrams of parts of a processing tool according to aspects of the disclosed embodiments;
25A and 25B are schematic diagrams of a transport tunnel according to aspects of the disclosed embodiments;
Figures 26A, 26B, and 26C are schematic views of portions of a conveyance tunnel in accordance with aspects of the disclosed embodiments;
27A and 27B are schematic diagrams of a conveyance tunnel according to aspects of the disclosed embodiments;
28A, 28B, and 28C are schematic views of portions of a conveyance tunnel according to aspects of the disclosed embodiments;
29 is a schematic view of a substrate transfer cart in accordance with aspects of the disclosed embodiments;
30A and 30B are schematic diagrams of a substrate transfer cart according to aspects of the disclosed embodiments;
Figures 31A, 31B and 31C are schematic diagrams of portions of a processing device according to aspects of the disclosed embodiments;
32 is a schematic diagram of a portion of a processing device in accordance with aspects of the disclosed embodiments;
33 is a schematic diagram of a portion of a processing device according to aspects of the disclosed embodiments;
34A and 34B are schematic diagrams of portions of a processing device according to aspects of the disclosed embodiments;
35A, 35B and 35C are schematic diagrams of a portion of a processing device according to aspects of the disclosed embodiments;
Figures 36A, 36B, 36C and 36D are schematic diagrams of a portion of a processing device according to aspects of the disclosed embodiments;
37 is a schematic diagram of a delivery device according to an aspect of the disclosed embodiment.

개시된 실시예의 양상들에 따라 본 명세서에 설명된 프로세싱 장치는, 정지된 구동부를 이용하여, 순차적으로 선형 배치된(in a sequential linear arrangement) 적어도 2개의 프로세싱 스테이션들로 기판들의 운반을 가능하게 하는 하나 이상의 이송 로봇들을 포함한다. 개시된 실시예의 양상들은, 리니어 베어링들 또는 리니어 모터들의 이용이 없는 동시에 (상기 로봇이 진공 환경에서 이용되는 때에는) 상기 이송 로봇의 공통 베이스부(common base) 또는 구동부 내에 모두 유지되는 회전 축들을 위한 정적 진공 밀봉의 이용을 가능하게 하는 선형 로봇 아키텍처를 가능하게 한다. 또한 개시된 실시예의 양상들은, 정지된 베이스부를 갖는 하나 이상의 이송 로봇들을 이용하여, 직선적으로 배치 또는 클러스터링된 프로세싱 스테이션들과 (본 명세서에서 일반적으로 기판 유지 스테이션들이라고 불리는) 로드 록들의 사이에서의 기판들의 이송을 가능하게 한다. 개시된 실시예의 양상들이 도면들을 참조하여 설명될 것이지만, 그 개시된 실시예의 양상들은 많은 대안적 형태들로 실시될 수 있다는 점이 이해되어야 한다. 덧붙여, 임의의 적합한 크기, 형태 또는 유형의 요소들 또는 재료들이 이용될 수 있다.In accordance with aspects of the disclosed embodiments, the processing apparatus described herein may be implemented using a stationary drive unit, one in sequential linear arrangement to enable transport of substrates to at least two processing stations, Or more. Aspects of the disclosed embodiments relate to the use of static bearings or linear motors for rotation axes that are both held in a common base or drive of the transfer robot (when the robot is used in a vacuum environment) Enabling a linear robot architecture that enables the use of vacuum seals. It should also be appreciated that aspects of the disclosed embodiments may also be implemented using one or more transfer robots having stationary base portions to transfer substrates between the linearly placed or clustered processing stations and the load locks (generally referred to herein as substrate holding stations) . Aspects of the disclosed embodiments will be described with reference to the drawings, but it is to be understood that aspects of the disclosed embodiments may be embodied in many alternative forms. In addition, any suitable size, shape or type of elements or materials may be utilized.

도 1을 참조하면, 예를 들어 반도체 도구 스테이션(100)과 같은 프로세싱 장치가, 개시된 실시예의 양상에 따라 도시된다. 비록 반도체 도구가 도면들에서 도시되나, 본 명세서에 설명된 개시된 실시예의 양상들은 로봇 머니퓰레이터들을 채용하는 임의의 도구 스테이션 또는 용례에 적용될 수 있다. 이 양상에 있어, 상기 도구(100)는 설명의 목적으로, (세장형 이중 클러스터 이송 챔버로 도시된) 선형적 세장형 이송 챔버를 구비한 클러스터 유형 도구로 불릴 수 있는 것으로 도시되지만, 개시된 실시예들의 양상들은 임의의 적합한 도구 스테이션, 예컨대 2006년 5월 26일자 출원된 “Linearly Distributed Semiconductor Workpiece Processing Tool(선형적으로 분배되는 반도체 제조공정 제품 프로세싱 툴)”이라는 제목의 미국 특허출원 제11/442,511호에 설명된 것에 적용될 수 있는 바, 그 개시는 그 전체가 본 명세서에 참조 병합되어 있다. 상기 도구 스테이션(100)은 대기 프론트 엔드(101), 하나 이상의 진공 로드 록들(102) 및 진공 백 엔드(103)를 일반적으로 포함한다. 다른 양상들에서 상기 도구 스테이션(100)은 임의의 적합한 구성을 가질 수 있다. 상기 프론트 엔드(101), 로드 록(들)(102) 및 백 엔드(103)의 각각의 구성요소들은, 예컨대 클러스터링된 아키텍처 컨트롤과 같은 임의의 적합한 제어 아키텍처의 부분일 수 있는 컨트롤러(120)에 연결될 수 있다. 상기 제어 시스템은, “Scalable Motion Control System(스케일링 가능한 동작 제어 시스템)"이라는 제목의 2005년 7월 11일자 출원된 미국 특허출원 제11/178,615호(현재 미국 특허 제7,904,182호)에 개시된 것들과 같이, 하나의 주 컨트롤러(master controller), 클러스터 컨트롤러들 및 독립(autonomous) 리모트 컨트롤러들을 구비하고 있는 폐루프 컨트롤러일 수 있으며, 그 개시는 그 전체 내용이 본 명세서에 참조 병합되어 있다. 다른 양상들에서 임의의 적합한 컨트롤러 및/또는 제어 시스템이 활용될 수 있다.Referring to Figure 1, a processing device, such as, for example, a semiconductor tool station 100, is illustrated in accordance with aspects of the disclosed embodiments. Although semiconductor tools are shown in the Figures, aspects of the disclosed embodiments described herein may be applied to any tool station or application that employs robotic manipulators. In this aspect, the tool 100 is shown, for illustrative purposes, as being able to be referred to as a cluster type tool with a linear elongated delivery chamber (shown as a elongated dual cluster delivery chamber) Aspects of the invention may be found in any suitable tool station, such as, for example, U.S. Patent Application No. 11 / 442,511 entitled " Linearly Distributed Semiconductor Workpiece Processing Tool ", filed May 26, , The disclosure of which is incorporated herein by reference in its entirety. The tool station 100 generally includes an atmospheric front end 101, one or more vacuum load locks 102, and a vacuum back end 103. In other aspects, the tool station 100 may have any suitable configuration. Each of the components of the front end 101, load lock (s) 102 and back end 103 may be coupled to a controller 120, which may be part of any suitable control architecture, Can be connected. The control system may be implemented as is disclosed in U.S. Patent Application No. 11 / 178,615, now U.S. Patent No. 7,904,182, filed July 11, 2005, entitled "Scalable Motion Control System" Loop controller having one master controller, cluster controllers, and autonomous remote controllers, the disclosure of which is incorporated herein by reference in its entirety. Any suitable controller and / or control system may be utilized.

개시된 실시예의 양상들에서 상기 프론트 엔드(101)는 일반적으로 적재 포트 모듈들(105), 및 예컨대 장비 프론트 엔드 모듈(EFEM)과 같은 소형 환경(mini-environment; 106)을 포함한다. 상기 적재 포트 모듈들(105)은 300mm 적재 포트들, 전방 개방 또는 저면 개방 박스(box)들/포드(pod)들 및 카세트(cassette)들에 대한 SEMI 표준 E15.1, E47.1, E62, E19.5 또는 E1.9 E15.1, E47.1, E62, E19.5 또는 E1.9에 따르는 BOLTS(box opener/loader to tool standard) 정합부(interface)들일 수 있다. 다른 양상들에서, 상기 적재 포트 모듈들은, 200mm, 300mm 또는 450mm 웨이퍼 정합부(interface)들, 또는 예컨대, 평면 패널 디스플레이들, 발광 다이오드들, 유기 발광 다이오드들 또는 태양 전지판들(solar arrays)을 위한 더 크고 작은 웨이퍼들 또는 평면 패널들과 같은 임의의 다른 적합한 기판 정합부들로서 구성될 수 있다. 이에 따라, 아래에서 더 상세하게 설명될 바와 같이 다른 구성요소들 및 결부된 특징들은, 상응하는 웨이퍼들 또는 제조공정 제품(workpiece)들 상에 또는 그것들과 함께 정합(interfacing) 또는 작동되도록 개별적으로(respectively) 구성될 수 있다. 비록 도 1에 3개의 적재 포트 모듈들이 도시되지만, 다른 양상들에서 임의의 적합한 수의 적재 포트 모듈들이 상기 프론트 엔드(101) 안으로 합체(incorporate)될 수 있다. 상기 적재 포트 모듈들(105)은, 고가식 운반 시스템(overhead transport system), 자동 유도 운반체(automatic guided vehicle)들, 인간 유도 운반체(person guided vehicle)들, 레일 유도 운반체들(rail guided vehicles) 또는 다른 임의의 적합한 운반 방법으로부터 기판 캐리어(carrier)들 또는 카세트들(110)을 받도록 구성될 수 있다. 상기 적재 포트 모듈들(105)은 적재 포트들(104)을 통해 소형 환경(mini-environment; 106)과 정합(interface)될 수 있다. 상기 적재 포트들(104)은 상기 기판 카세트들(110)과 상기 소형 환경(106) 사이에서 기판들의 통과가 가능하도록 할 수 있다.In aspects of the disclosed embodiment, the front end 101 generally includes a load port module 105 and a mini-environment 106, such as an equipment front end module (EFEM). The stacking port modules 105 may be used to hold SEMI standards E15.1, E47.1, E62, E47.1, E9.5 or E1.9 E15.1, E47.1, E62, E19.5 or E1.9. In other aspects, the stacking pot modules may be used for 200mm, 300mm or 450mm wafer matching interfaces or for example for flat panel displays, light emitting diodes, organic light emitting diodes or solar arrays. Larger or smaller wafers or any other suitable substrate matching portions such as flat panels. Thus, as will be described in greater detail below, other components and associated features may be individually (or alternatively) configured to be interfaced or operated on or on corresponding wafers or fabrication process workpieces respectively. Although three stacking port modules are shown in FIG. 1, any suitable number of stacking port modules may be incorporated into the front end 101 in other aspects. The stacking port modules 105 may be any of a variety of types including, but not limited to, an overhead transport system, automatic guided vehicles, person guided vehicles, rail guided vehicles, May be configured to receive substrate carriers or cassettes 110 from any other suitable transport method. The load port modules 105 may be interfaced with the mini-environment 106 via the load ports 104. The loading ports 104 may enable the passage of substrates between the substrate cassettes 110 and the mini environment 106.

상기 소형 환경(106)은 일반적으로 임의의 적합한 이송 로봇(113)을 포함한다. 개시된 실시예의 일 양상에서 상기 로봇(113)은, 예컨대 미국 특허 제6,002,840호에 설명된 바와 같이 트랙 상에 장착된 로봇(track mounted robot)일 수 있으며, 상기 미국 특허 제6,002,840호의 개시는 그 전체가 본 명세서에 참조 병합된다. 다른 양상들에서 상기 이송 로봇은, 아래에서 더 상세하게 설명될 진공 백 엔드(103) 내의 이송 로봇(130)과 실질적으로 유사할 수 있다. 상기 소형 환경(106)은, 예를 들어 다수의 적재 포트 모듈들 사이의 기판 이송을 위해, 제어되고 청정한 영역(zone)을 제공할 수 있다.The mini environment 106 generally includes any suitable transfer robot 113. In one aspect of the disclosed embodiment, the robot 113 may be a track mounted robot as described, for example, in U.S. Patent No. 6,002,840, the disclosure of which is incorporated herein by reference in its entirety Incorporated herein by reference. In other aspects, the transfer robot may be substantially similar to the transfer robot 130 in the vacuum back end 103, which will be described in more detail below. The small environment 106 can provide a controlled and clean zone, for example, for substrate transfer between a plurality of stacked port modules.

상기 진공 로드 록(102)은 상기 소형 환경(106) 및 상기 백 엔드(103) 사이에 배치되어 상기 소형 환경(106) 및 상기 백 엔드(103)에 연결될 수 있다. 상기 로드 록(102)은 일반적으로 대기 및 진공 슬롯 밸브들을 포함한다. 상기 슬롯 밸브들은, 상기 대기 프론트 엔드로부터 기판을 적재한 후에 상기 로드 록을 비우고, 질소와 같은 불활성 기체로 상기 록을 환기(venting)시킬 때 채용되는 환경적 격리를 제공할 수 있다. 상기 로드 록(102)은 프로세싱을 위해 요구되는 위치로 상기 기판의 기준점(fiducial)을 정렬하기 위한 정렬자(aligner) 및/또는 가열, 냉각 등과 같은 임의의 적합한 기판 프로세싱 특징부들을 포함할 수도 있다. 다른 양상들에서 상기 진공 로드 록은 상기 프로세싱 장치의 임의의 적합한 위치에 배치될 수 있으며 임의의 적합한 구성을 가질 수 있다. 도 11a-11c에 대해 아래에서 더 상세하게 설명될 바와 같이, 상기 로드 록(들)이 2차원적 배열(array)로 배치되거나 실질적으로 수직인 행(row)으로 적층되어 올려짐으로써(stacked above one another), 상기 도구(100)의 윤곽(footprint)을 증가시키지 않고 로드 록들의 수가 증가될 수 있다는 점이 주목된다.The vacuum load lock 102 may be disposed between the small environment 106 and the back end 103 and connected to the small environment 106 and the back end 103. The load lock 102 generally includes atmospheric and vacuum slot valves. The slot valves can provide environmental isolation employed when venting the lock with an inert gas, such as nitrogen, after loading the substrate from the standby front end and emptying the loadlock. The loadlock 102 may include any suitable substrate processing features, such as an aligner and / or heating, cooling, etc., for aligning the fiducials of the substrate to the locations required for processing . In other aspects, the vacuum load lock may be disposed at any suitable position of the processing apparatus and may have any suitable configuration. As described in more detail below with respect to Figures 11A-11C, the load lock (s) are stacked above one in a two dimensional array or substantially vertical rows, another, it is noted that the number of loadlocks can be increased without increasing the footprint of the tool 100.

상기 진공 백 엔드(103)는 이송 챔버(125), 일반적으로 프로세싱 스테이션(들)(140)이라고 불리는 하나 이상의 프로세싱 스테이션(들), 및 하나 이상의 이송 로봇(들)(130)을 일반적으로 포함한다. 상기 프로세싱 스테이션들은 도 11a-11c에 대해 아리에서 더 상세하게 설명될 바와 같이 2차원적 배열로 배치되거나 실질적으로 수직인 행(row)으로 적층되어 올려질 수 있다는 점이 주목된다. 상기 이송 로봇(130)은 아래에서 설명될 것이며, 상기 로드 록(102)과 상기 다양한 프로세싱 스테이션들(140) 사이에서 기판들을 운반하도록 상기 이송 챔버(125) 내에 배치될 수 있다. 상기 프로세싱 스테이션들(140)은 상기 기판들 상에 전기 회로나 다른 원하는 구조를 형성하도록 증착, 식각 또는 다른 유형들의 프로세스들을 통해 상기 기판들 상에 작용(operate)할 수 있다. 전형적인 프로세스들은, 플라즈마 식각(plasma etch)이나 다른 식각 프로세스들과 같이 진공을 사용하는 박막(thin film) 프로세스들, 화학 기상 증착(chemical vapor deposition; CVD), 금속 유기 화학 기상 증착(metal organic chemical vapor deposition; MOCVD), 플라즈마 기상 증착(plasma vapor deposition; PVD), 이온 주입과 같은 임플란테이션(implantation), 계측(metrology), 급속 열공정(rapid thermal processing; RTP), 건식 스트립 원자층 증착(atomic layer deposition; ALD), 산화/확산, 질소화물들의 형성, 진공 리소그래피, 에피택시(EPI), 와이어 본딩(wire bonder) 및 증발, 또는 진공 압력들을 이용할 수 있는 다른 박막 프로세스들을 포함하지만 이에 한정되지는 않는다. 상기 프로세싱 스테이션들(14)은, 기판들이 상기 이송 챔버(125)로부터 상기 프로세싱 스테이션들(140)로, 그리고 그 반대로 통과될 수 있도록 상기 이송 챔버(125)에 연결된다.The vacuum back end 103 generally includes a transfer chamber 125, one or more processing stations (s), generally referred to as processing stations (s) 140, and one or more transfer robot (s) . It is noted that the processing stations may be arranged in a two-dimensional array or stacked in substantially vertical rows as described in more detail in Figs. 11A-11C. The transfer robot 130 will be described below and may be disposed within the transfer chamber 125 to transfer substrates between the load lock 102 and the various processing stations 140. The processing stations 140 may operate on the substrates through deposition, etching, or other types of processes to form electrical circuits or other desired structures on the substrates. Typical processes include thin film processes using vacuum, such as plasma etch or other etch processes, chemical vapor deposition (CVD), metal organic chemical vapor deposition, MOCVD, plasma vapor deposition (PVD), implantation such as ion implantation, metrology, rapid thermal processing (RTP), dry strip atomic deposition but not limited to, layer deposition (ALD), oxidation / diffusion, formation of nitrogenous materials, vacuum lithography, epitaxy (EPI), wire bonder and evaporation, or vacuum pressures Do not. The processing stations 14 are connected to the transfer chamber 125 so that substrates can be passed from the transfer chamber 125 to the processing stations 140 and vice versa.

이제 도 2a, 2b, 2c 및 2d를 참조하면, 상기 이송 로봇(130)은 일반적으로 구동부(200), 장착 플랜지(mounting flange; 202) 및 이송 아암부(210)를 포함하는 바, 상기 장착 플랜지(202)는 대기 프론트 엔드(101) 또는 진공 백 엔드(103) 중 하나 안에 상기 이송 로봇(130)을 장착하도록 구성된다.2A, 2B, 2C, and 2D, the transfer robot 130 generally includes a driving unit 200, a mounting flange 202, and a transfer arm unit 210, (202) is configured to mount the transfer robot (130) in one of the standby front end (101) or the vacuum back end (103).

상기 이송 아암부(210)는 베이스 아암 링크 또는 붐(base arm link or boom; 220) 및 이송 아암(214)을 포함할 수 있으며, 상기 이송 아암(214)은 상기 베이스 아암 링크(220)에 장착된다. 상기 베이스 아암 링크(220)는 근위 단부에서 피봇 축(X), 원위 단부에서 피봇 축(SX)을 갖는 단일 링크로서 도시된다("근위" 및 "원위"의 단어들은 상기 언급된 기준 프레임(reference frame)에 대하여 상대적인 단어들이다). 상기 베이스 아암 링크(220)는 상기 피봇 축들 사이에서 실질적으로 강성이며 관절 조인트가 없으며, 본 명세서에서 설명 목적을 위해 모노링크(monolink)인 것으로 언급될 것이다. 본 명세서에 설명된 다른 아암 "링크들"은, 그것들 역시 모노링크들인 것으로 간주될 수 있다는 점에서 상기 베이스 아암 링크(220)와 실질적으로 유사한 점이 주목된다. 상기 베이스 아암 링크(220)는 임의의 적합한 길이(L) 및 구성을 가질 수 있다. 일 양상에서 (예컨대 미리 결정된 위치에서 기판의 정렬 특징부를 위치시키기 위한) 기판 정렬자(substrate aligner; 230)는, 기판들이 상기 이송 아암(214)에 의해 상기 정렬자(230)로, 그리고 상기 정렬자(230)로부터 이송될 수 있도록 하기 위하여, 임의의 적합한 위치에서 상기 베이스 아암 링크(220)에 장착될 수 있다.The transfer arm unit 210 may include a base arm link or boom 220 and a transfer arm 214. The transfer arm 214 may be mounted on the base arm link 220 do. The base arm link 220 is shown as a single link having a pivot axis X at the proximal end and a pivot axis SX at the distal end (the words "proximal" and "distal" frame). The base arm link 220 is substantially rigid between the pivot axes and has no articulated joints and will be referred to herein as being a monolink for purposes of discussion herein. It is noted that the other arm "links " described herein are substantially similar to the base arm link 220 in that they can also be considered monolinks. The base arm link 220 may have any suitable length L and configuration. In one aspect, a substrate aligner 230 (e.g., for positioning an alignment feature of a substrate at a predetermined location) is formed by transferring substrates to the aligner 230 by the transfer arm 214, May be mounted to the base arm link 220 at any suitable location so that the base arm link 220 can be transported from the base arm link 230.

상기 이송 아암(214)은 어깨 축(SX)에서 회전가능하게 상기 베이스 아암 링크(220)에 장착될 수 있다. 깨닫게 될 수 있으며 도 2d에서 도시되는 바와 같이, 상기 이송 아암은 상기 베이스 아암 링크(220)의 양 수평면(horizontal surface)(예컨대, "상단" 및 "하단"이, 상기 이송 아암이 상기 이송 챔버(TC)의 상단(TCT) 또는 하단(TCB)에 장착되었는지 여부에 따른 상대적인 용어들인 경우에 있어 상단 수평면 및/또는 하단 수평면, 도 2g 참조) 상에 장착될 수 있다. 오로지 예시적 목적으로, 도 2d에서 이송 아암(214)은 상기 베이스 아암 링크(220)의 상단에 장착된 것으로 도시되는 반면, 이송 아암(214')은 상기 베이스 아암 링크의 하단에 장착된 것으로 도시된다. 이송 아암들(214, 214') 중 하나 또는 두 이송 아암들 모두(214, 214')가 상기 베이스 아암 링크(220)에 장착될 수 있다는 점이 주목된다. 깨닫게 될 수 있는 바와 같이, 2개의 이송 아암들이 동일 베이스 아암 링크에 장착된 경우에, 상기 구동부(200)는 상기 베이스 아암 링크(220)를 회전시키기 위한 단일 구동 축 및 상기 2개의 이송 아암들 각각을 위한 2개의 구동 축들을 포함할 수 있으며, 여기에서 상기 개별의 이송 아암들의 이송 아암 링크들은, 아래에서 설명되는 것과 실질적으로 유사한 방식으로 개별의 구동 축들에 연결된다(여기에서, 하나의 베이스 아암 링크 상의 상기 2개의 이송 아암들이 구동되도록 적합한 수의 구동 샤프트들 및 트랜스미션들이 동축 구동 샤프트 구성에 추가된다). 다른 양상들에서 상기 이송 아암들은 임의의 적합한 수의 구동 축들에 의해 구동될 수 있다. 아래에서 설명되는 것과 실질적으로 유사한 방식으로 다수의 이송 로봇들도 단일 이송 챔버 안에 제공될 수 있다. 또한 깨닫게 될 수 있는 바와 같이, 2개 이상의 이송 아암들(및/또는 2개 이상의 이송 로봇들 - 도 2g, 2f, 13, 14 및 15-18 참조)이 이송 챔버 내에 배치되는 경우, 상기 이송 아암들/로봇들을 위한 컨트롤러, 예컨대 컨트롤러(120)는 하나의 아암/로봇의 작동이 상기 아암들/로봇들 중 다른 하나의 작동을 방해하지 않게끔 상기 이송 아암들/로봇들을 작동시키도록 구성될 수 있다.The transfer arm 214 may be rotatably mounted on the base arm link 220 on the shoulder axis SX. As shown in Figure 2d, the transfer arm is configured to allow both horizontal surfaces (e.g., "top" and "bottom") of the base arm link 220 to communicate with the transfer chamber TC) or the bottom (TCB) of the vehicle (e.g., the upper (TCT) or lower (TCB) of the vehicle). 2d, the transfer arm 214 is shown mounted to the top of the base arm link 220 while the transfer arm 214 'is mounted at the bottom of the base arm link, do. It is noted that one of the transfer arms 214, 214 'or both transfer arms 214, 214' may be mounted to the base arm link 220. As can be realized, when two transfer arms are mounted on the same base arm link, the drive unit 200 has a single drive shaft for rotating the base arm link 220 and a single drive shaft for rotating the two transfer arms Wherein the transfer arm links of the individual transfer arms are connected to separate drive shafts in a manner substantially similar to that described below (here, one base arm A suitable number of drive shafts and transmissions are added to the coaxial drive shaft configuration to drive the two transfer arms on the link. In other aspects, the transfer arms can be driven by any suitable number of drive axes. A number of transfer robots may also be provided in a single transfer chamber in a manner substantially similar to that described below. As also can be realized, when two or more transfer arms (and / or two or more transfer robots - see Figures 2g, 2f, 13, 14 and 15-18) are disposed in the transfer chamber, Controllers, such as the controller 120, may be configured to operate the transfer arms / robots such that operation of one arm / robot does not interfere with operation of the other of the arms / robots have.

상기 이송 아암(214)은, 선택적 순응성 관절 로봇(Selective Compliant Articulated Robot; SCARA) 아암들, 개구리 다리 아암들(frog leg arms), 립프로그 아암들(leapfrog arms), 좌우대칭적 아암들(bi-symmetric arms), 공전 기계적 스위치 유형 아암들(lost motion mechanical switch type arms), 또는 하나 이상 엔드 이펙터들을 구비한 다른 임의의 적합한 아암을 포함하지만 이에 한정되지는 않는 임의의 적합한 이송 아암일 수 있는 바, 여기에서 상기 아암은 2 자유도 구동기를 이용하여 구동될 수 있다. 다수의 이송 아암들이 제공된 때에 상기 엔드 이펙터들은, 수평으로 나란한 구성(horizontally side-by-side arrangement) 및/또는 수직으로 적층된 구성(vertically stacked arrangement)으로나 그것들의 임의의 조합으로, 단일 기판 또는 다수의 기판들을 유지하도록 구성될 수 있다. 개시된 실시예의 양상들과 함께 이용되기 위해 적합화되거나 이용될 수 있는 이송 아암들의 적합한 예시들은, (본 명세서의 위에서 참조 병합된) 미국 특허출원 제11/179,762호, 및 2008년 5월 8일자 출원된 미국 특허출원 제12/117,415호와, 미국 특허 제5,899,658호; 제5,720,590호; 제5,180,276호; 제5,743,704호; 제6,299,404호; 제5,647,724호; 제6,485,250호; 및 제7,946,800호에 설명되는 것들을 포함하며, 그것들의 개시는 그 전체가 본 명세서에 참조 병합된다. 다른 양상들에서 상기 이송 아암은 임의의 적합한 수의 자유도를 갖는 구동기에 의해 구동될 수 있다. 상기 이송 아암부는 일반적으로 본 명세서에서 이송 아암부(210)로 불릴 것이며, 상이한 이송 아암 구성들을 갖는 다양한 도면들에서 도시될 것이라는 점이 주목된다. 예를 들어 도 2a에서 상기 이송 아암(214)은, 상부 아암 링크(213), 팔꿈치 축(E)을 중심으로 회전가능하게 상기 상부 아암(213)에 결합된 전환 링크(212), 및 손목 축(W)을 중심으로 회전가능하게 상기 전방 아암 링크(212)에 결합된 엔드 이펙터(211)를 구비한 SCARA 유형 아암으로 도시되나, 위에서 언급된 바와 같이 상기 이송 아암은 2 자유도 및 하나 이상의 엔드 이펙터들을 갖는 임의의 적합한 유형의 아암일 수 있는 바, 예를 들어 여기에서 상기 엔드 이펙터의 회전은 상기 상부 아암 링크에 종속(slave)되어, 상기 아암의 신장 및 수축의 경로를 따를 수 있다. 다른 양상들에서 상기 이송 아암은 3 자유도를 가질 수 있는 바, 여기에서 상기 상부 아암 링크, 전방 아암 링크 및 엔드 이펙터 각각은 독립적으로 회전가능하다.The transfer arm 214 may be a combination of Selective Compliant Articulated Robot (SCARA) arms, frog leg arms, leapfrog arms, bi-symmetric arms but may be any suitable transfer arm including, but not limited to, one or more arms, one or more end effectors, one or more end effectors, one or more end effectors, The arm may be driven using a two degree of freedom driver. When the plurality of transfer arms are provided, the end effectors may be arranged in a horizontally side-by-side arrangement and / or vertically stacked arrangement, or in any combination thereof, Of the substrate. Suitable examples of transfer arms that may be adapted or utilized for use with aspects of the disclosed embodiments are disclosed in U.S. Patent Application Serial No. 11 / 179,762, filed May 8, 2008, herein incorporated by reference in its entirety herein. U.S. Patent Application No. 12 / 117,415, and U.S. Patent No. 5,899,658; 5,720,590; 5,180, 276; 5,743,704; 6,299,404; 5,647,724; 6,485, 250; And 7,946, 800, the disclosures of which are incorporated herein by reference in their entirety. In other aspects, the transfer arm may be driven by a driver having any suitable number of degrees of freedom. It will be noted that the transfer arm portion will generally be referred to herein as the transfer arm portion 210 and will be illustrated in various figures having different transfer arm configurations. 2A, the transfer arm 214 includes an upper arm link 213, a switch link 212 coupled to the upper arm 213 to be rotatable about an elbow axis E, Type arm having an end effector 211 coupled to the front arm link 212 to be rotatable about a wobble axis W. However, as mentioned above, the transfer arm may have two degrees of freedom and one or more ends For example, wherein rotation of the end effector may be slave to the upper arm link to follow the path of extension and contraction of the arm. In other aspects, the transfer arm may have three degrees of freedom, wherein each of the upper arm link, the front arm link, and the end effector is independently rotatable.

일 양상에서 상기 구동부(200)는, 예를 들어 하우징(201)을 포함할 수 있는 바, 상기 하우징(201)은, 동축 구동 샤프트 구성을 구동시키는 수평으로 오프셋된(horizontally offset) 구동 모터들 또는 동축 구동 모터들을 구비한 임의의 적합한 3-축 구동 시스템 또는 다른 임의의 적합한 구동 시스템을 수납(house)하도록 구성된다. 다른 양상들에서 상기 구동 모터들은 서로에 대하여 임의의 적합한 공간적 구성(spatial arrangement)을 가질 수 있다. 상기 구동부는, 축(X)을 중심으로 상기 베이스 아암 링크(220)를 회전 구동(rotationally driving)시키기 위한 구동 모터(1701MB), 어깨 축(SX)을 중심으로 상기 상부 아암 링크(213)를 회전 구동시키기 위한 구동 모터(1701MU), 및 상기 팔꿈치 축(E)을 중심으로 상기 전방 아암 링크(213)를 회전 구동시키기 위한 구동 모터(1701MF)를 포함할 수 있다. 다른 양상들에서, 상기 구동부(200)는, 임의의 적합한 수의 구동 모터들, 및 임의의 적합한 수의 상응하는 샤프트들을 상기 동축 구동 샤프트 구성 내에 포함할 수 있다.In one aspect, the drive 200 may include, for example, a housing 201, the housing 201 may include horizontally offset drive motors to drive a coaxial drive shaft arrangement, Any suitable three-axis drive system with coaxial drive motors or any other suitable drive system. In other aspects, the drive motors may have any suitable spatial arrangement with respect to each other. The driving unit includes a driving motor 1701MB for rotationally driving the base arm link 220 about a shaft X, a driving motor 1702MB for rotating the upper arm link 213 around the shoulder axis SX, A driving motor 1701MU for driving the front arm link 213 and a driving motor 1701MF for rotationally driving the front arm link 213 about the elbow axis E. [ In other aspects, the drive 200 may include any suitable number of drive motors, and any suitable number of corresponding shafts within the coaxial drive shaft configuration.

깨닫게 될 수 있는 바와 같이, 일 구동 축은 상기 베이스 아암(220)을 회전 및/또는 신장시키는 데에 이용될 수 있는데 반하여, 다른 2개의 구동 축들은 상기 베이스 아암(220)과는 독립적으로 상기 이송 아암(214)을 신장, 수축 및 회전시키는 데에 이용될 수 있다. 상기 이송 아암이 3 자유도를 갖는 다른 양상들에서, 상기 구동부는, 임의의 적합한 구성을 갖는 4개의 구동 모터들을 포함할 수 있다(예컨대, 일 구동 축은 상기 베이스 아암(220)을 회전 및/또는 신장시키는 데에 이용될 수 있는 반면, 다른 3개의 구동 축들은 상기 베이스 아암(220)과는 독립적으로 상기 이송 아암(214)을 신장, 수축 및 회전시키는 데에 이용될 수 있다).One drive shaft may be used to rotate and / or extend the base arm 220, while the other two drive shafts may be used independently of the base arm 220, Retraction, and rotation of the shaft 214. In other aspects, where the transfer arm has three degrees of freedom, the drive may include four drive motors having any suitable configuration (e.g., one drive shaft may rotate and / or extend the base arm 220) While the other three drive shafts can be used to stretch, contract and rotate the transfer arm 214 independently of the base arm 220).

개시된 실시예의 양상들과 함께 이용되기 위해 적합화되거나 이용될 수 있는 구동 시스템들의 적합한 예시들은, 2005년 7월 11일자 출원된 미국 특허출원 제11/179,762호, 2011년 10월 11일자 출원된 미국 특허출원 제13/270,844호 및 2008년 6월 27일자 출원된 미국 특허출원 제12/163,996호, 미국 특허 제7,891,935호, 제6,845,250호, 제5,899,658호, 제5,813,823호 및 제5,720,590호, 및 2010년 10월 8일자 출원된 미국 특허가출원 제61/391,380호 및 2011년 5월 27일자 출원된 미국 특허가출원 제61/490,864호에 설명되는 것들을 포함하며, 그것들의 개시는 그 전체가 본 명세서에 참조 병합된다. 다른 양상들에서 상기 구동부는, 임의의 적합한 수의 구동 축들을 갖는 임의의 적합한 구동부일 수 있으며, 예컨대 상기 구동 모터들은 상기 이송 챔버의 벽들 안으로 일체화될 수 있으며, 예를 들어 상기 베이스 아암 링크(220)를 구동시키기 위하여 하모닉 구동기(또는 임의의 다른 적합한 구동기)가 배치되는 경우에, 하나 이상의 구동 모터들은, 상기 이송 아암(214)을 구동시키기 위해, 상기 아암 링크들 안에 배치될 수 있으며, 그리고/또는 상기 아암의 조인트들에 장착될 수 있는 바, 이는 아래에서 더 상세하게 설명될 바와 같으며, 2011년 7월 13일자 출원된 미국 특허가출원 제61/507,276호 및 2011년 7월 22일자 출원된 미국 특허가출원 제61/510,819호, 2011년 10월 11일자 출원된 미국 특허출원 제13/270,844호 및 미국 특허 제7,578,649호에 설명된 것들과 실질적으로 유사한 방식의 것이며, 그것들의 개시는 그 전체가 본 명세서에 참조 병합된다. 일 양상에서 상기 구동부(200)는 상기 이송 아암부(210)의 신장 및 수축의 축에 실질적으로 직각인 방향으로 상기 이송 아암부(210)를 선형적으로 이동시키기 위한 Z-축 구동기(Z-axis drive; 203)를 포함할 수도 있다. 본 명세서에 설명된 바와 같이, 상기 로드 록들 및 프로세싱 스테이션들이 서로 겹쳐 적층되는(stacked one above the other) 경우, 상기 Z-축 구동기(203)는, 상이한 레벨들의 적층된 로드 록들 및/또는 프로세싱 스테이션들로 기판을 이송하는 데에 충분한 통행(travel)을 제공하도록 구성될 수 있다. 상기 이송 아암부(210)가 안에서 작동하는 밀봉 또는 제어된 분위기(예컨대 상기 이송 챔버(125)의 밀봉된 환경 또는 상기 EFEM(106)의 제어된 환경)가 유지되는 동안, Z-축 움직임(화살표(299) 참고)을 가능하게 하도록 벨로즈(bellows) 또는 다른 적합한 유연성 밀봉 부재(250)가 상기 구동부(200)와 상기 장착 플랜지(202) 사이에 배치될 수 있다. 다른 양상들에서 상기 구동부(200)는 Z-축 구동기를 구비하지 않을 수 있다.Suitable examples of drive systems that may be adapted or used for use with aspects of the disclosed embodiments are disclosed in U.S. Patent Application No. 11 / 179,762, filed July 11, 2005, U.S. Patent Application No. 11 / 179,762, filed October 11, U.S. Patent Nos. 7,891,935, 6,845,250, 5,899,658, 5,813,823 and 5,720,590, and U.S. Patent Application No. 12 / 163,996, filed June 27, 2008, U.S. Patent Application No. 61 / 391,380, filed October 8, and U.S. Patent Application No. 61 / 490,864, filed May 27, 2011, the disclosures of which are incorporated herein by reference in their entirety Reference merged. In other aspects, the drive may be any suitable drive with any suitable number of drive shafts, e.g., the drive motors may be integrated into the walls of the transfer chamber, for example, the base arm link 220 One or more drive motors may be disposed in the arm links to drive the transfer arm 214 and / Or the joints of the arms, which are described in greater detail below and are described in more detail in U.S. Patent Application No. 61 / 507,276, filed July 13, 2011, and filed July 22, 2011 U.S. Patent Application Serial No. 61 / 510,819, U.S. Patent Application No. 13 / 270,844 filed October 11, 2011, and U.S. Patent No. 7,578,649, In a similar manner, the disclosures of which are incorporated herein by reference in their entirety. In one aspect, the driving unit 200 includes a Z-axis driver Z-axis for linearly moving the transfer arm unit 210 in a direction substantially perpendicular to the axis of extension and contraction of the transfer arm unit 210, axis drive 203 as shown in FIG. As described herein, when the loadlocks and processing stations are stacked one above the other, the Z-axis driver 203 may be configured to move the stacked loadlocks and / To provide sufficient travel to transfer the substrate to the substrate. While the sealing or controlled atmosphere (e.g., the sealed environment of the transfer chamber 125 or the controlled environment of the EFEM 106) in which the transfer arm 210 operates is maintained, the Z- A bellows or other suitable compliant sealing member 250 may be disposed between the drive portion 200 and the mounting flange 202 to enable the drive portion 200 (see FIG. 299). In other aspects, the driver 200 may not include a Z-axis driver.

도 2b를 참조하면, 일 양상에서 상기 구동부(2200)의 모터들(201MB, 201MU, 201MF - 도 2d 참고)은, 내부 구동 샤프트(262), 중간 구동 샤프트(261) 및 외부 구동 샤프트(260)를 구비한 동축 구동 샤프트 구성을 구동하도록 구성될 수 있다. 구동 샤프트들의 회전 및 상응하는 아암 링크들을 제어하기 위하여 상기 구동 샤프트들의 회전을 추적(tracking)하고, 예컨대 컨트롤러(120)에 적합한 신호들을 보내기 위하여 상기 모터들 및/또는 구동 샤프트들과 함께 임의의 적합한 부호기(encoder)들이 제공될 수 있다. 하나 이상의 구동 모터들은 2011년 10월 11일자 출원된 미국 특허출원 번호 제13/270,844호에 실질적으로 유사한 하모닉 구동 모터일 수 있으며, 그 개시는 그 전체가 본 명세서에 참조 병합된다. 위에서 언급된 바와 같이 2개의 이송 아암들이 단일 베이스 아암 링크 상에 장착되는 경우에, 아래에서 설명되는 것들과 실질적으로 유사한 트랜스미션들(transmissions)을 통하여 상기 추가적 이송 아암을 구동하기 위하여 2개의 추가적 구동 샤프트들이 상기 동축 구동 샤프트 구성에 추가될 수 있다. 상기 외부 구동 샤프트(260)가 회전할 때 상기 베이스 아암 링크(220)가 그것과 함께 회전하도록 상기 외부 구동 샤프트(260)는 상기 베이스 아암 링크(220)에 결합될 수 있다. 일 양상에서 상기 베이스 아암 링크(220)는, 상기 어깨 축(SX)의 상기 축들(X)에 대한 실질적으로 360도 위치(360 degree placement)를 가능하게 하도록, 축(X)을 중심으로 한 실질적으로 무한한 회전(substantially infinite rotation)을 위해 구성될 수 있다. 상기 중간 구동 샤프트(261)가 회전할 때 제1 구동 축 풀리(first drive axis pulley 280)가 그것과 함께 회전하도록 상기 중간 구동 샤프트는 상기 제1 구동 축 풀리(280)에 결합될 수 있다. 상기 내부 구동 샤프트(262)가 회전할 때 제2 구동 축 풀리(281)가 그것과 함께 회전하도록 상기 내부 구동 샤프트(262)는 상기 제2 구동 축 풀리에 결합될 수 있다. 제2 동축 샤프트 구성은 상기 베이스 아암 링크(220)의 회전축(X)으로부터 원위의 베이스 아암 링크(220)의 단부에서 회전가능하게 적어도 부분적으로 상기 베이스 아암 링크(220) 안에 장착될 수 있다. 상기 제2 동축 샤프트 구성은 외부 구동 샤프트(271) 및 내부 구동 샤프트(270)를 포함한다. 제1 어깨 풀리(first shoulder pulley; 282)가 회전할 때 상기 내부 구동 샤프트(270)가 그것과 함께 회전하도록 상기 내부 구동 샤프트(270)는 상기 풀리(282)에 결합될 수 있다. 제2 어깨 풀리(second shoulder pulley; 283)가 회전할 때 상기 외부 구동 샤프트(271)가 그것과 함께 회전하도록 상기 외부 구동 샤프트는 상기 제2 어깨 풀리(283)에 결합될 수 있다. 상기 내부 구동 샤프트(270)(그것의 풀리(282)) 및 외부 구동 샤프트(271)(및 그것의 풀리들(282))는 상기 베이스 아암 링크로부터 임의의 적합한 방식으로 지지될 수 있으므로, 예컨대 하나 이상의 적합한 베어링들(SXB)에 의해서 지지될 수 있으므로, 그것들은 서로 독립적으로 회전가능하며 상기 베이스 아암 링크(220)에 독립하여 회전가능하다. 상기 제1 어깨 풀리(282)는, 예를 들어 벨트들, 밴드들, 기타 등등과 같은 임의의 적합한 트랜스미션(291)에 의해 상기 제1 구동 축 풀리(280)에 결합될 수 있으며, 그럼으로써 상기 내부 구동 샤프트(270)는, 상기 중간 구동 샤프트(261)에 상응하는 상기 구동부(200)의 모터에 의해 구동될 수 있다. 상기 제2 어깨 풀리(283)는 임의의 적합한 트랜스미션(290)에 의해 상기 제2 구동 축 풀리(281)에 결합될 수 있으며, 그럼으로써 상기 외부 구동 샤프트(271)는 상기 내부 구동 샤프트(262)에 상응하는 상기 구동부(200)의 모터에 의해 구동될 수 있는 바, 상기 임의의 적합한 트랜스미션(290)은 트랜스미션(291)과 실질적으로 유사할 수 있다. 일 양상에서 풀리 쌍들(280, 282 및 281, 283)은 각각 1 대 1(1:1) 구동비(drive ratio)를 가지는 반면, 다른 양상들에서 상기 풀리 쌍들은 임의의 다른 적합한 구동비를 가질 수 있다. 상기 어깨 축(SX)을 중심으로 상기 이송 아암(214)이 신장 및 수축 또는 회전되도록 하기 위하여 상기 외부 구동 샤프트(271) 및 내부 구동 샤프트(270)가 상기 이송 아암(214)에 임의의 적합한 방식으로 결합될 수 있다. 예를 들어 도 2a에 도시된 SCARA 아암에 대하여 상기 외부 샤프트(271)는 상기 상부 아암 링크(213)에 결합될 수 있으며, 상기 내부 샤프트(270)는 상기 전방 아암 링크(212)에 결합될 수 있는 바, 여기에서 상기 엔드 이펙터는 상기 상부 아암에 종속(slave)되어 상기 이송 아암(214)의 신장 및 수축의 축과 실질적으로 정렬된(aligned with) 채로 남을 수 있다. 상기 샤프트들(270, 271)의 결합 회전(combined rotation)은 (예컨대 약 360도를 초과하는) 실질적으로 무한한 회전을 가능하게 할 수 있으며, 혹은 상기 베이스 아암 링크(220)의 회전과는 독립적으로 상기 이송 아암(214)의 회전을 가능하게 할 수 있으며, 그럼으로써 상기 이송 아암(214)은 상기 베이스 아암(220)에 대하여 임의의 원하는 경로를 따라 신장할 수 있는 점이 주목된다.The motors 201MB, 201MU and 201MF (see FIG. 2D) of the driving unit 2200 in one aspect include an internal driving shaft 262, an intermediate driving shaft 261, and an external driving shaft 260, And a coaxial drive shaft arrangement. And may be configured to track the rotation of the drive shafts in order to control the rotation of the drive shafts and corresponding arm links and to rotate the drive shafts in any suitable manner with the motors and / Encoders may be provided. The one or more drive motors may be a harmonic drive motor substantially similar to U.S. Patent Application Serial No. 13 / 270,844, filed October 11, 2011, the disclosure of which is incorporated herein by reference in its entirety. When two transfer arms are mounted on a single base arm link as mentioned above, two additional drive shafts are provided to drive the additional transfer arms through transmissions substantially similar to those described below, May be added to the coaxial drive shaft configuration. The outer drive shaft 260 may be coupled to the base arm link 220 such that the base arm link 220 rotates therewith when the outer drive shaft 260 rotates. In one aspect, the base arm link 220 includes a plurality of base arm links 220 extending substantially axially about the axis X to allow a substantially 360 degree placement of the shoulder axis SX relative to the axes X. [ And can be configured for substantially infinite rotation. The intermediate drive shaft may be coupled to the first drive shaft pulley 280 such that the first drive axis pulley 280 rotates therewith when the intermediate drive shaft 261 rotates. The inner drive shaft 262 may be coupled to the second drive shaft pulley such that the second drive shaft pulley 281 rotates therewith when the inner drive shaft 262 rotates. A second coaxial shaft arrangement may be mounted within the base arm link 220 at least partially at the end of the distal base arm link 220 from the axis of rotation X of the base arm link 220. The second coaxial shaft configuration includes an external drive shaft 271 and an internal drive shaft 270. The inner drive shaft 270 may be coupled to the pulley 282 such that the inner drive shaft 270 rotates therewith when the first shoulder pulley 282 rotates. The outer drive shaft may be coupled to the second shoulder pulley 283 such that the outer drive shaft 271 rotates therewith when the second shoulder pulley 283 rotates. The inner drive shaft 270 (its pulley 282) and the outer drive shaft 271 (and its pulleys 282) can be supported in any suitable manner from the base arm link, And they are independently rotatable independently of each other and are rotatable independently of the base arm link 220. In addition, The first shoulder pulley 282 can be coupled to the first drive shaft pulley 280 by any suitable transmission 291, such as, for example, belts, bands, etc., The inner drive shaft 270 may be driven by a motor of the drive unit 200 corresponding to the intermediate drive shaft 261. The second shoulder pulley 283 can be coupled to the second drive shaft pulley 281 by any suitable transmission 290 so that the external drive shaft 271 can be coupled to the internal drive shaft 262, The transmission 290 may be driven by a motor of the drive 200 corresponding to the transmission 290, which may be substantially similar to the transmission 291. In one aspect, the pulley pairs 280, 282 and 281, 283 each have a 1: 1 (1: 1) drive ratio, while in other aspects the pulley pairs have any other suitable drive ratio . The external drive shaft 271 and the internal drive shaft 270 may be attached to the transfer arm 214 in any suitable manner such that the transfer arm 214 may be stretched and retracted or rotated about the shoulder axis SX. Lt; / RTI > The outer shaft 271 may be coupled to the upper arm link 213 and the inner shaft 270 may be coupled to the front arm link 212 Where the end effector may remain slaved to the upper arm and aligned substantially with the axis of extension and retraction of the transfer arm 214. [ The combined rotation of the shafts 270 and 271 may allow for substantially infinite rotation (e.g., greater than about 360 degrees), or may be independent of rotation of the base arm link 220 It is noted that the transfer arm 214 may be enabled to rotate, thereby allowing the transfer arm 214 to extend along any desired path with respect to the base arm 220.

도 2e를 참조하면, 다른 일 양상에서, 상기 구동 모터들(201MB, 201MU, 201MF)은 상기 이송 아암부(210)를 따라, 미국 특허 제7,578,649호에 설명된 것들과 실질적으로 유사한 방식으로 배치(distribute)될 수 있는 바, 상기 미국 특허 미국 특허 제7,578,649호의 개시는 그 전체가 본 명세서에 참조 병합된다. 예를 들어 (하모닉 구동 모터일 수 있는) 단일 모터(201MB)가 상기 베이스 아암 링크(220)를 회전 구동시키기 위해 축(X)을 중심으로 또는 상기 축(X)에 인접하여 배치될 수 있다. 상기 이송 아암(214)의 상부 아암 링크(213)를 구동시키기 위한 모터(201MU)는, 상기 상부 아암 링크(213)를 실질적으로 직접 구동시키기 위하여(또는 임의의 적합한 트랜스미션을 통해 구동시키기 위하여) 상기 어깨 축(SX)에서 상기 베이스 아암 링크(220) 상에 배치될 수 있다. 상기 이송 아암(214)의 전방 아암 링크(212)를 구동시키기 위한 모터(201MF)는, 실질적으로 상기 전방 아암 링크(212)를 직접 구동시키기 위하여(또는 임의의 적합한 트랜스미션을 통해 구동시키기 위하여) 상기 팔꿈치 축(E)에서 상기 상부 아암 링크(213) 상에 배치될 수 있다. 깨닫게 될 수 있는 바와 같이 일 양상에서 상기 엔드 이펙터(211)는 상기 상부 아암에 종속될 수 있는 반면에, 다른 일 양상에서는 상기 엔드 이펙터(211)를 독립적으로 회전시키기 위하여 추가적 구동 모터가 임의의 적합한 위치에서 제공될 수 있다.Referring to Figure 2E, in another aspect, the drive motors 201MB, 201MU, 201MF are arranged along the transfer arm 210 in a manner substantially similar to that described in U.S. Patent No. 7,578,649 the disclosure of which is incorporated herein by reference in its entirety. For example, a single motor 201MB (which may be a harmonic drive motor) may be positioned about the axis X or adjacent the axis X to rotationally drive the base arm link 220. The motor 201MU for driving the upper arm link 213 of the transfer arm 214 is connected to the upper arm link 213 via the upper arm link 213 to drive the upper arm link 213 substantially directly And may be disposed on the base arm link 220 at the shoulder axis SX. The motor 201MF for driving the front arm link 212 of the transfer arm 214 is configured to move the front arm link 212 substantially horizontally to drive the front arm link 212 directly (or to drive through any suitable transmission) And may be disposed on the upper arm link 213 at the elbow axis E. [ As may be realized, in one aspect the end effector 211 may be subject to the upper arm, while in another aspect an additional drive motor may be used to rotate the end effector 211 independently Location. ≪ / RTI >

도 2h, 2i 및 2j를 참조하면, 상기 베이스 아암 링크(220)를 회전 구동시키기 위한 (하모닉 구동 모터일 수 있는) 구동 모터(201MB)가 위에서 설명된 바와 같이 상기 축(X)을 중심으로 또는 상기 축(X)에 인접하여 배치될 수 있다. 상기 이송 아암(214)의 전방 아암 링크(212) 및 상기 상부 아암 링크(213)를 회전 구동시키기 위한 모터들(201MU 및 201MF)은 모터 모듈(201M) 내에 포함될 수 있는 바, 상기 모터 모듈(201M)은, 상기 베이스 아암 링크(220)의 부분을 형성하기 위하여 상기 베이스 아암 링크(220)의 단부에 제거가능하게(removably) 장착된다(실질적으로 상기 베이스 아암 링크(22)와 일렬로 늘어선다). 상기 모터 모듈(201M)은 정합부(201MS)를 구비한 하우징(201MH)을 포함할 수 있다. 또한 상기 모터 모듈(201M)은, (위에서 설명된 바와 같은) 상기 모터 모듈의 내부의 적어도 일부분들(portions)을 밀봉하기 위하여 그리고 상기 모터 모듈에 의해 발생되는 임의의 입자들이 상기 모터 모듈 안에 배치된 기판들 및 프로세싱 환경을 오염시키는 것을 실질적으로 방지하기 위하여, 예를 들어 자성유체 밀봉(ferro-fluidic seal)들과 같은 임의의 적합한 밀봉들(201SS) 및 차폐물(shields)(미도시) 및 커버들을 포함할 수 있다. 상기 정합부(201MS)는 임의의 적합한 방식으로 상기 모터 모듈(201M)을 상기 베이스 아암 링크(220)에 제거가능하게 장착하기 위하여 구성될 수 있다. 일 양상에서 임의의 적합한 밀봉(들)(289)이 상기 정합부(201MS)와 상기 베이스 아암 사이에 제공될 수 있으며, 그럼으로써 상기 모터 모듈(201M)의 내부의 적어도 일부분이 상기 베이스 아암(220)의 내부와 실질적으로 동일한 압력 및 분위기(atmosphere)에서 유지될 수 있는 바, 아래에서 설명될 바와 같다. 이 양상에서 상기 모터 모듈은, 동축 샤프트 구성의 개별의 샤프트들(270’, 271’)을 구동시키기 위하여 서로 겹쳐져(one above the other) 동축으로 배치된 모터들(201MU 및 201MF)을 포함한다. 모터(201MU)는 상기 하우징(201MH)에 장착된 고정자(201MUS) 및 상기 샤프트(271’)에 장착된 회전자(201MUR)를 포함할 수 있다. 모터(201MF)는 상기 하우징(201MH)에 장착된 고정자(201MFS) 및 샤프트(270’)에 장착된 회전자(201MFR)를 포함할 수 있다. 진공 환경 내에서 상기 모듈(201M)이 이용될 수 있도록, 상기 회전자들이 배치되는 환경으로부터 상기 고정자들이 배치되는 환경을 밀봉하기 위하여, 상기 고정자들(201MUS, 201MFS) 각각을 위하여 밀봉들 또는 슬리브들(seals or sleeves; 245)이 제공될 수 있는 바, 여기에서 상기 구동 샤프트들 및 회전자들은 진공 환경 내에 배치되고 고정자들(201MUS, 201MFS)은 상기 진공 환경 외측에 배치된다. 깨닫게 될 수 있는 바와 같이, 상기 모듈(201M)이 대기 환경(atmospheric environment) 내에서 이용되는 경우에 상기 밀봉들(245)은 제공될 필요가 없다.Referring to Figures 2h, 2i and 2j, a drive motor 201MB (which may be a harmonic drive motor) for rotationally driving the base arm link 220 is driven about the axis X And may be disposed adjacent to the axis X. [ Motors 201MU and 201MF for rotationally driving the front arm link 212 and the upper arm link 213 of the transfer arm 214 can be included in the motor module 201M, Is removably mounted (substantially aligned with the base arm link 22) at the end of the base arm link 220 to form a portion of the base arm link 220, . The motor module 201M may include a housing 201MH having a matching portion 201MS. The motor module 201M may also be used to seal at least portions of the interior of the motor module (as described above) and to allow any particles generated by the motor module to be placed in the motor module Any suitable seals 201SS and shields (not shown), such as, for example, ferro-fluidic seals, and covers (not shown) may be used to substantially prevent contamination of the substrates and processing environment . The mating portion 201MS may be configured to removably mount the motor module 201M to the base arm link 220 in any suitable manner. In one aspect, any suitable seal (s) 289 may be provided between the mating portion 201MS and the base arm such that at least a portion of the interior of the motor module 201M is coupled to the base arm 220 ) At substantially the same pressure and atmosphere as described herein, as will be described below. In this aspect, the motor module includes motors 201MU and 201MF coaxially disposed one above the other to drive the individual shafts 270 ', 271' in the coaxial shaft configuration. The motor 201MU may include a stator 201MUS mounted on the housing 201MH and a rotor 201MUR mounted on the shaft 271 '. The motor 201MF may include a stator 201MFS mounted on the housing 201MH and a rotor 201MFR mounted on the shaft 270 '. To seal the environment in which the stators are located from the environment in which the rotors are disposed, so that the module 201M can be used in a vacuum environment, seals or sleeves (not shown) are provided for each of the stators 201MUS, 201MFS, seals or sleeves 245 may be provided wherein the drive shafts and rotors are disposed in a vacuum environment and the stators 201MUS and 201MFS are disposed outside the vacuum environment. As can be realized, the seals 245 need not be provided if the module 201M is used in an atmospheric environment.

상기 샤프트(270’)는 상기 내부 샤프트일 수 있으며, 임의의 적합한 베어링들(241)을 통해 상기 하우징(201MH)에 의해 회전가능하게 지지될 수 있다. 상기 샤프트(271’)는 상기 외부 샤프트일 수 있으며, 임의의 적합한 베어링들(242)에 의해 상기 하우징(201MH) 내에서 회전가능하게 지지될 수 있다. 상기 외부 샤프트(271’)의 베어링들(242)이 임의의 적합한 방식으로 상기 내부 샤프트(270’)의 베어링들(241)에 의해 지지될 수 있다는 점이 주목된다(예컨대 상기 외부 샤프트는 상기 내부 샤프트 베어링들에 결합된다). 그러한 지지 구성(support arrangement)의 일 예시는 2012년 3월 12일자 출원된 미국 특허출원 번호 제13/417,837호에 제공되며, 그 개시는 그 전체가 본 명세서에 참조 병합된다. 상기 내부 샤프트(270’)로 상기 외부 샤프트(271’)를 지지함으로써, 상기 샤프트들(270’, 271’)의 정렬(alignment)이 유지됨으로 인해, 상기 모터 모듈(201M)이 모듈식으로 되는 것과 제거가능하게 되는 것이 가능해지는 바, 상기 모터 모듈(201M)이 상기 베이스 아암 링크(220)에 장착되는 때에 실질적으로 상기 샤프트들이 정렬되어야 할 필요는 없다.The shaft 270 'may be the inner shaft and may be rotatably supported by the housing 201 MH through any suitable bearings 241. The shaft 271 'may be the outer shaft and may be rotatably supported within the housing 201MH by any suitable bearings 242. It is noted that the bearings 242 of the outer shaft 271 'may be supported by the bearings 241 of the inner shaft 270' in any suitable manner (e.g., Coupled to the bearings). An example of such a support arrangement is provided in U.S. Patent Application Serial No. 13 / 417,837, filed March 12, 2012, the disclosure of which is incorporated herein by reference in its entirety. By supporting the outer shaft 271 'with the inner shaft 270', alignment of the shafts 270 ', 271' is maintained, so that the motor module 201M is modularized It is not necessary for the shafts to be substantially aligned when the motor module 201M is mounted on the base arm link 220. [

임의의 적합한 부호기들(240A, 240B)이 상기 샤프트들(270’, 271’)의 회전 움직임을 추적하기 위하여 제공되어 상기 하우징(201MH)(및 상기 구동 샤프트들에 장착된 부호기 트랙들(encoder tracks))에 적합하게 장착될 수 있다. 상기 부호기들(240A, 240B)은, 예컨대 컨트롤러(120)와 같은 적합한 컨트롤러에 연결될 수 있는 바, 이는 개별의 구동 샤프트들 및 아암 링크들의 회전을 제어하기 위하여 상기 컨트롤러에, 적합한 부호기 신호들을 송신하기 위한 것이다. 깨닫게 될 수 있는 바와 같이, 예를 들어 상기 부호기들(240A, 240B) 및 상기 모터들(201MU, 201MF)을 위한 적합한 제어 와이어들(control wires)이 상기 컨트롤러(120)에의 연결을 위하여 통과할 수 있도록 하기 위하여 상기 하우징(201MH)은 상기 정합부(201MS)를 통한 통공을 포함할 수 있다. 위에서 언급된 바와 같이 상기 베이스 아암 링크(220)의 내부는, 상기 와이어들의 상기 베이스 아암 링크(220)를 통한 상기 컨트롤러(120)에의 통과를 가능하게 하는 비-진공 환경으로서 유지될 수 있다. 다른 양상들에서 상기 부호기들 및 모터들은 임의의 적합한 무선 연결을 통하여 상기 컨트롤러에 연결될 수 있다.Any suitable encoders 240A and 240B may be provided to track the rotational movement of the shafts 270 'and 271' to provide the housing 201MH (and encoder tracks ). ≪ / RTI > The encoders 240A and 240B may be coupled to a suitable controller, such as, for example, a controller 120, which may be adapted to transmit appropriate encoder signals to the controller to control rotation of the respective drive shafts and arm links . Suitable control wires for the encoders 240A and 240B and the motors 201MU and 201MF can be passed for connection to the controller 120 as can be realized, The housing 201MH may include a through hole through the matching portion 201MS. The interior of the base arm link 220 may be maintained as a non-vacuum environment that allows passage of the wires through the base arm link 220 to the controller 120, as noted above. In other aspects, the encoders and motors may be coupled to the controller via any suitable wireless connection.

도 3a 및 3b를 참조하면, 프로세싱 장치의 일부분이 개시된 실시예의 양상에 따라 도시된다. 여기에서 상기 이송 챔버(126)는 이송 챔버(125)와 실질적으로 유사한 선형적 세장형 이송 챔버이지만, 이송 챔버(126)가 이송 챔버(125)와 다른 프로세싱 스테이션(140) 구성을 가지도록 구성된다. 예를 들어 상기 이송 챔버(126)의 양 단부들은 실질적으로 동일함으로써 각각의 단부가 2개의 프로세싱 스테이션들(140A, 140B) 또는 2개의 로드 록들(102A, 102B)(또는 그것들의 조합)과 정합할 수 있는 반면에, 상기 이송 챔버(125)의 단부들은 서로 상이함으로써 일 단부는 (도 1에 도시되는) 2개의 로드 록들 또는 (미도시된) 2개의 프로세스 모듈들과 정합할 수 있으며 다른 단부는 3개의 프로세스 모듈들(140A, 140B, 140C) 또는 하나의 로드 록(도 5b 참조)과 정합하도록 구성된다. 다른 양상들에서 상기 이송 챔버들은, 임의의 적합한 수의 프로세스 모듈들 및/또는 로드 록들을 임의의 적합한 배치(arrangement)로 부착시키기 위한 임의의 적합한 구성을 가질 수 있다는 점이 이해되어야 한다. 개시된 실시예의 도 1, 3a 및 3b에 도시된 양상들에 있어서 상기 이송 챔버(125, 126)는 충분한 길이이며, 따라서 2개의 프로세스 모듈들(140)은 상기 이송 챔버(125, 126)의 각각의 측방향 측부(lateral side) 상에 선형적으로 배치된다. 구동 회전축(X)이 프로세스 모듈들(140S1, 140S2 및 140S3, 140S4) 안으로의 기판 이송 경로들(TP) 사이에서 실질적으로 배치되도록 상기 이송 로봇(130)이 상기 이송 챔버(125, 126) 안에 배치될 수 있다. 상기 베이스 아암 링크(220)가 제1 방향으로 회전되는 때에 상기 어깨 축(SX)이 상기 이송 챔버(125, 126) 내의 지점(399)에서 배치되도록, 임의의 적합한 거리만큼 구동 축(X)이 상기 이송 챔버(125, 126)의 중심선(centerline; CL)으로부터 오프셋(offset)될 수도 있다. 지점(399)은, 예를 들어 프로세싱 스테이션들(140A, 140B, 140S1, 140S3) 안으로의 이송 경로들이 교차하는 곳에 배치될 수 있으며, 또는 환언하면 도 3a의 챔버(126)에 대하여 프로세싱 스테이션들(140A, 140B, 140S1, 140S3)에 의해 형성된 클러스터의 중심 또는 도 1의 챔버(125)에 대하여 프로세싱 스테이션들(140A-140D)에 의해 형성된 클러스터의 중심에 배치될 수 있다. 상기 베이스 아암 링크가 제2 방향으로 회전되는 때에 상기 어깨 축(SX)은 상기 이송 챔버 내에 지점(398)에서 배치될 수 있다. 상기 지점(398)은, 예를 들어 프로세싱 스테이션들(140S2, 140S4) 및 로드 록들(102A, 102B) 안으로의 이송 경로들이 교차하는 곳에 배치될 수 있으며, 또는 환언하면 프로세싱 스테이션들(140S2, 140S4) 및 로드 록들(102A, 102B)에 의해 형성된 클러스터의 중심에 배치될 수 있다. 다른 양상들에서 상기 구동부(200)는 상기 이송 챔버(125, 126) 내에 임의의 적합한 위치에서 배치될 수 있다.Referring to Figures 3a and 3b, a portion of a processing device is shown in accordance with aspects of the disclosed embodiment. Wherein the transfer chamber 126 is a linear elongated transfer chamber that is substantially similar to the transfer chamber 125 but the transfer chamber 126 is configured to have a different processing station 140 configuration than the transfer chamber 125 . For example, both ends of the transfer chamber 126 are substantially identical so that each end is aligned with two processing stations 140A, 140B or two load locks 102A, 102B (or a combination thereof) While the ends of the transfer chamber 125 are different from each other such that one end can mate with two loadlocks (shown in Figure 1) or two process modules (not shown) and the other end And is configured to match three process modules 140A, 140B, 140C or one load lock (see FIG. 5B). It should be understood that in other aspects the transfer chambers may have any suitable configuration for attaching any suitable number of process modules and / or loadlocks in any suitable arrangement. In the aspects illustrated in Figures 1, 3a and 3b of the disclosed embodiment, the transfer chambers 125 and 126 are of sufficient length so that two process modules 140 are provided in each of the transfer chambers 125 and 126 And is arranged linearly on the lateral side. The transfer robot 130 is placed in the transfer chamber 125, 126 such that the drive rotation axis X is substantially disposed between the substrate transfer paths TP into the process modules 140S1, 140S2, and 140S3, 140S4. . The drive shaft X is moved by any suitable distance such that the shoulder axis SX is disposed at the point 399 in the transfer chamber 125, 126 when the base arm link 220 is rotated in the first direction May be offset from a centerline (CL) of the transfer chamber (125, 126). The point 399 may be located, for example, at the intersection of the transfer paths into the processing stations 140A, 140B, 140S1, 140S3, or in other words, 140D may be located at the center of the cluster formed by the processing stations 140A-140D relative to the center of the cluster formed by the processing stations 140A-140D, 140B, 140S1, 140S3 or the chamber 125 of FIG. The shoulder axis SX may be disposed at a point 398 in the transfer chamber when the base arm link is rotated in a second direction. The point 398 may be located, for example, at the intersection of the transport paths into the processing stations 140S2, 140S4 and the loadlocks 102A, 102B, or in other words the processing stations 140S2, 140S4, And the load locks 102A, 102B. In other aspects, the drive 200 may be disposed in any suitable position within the transfer chamber 125, 126.

도 4a 및 4b에는, 상기 이송 아암(214)의 엔드 이펙터가 예를 들어 상기 프로세싱 스테이션들(140S2, 140S4) 및 로드 록들(102A, 102B) 각각에 접근할 수 있게끔 상기 어깨 축(SX)이 지점(398)에서 배치되도록, 위치된 상기 베이스 아암 링크(220)가 도시된다. 오로지 예시적 목적을 위해, 이중 블레이드(dual blade)(이중 단부로 된(double ended)) 엔드 이펙터를 구비하는 SCARA 유형 아암으로서 상기 이송 아암(214)이 도 4a에 도시되는 반면 도 4b에서는 상기 이송 아암(214)이 단일 블레이드(single blade) 엔드 이펙터를 구비한 SCARA 유형 아암으로서 도시되는 점이 주목된다. 다른 양상들에서 상기 이송 아암(214)은 임의의 적합한 구성을 가질 수 있다. 일 양상에서는 상기 상부 아암 링크 및 전방 아암 링크 각각의 독립적인 회전에 의해 상기 이송 아암이 상기 어깨 축(SX)의 대향하는 측부들 상에서 연장되는 것이 가능해질 수 있으며, 그럼으로써 상기 어깨 축(SX)을 중심으로 한 상기 이송 아암(214)의 회전 없이 일 단위로서(as a unit) 엔드 이펙터(EE1)가 프로세싱 스테이션(140S4)에 접근할 수 있고 엔드 이펙터(EE2)가 프로세싱 스테이션(140S2)에 접근할 수 있는 점도 주목된다. 상기 베이스 아암(220)에 상대적인 상기 이송 아암(214)의 독립적인 회전에 의해 일 단위로서 어깨 축(SX)을 중심으로 한 상기 이송 아암(214)의 회전이 가능해질 수 있으며, 그럼으로써 엔드 이펙터(EE1)가 프로세싱 스테이션(140S2)에 접근할 수 있으며 엔드 이펙터(EE2)가 프로세싱 스테이션(140S4)에 접근할 수 있다는 점도 주목된다. 깨닫게 될 수 있는 바와 같이 기판들의 신속한 맞교환(fast swapping)은, 상기 프로세싱 스테이션들 중 하나 안으로 하나의 엔드 이펙터를 삽입함, 상기 어깨 축(SX)을 중심으로 상기 이송 아암을 회전시킴, 그리고 그 후에 상기 프로세싱 스테이션들 중 상기 하나 안으로 다른 엔드 이펙터를 삽입함에 의해서도 이루어질 수 있다. 마찬가지로 도 4b를 참조하면 상기 베이스 아암(220)에 상대적인 상기 이송 아암(214)의 독립적인 회전에 의해 일 단위로서 어깨 축(SX)을 중심으로 한 상기 이송 아암(214)의 회전이 가능해질 수 있으며, 그럼으로써 상기 단일 블레이드 SCARA 아암의 엔드 이펙터(EE3)는 두 프로세싱 스테이션들 모두(140S2, 140S4)에 접근할 수 있다. 본 명세서에 설명된 바와 같이 상기 이송 로봇들의 구동부는 3 자유도를 정의하는 3개의 독립적인 회전축들을 포함한다. 상기 구동부의 1 자유도는 상기 이송 챔버 내에 적어도 하나의 이송 아암을 운반하기 위하여 상기 적어도 하나의 베이스 아암을 수평으로 이동시키며, 상기 구동부의 2 자유도는, 상기 적어도 하나의 이송 아암을 신장시키고 상기 적어도 하나의 이송 아암을 수축시키고 상기 2개의 엔드 이펙터들을 맞교환하도록 상기 적어도 하나의 이송 아암을 구동시킨다.4A and 4B illustrate that the end effector of the transfer arm 214 is accessible to each of the processing stations 140S2 and 140S4 and the load locks 102A and 102B, The base arm link 220 is positioned so that it is disposed in the base arm link 398, as shown in FIG. For illustrative purposes only, the transfer arm 214 is shown in Figure 4A as a SCARA type arm with a dual blade (double ended) end effector, while in Figure 4B, It is noted that the arm 214 is shown as a SCARA type arm with a single blade end effector. In other aspects, the transfer arm 214 may have any suitable configuration. In an aspect, the independent rotation of each of the upper arm link and the front arm link may enable the transfer arm to extend on opposite sides of the shoulder axis SX, such that the shoulder axis SX, The end effector EE1 can access the processing station 140S4 as a unit and the end effector EE2 can access the processing station 140S2 without rotation of the transfer arm 214 about the processing station 140S2 It is also noted that it can be done. The rotation of the transfer arm 214 about the shoulder axis SX as a unit can be enabled by the independent rotation of the transfer arm 214 relative to the base arm 220, It is also noted that the end effector EE1 can access the processing station 140S2 and the end effector EE2 can access the processing station 140S4. Fast swapping of the substrates as can be realized is achieved by inserting one end effector into one of the processing stations, rotating the transfer arm about the shoulder axis SX, Or by inserting another end effector into the one of the processing stations. Referring to FIG. 4B, the transfer arm 214 can rotate about the shoulder axis SX as a unit by independently rotating the transfer arm 214 relative to the base arm 220 So that the end effector EE3 of the single blade SCARA arm can access both of the two processing stations 140S2 and 140S4. As described herein, the driving units of the transfer robots include three independent rotational axes defining three degrees of freedom. Wherein one degree of freedom of the drive moves the at least one base arm horizontally to carry at least one transfer arm in the transfer chamber, the two degrees of freedom of the drive extend the at least one transfer arm, To actuate the at least one transfer arm to retract the transfer arm of the two end effectors and to swap the two end effectors.

도 5b 및 5c를 참조하면 상기 이송 아암(214)은 이중 아암 SCARA 이송 아암으로서 도시된다. 이 양상에서 상기 이중 아암 SCARA 이송 아암은, 예를 들어 기계적 스위치 또는 공전 메커니즘(lost motion mechanism)을 이용하는, (예컨대 샤프트들(270, 271)을 통한) 2개의 구동 모터들로써 독립적으로 구동될 수 있으며, 그 방식은 미국 특허 번호 제7,946,800호 및 2008년 5월 8일자 출원된 미국 특허출원 번호 제12/117,415호에 설명된 것과 실질적으로 유사한 방식인 바, 그것들의 개시는 그 전체가 본 명세서에 참조 병합된다. 예를 들어 상기 구동 샤프트들(270, 271) 중 제1 샤프트(first one)는 일 단위로서 상기 어깨 축(SX)을 중심으로 상기 이중 아암 SCARA 이송 아암을 회전시키기 위하여 상기 운반 아암의 하우징에 연결될 수 있는 반면, 상기 구동 샤프트들(270, 271) 중 제2 샤프트(second one)는 상기 기계적 스위치를 통하여 두 아암들 모두에 결합됨으로써, 일 방향으로의 상기 제2 구동 샤프트(270, 271)의 회전은 제2 아암이 실질적으로 수축된 구성으로 남아 있는 동안에 상기 아암들 중 제1 아암이 신장되도록 하며, 반대 방향으로의 상기 제2 구동 샤프트(270, 271)의 회전은 제1 아암이 실질적으로 수축된 구성으로 남아 있는 동안에 상기 제2 아암이 신장되도록 하게 된다. 깨닫게 될 수 있는 바와 같이, 상기 제1 구동 샤프트 및 제2 구동 샤프트(270, 271)의 실질적인 동시 회전(substantially simultaneous rotation)을 통해, 일 단위로서 상기 어깨 축(SX)을 중심으로 한 상기 이중 아암 SCARA 이송 아암의 회전이 제공될 수 있다. 상기 엔드 이펙터들이 임의의 적합한 방식으로 상부 아암에 종속될 수 있다는 점이 주목된다.5B and 5C, the transfer arm 214 is shown as a double arm SCARA transfer arm. In this aspect, the double-arm SCARA transfer arm may be independently driven with two drive motors (e.g., via shafts 270, 271) using, for example, mechanical switches or a lost motion mechanism , Which is a manner substantially similar to that described in U.S. Patent No. 7,946,800 and U.S. Patent Application Serial No. 12 / 117,415, filed May 8, 2008, the disclosures of which are incorporated herein by reference in their entirety Lt; / RTI > For example, a first one of the drive shafts 270, 271 is connected to the housing of the transfer arm to rotate the double arm SCARA transfer arm about the shoulder axis SX as a unit While the second one of the drive shafts 270 and 271 is coupled to both arms through the mechanical switch so that the second drive shafts 270 and 271 in one direction Rotation causes the first one of the arms to extend while the second arm remains in the substantially retracted configuration and rotation of the second drive shaft (270, 271) in the opposite direction causes the first arm to substantially The second arm is allowed to stretch while remaining in the retracted configuration. The first and second driving shafts 270 and 271 may be substantially simultaneous rotated so that the double arm 241 around the shoulder axis SX as a unit, The rotation of the SCARA transfer arm can be provided. It is noted that the end effectors may be subordinate to the upper arm in any suitable manner.

다른 일 양상에서 상기 이중 SCARA 이송 아암이 2개의 모터들에 의해 구동될 수 있으며, 여기에서 상기 제1 SCARA 아암의 상부 아암 및 상기 제2 SCARA 아암의 전방 아암은 샤프트(270)에 구동적으로 결합(drivingly coupled)되며(즉 공통 구동 모터), 상기 제2 SCARA 아암의 상부 아암 및 상기 제1 SCARA 아암의 전방 아암은 샤프트(271)에 구동적으로 결합된다(즉 공통 구동 모터). 동일 방향으로의 상기 샤프트들(270, 271)의 회전은 일 단위로서 상기 어깨 축(SX)을 중심으로 한 상기 이중 아암 SCARA 이송 아암의 회전을 야기할 수 있으며, 반대 방향들로의 상기 샤프트들(270, 271)의 회전은 상기 아암들의 신장 또는 수축을 야기할 수 있는 바, 그 방식은 2011년 11월 10일자 출원된 미국 특허출원 제13/293,717호에 설명된 것과 실질적으로 유사한 방식이며, 미국 특허출원 제13/293,717호의 개시는 그 전체가 본 명세서에 참조 병합된다. 상기 엔드 이펙터들이 임의의 적합한 방식으로 상부 아암에 종속될 수 있다는 점이 주목된다.In another aspect, the dual SCARA transfer arm may be driven by two motors, wherein the upper arm of the first SCARA arm and the front arm of the second SCARA arm are actively coupled to the shaft 270 (i.e., a common drive motor), the upper arm of the second SCARA arm and the front arm of the first SCARA arm are drivably coupled to the shaft 271 (i.e., a common drive motor). Rotation of the shafts 270, 271 in the same direction may cause rotation of the double arm SCARA transfer arm about the shoulder axis SX as a unit and may cause rotation of the shafts 270, The rotation of the arms 270, 271 can cause the arms to stretch or contract, the manner being substantially similar to that described in U.S. Patent Application No. 13 / 293,717, filed November 10, 2011, The disclosure of U.S. Patent Application No. 13 / 293,717 is incorporated herein by reference in its entirety. It is noted that the end effectors may be subordinate to the upper arm in any suitable manner.

또 다른 일 양상에서 상기 이중 아암 SCARA 이송 아암은, 샤프트들(270, 271) 및 하나의 추가적 샤프트(미도시)를 통하여 3개의 구동 모터들을 이용하여 구동될 수 있는 바(예컨대 이 경우에 상기 구동부는 임의의 Z-축 구동 축과는 독립적인 4개의 구동 축들을 갖는다), 그 방식은 미국 특허 번호 제6,485,250호 및 2012년 3월 12일자 출원된 미국 특허출원 번호 제13/417,837호에 설명된 것과 실질적으로 유사한 방식이며, 그것들의 개시는 그 전체가 본 명세서에 참조 병합된다.In another aspect, the double arm SCARA transfer arm may be driven using three drive motors through the shafts 270, 271 and one additional shaft (not shown) (e.g., in this case, Has four drive axes that are independent of any Z-axis drive axes), which is described in U.S. Patent No. 6,485,250 and U.S. Patent Application Serial No. 13 / 417,837, filed March 12, , The disclosures of which are incorporated herein by reference in their entirety.

도 6 및 6a을 참조하면, 상기 이송 아암(214)은 좌우 대칭적 개구리 다리(bi-symmetric frog leg) 이송 아암으로서 도시된다. 상기 개구리 다리 이송 아암은 구동 아암 링크들(drive arm links; 651, 652) 및 구동되는 아암 링크들(driven arm links; 661-664)을 포함할 수 있다. 상기 구동되는 아암 링크들(661, 664)은 엔드 이펙터(EE4)를 상기 구동 아암 링크들(651, 652)에 연결한다. 상기 구동되는 아암 링크들(662, 663)은 엔드 이펙터(EE5)를 상기 구동 아암 링크들(651, 652)에 연결한다. 구동 아암 링크(651)가 임의의 적합한 방식으로 샤프트(270)(도 2b)에 결합되고 구동 아암 링크(652)가 임의의 적합한 방식으로 샤프트(271)(도 2b)에 결합될 수 있으며, 그럼으로써 반대 방향들로의 상기 구동 샤프트들의 회전이 상기 엔드 이펙터(EE4)의 예컨대 프로세싱 스테이션(140C)으로의/으로부터의 신장 및 수축, 그리고 엔드 이펙터(EE5)의 예컨대 프로세싱 스테이션(140G)으로의/으로부터의 신장 및 수축을 야기하게 되는 바, 그 방식은 예를 들어 미국 특허 번호 제5,899,658호 및 제5,720,590호에 설명된 것과 실질적으로 유사한 방식이며, 그것들의 개시는 그 전체가 본 명세서에 참조 병합된다. 동일 방향으로의 상기 샤프트들(270, 271)의 회전은 어깨 축(SX)을 중심으로 한 상기 개구리 다리 이송 아암의 회전을 야기할 수 있으며, 그럼으로써 상기 구동 샤프트들의 반대 방향들로의 그 이상의 회전이 상기 엔드 이펙터(EE5)의 예컨대 프로세싱 스테이션(140C)으로의/으로부터의 신장 및 수축, 그리고 엔드 이펙터(EE4)의 예컨대 프로세싱 스테이션(140G)으로의/으로부터의 신장 및 수축을 야기하게 되는 바, 그 방식은 예를 들어 미국 특허 번호 제5,899,658호 및 제5,720,590호에 설명된 것과 실질적으로 유사한 방식인 점이 주목된다. 깨닫게 될 수 있는 바와 같이, 기판들의 신속한 맞교환은 상기 프로세싱 스테이션들 중 하나 안으로 하나의 엔드 이펙터를 삽입함, 상기 어깨 축(SX)을 중심으로 상기 이송 아암을 회전시킴, 그리고 그 후에 상기 프로세싱 스테이션들 중 상기 하나 안으로 다른 엔드 이펙터를 삽입함에 의해서도 이루어질 수 있다.6 and 6A, the transfer arm 214 is shown as a bi-symmetric frog leg transfer arm. The frog leg transfer arm may include drive arm links 651, 652 and driven arm links 661-664. The driven arm links 661 and 664 connect the end effector EE4 to the drive arm links 651 and 652, respectively. The driven arm links 662 and 663 connect the end effector EE 5 to the drive arm links 651 and 652. The drive arm link 651 may be coupled to the shaft 270 (Figure 2b) in any suitable manner and the drive arm link 652 may be coupled to the shaft 271 (Figure 2b) in any suitable manner, Such that rotation of the drive shafts in opposing directions causes extension and contraction of the end effector EE4 to and / or from the processing station 140C, and / or rotation of the end effector EE5, And the manner is substantially similar to that described, for example, in U.S. Patent Nos. 5,899,658 and 5,720,590, the disclosures of which are incorporated herein by reference in their entirety . Rotation of the shafts 270, 271 in the same direction can cause rotation of the frog leg transfer arm about the shoulder axis SX, Rotation causes elongation and contraction of the end effector EE5, for example, to and from the processing station 140C, and expansion and contraction of the end effector EE4, for example, to / from the processing station 140G. , It is noted that the manner is substantially similar to that described, for example, in U.S. Patent Nos. 5,899,658 and 5,720,590. As can be realized, the rapid swap of substrates inserts one end effector into one of the processing stations, rotates the transfer arm about the shoulder axis SX, and then rotates the processing stations Or by inserting another end effector into the one of the two end effectors.

이제 도 5a, 5b 및 5c를 참조하면, 신장(elongated) 이중 클러스터 이송 챔버들을 포함하는 상이한 구성들의 프로세싱 장치가 개시된 실시예의 양상들에 따라 도시된다. 몇몇 양상들에서 상기 프로세싱 장치는 도 11a-11c에 대하여 설명된 바와 같이 다수 레벨들의 프로세싱 스테이션들 및/또는 로드 록들(예컨대 서로 겹쳐져 배치됨)을 포함할 수 있으며, 그럼으로써 프로세싱 스테이션들 및/또는 로드 록들의 수는 상기 프로세싱 장치의 윤곽을 증가시키지 않고 실질적으로 증가될 수 있다는 점이 또한 주목된다. 도 5a에는 도 1에 도시된 것과 실질적으로 유사한 단일 이송 챔버 구성이 도시되지만, 도 5a에서 이송 챔버(126)는 이송 챔버(125)와 상이한 프로세싱 스테이션 구성을 갖는 것으로 도시된다(예컨대 이송 챔버(125)의 3개의 프로세싱 스테이션들 대신에 2개의 프로세싱 스테이션들이 상기 이송 챔버의 단부에서 배치된다). 도 5b에는 탠덤(tandem) 이송 챔버 구성이 도시되는 바, 여기에서 2개의 이송 챔버들(125)은, 합쳐진(joined) 이송 챔버들 내의 환경들이 서로로부터 선택적으로 밀봉될 수 있게끔, 단일 로드 록(502)에 의해 함께 결합된다. 다른 양상들에서 상기 2개의 이송 챔버들은 상기 이송 챔버들 내의 환경들이 서로 소통되는 임의의 적합한 방식으로 연결될 수 있다. 도 5c에는 또 다른 일 구성이 도시되는 바, 여기에서 2개의 이송 챔버들(126)은, 합쳐진 이송 챔버들 안의 환경들이 서로로부터 선택적으로 밀봉될 수 있도록 2개의 로드 록들(502A, 502B)에 의해 함께 결합된다. 다른 양상들에서 상기 2개의 이송 챔버들은 상기 이송 챔버들 안의 환경들이 서로 소통되는 임의의 적합한 방식으로 연결될 수 있다. 깨닫게 될 수 있는 바와 같이 임의의 적합한 수의 이송 챔버들(125, 126)은, 임의의 적합한 길이 및 구성의 프로세스 모듈들, 로드 록들, EFEM들을 구비한 결합 이송 챔버를 형성하는 임의의 적합한 방식으로, 임의의 적합한 수의 로드 록들을 통하여 서로에 결합될 수 있다. 예를 들어 도 5d를 참조하면, 결합된 선형적 세장형 이송 챔버를 형성하도록 3개의 이송 챔버들(126)이 함께 결합됨으로써 상기 결합된 선형적 세장형 이송 챔버의 각각의 단부는 개별의 소형 환경(mini-environment)(EFEM)(106A, 106B)을 갖지만, 도 5b 및 5c에 도시된 것과 실질적으로 유사한 방식으로, 상기 이송 챔버들(125)이 서로 결합되거나 이송 챔버들(126)과 함께 서로 결합되어, 개별의 소형 환경들(106A, 106B)을 갖는 단부들을 구비한 결합된 선형적 세장형 이송 챔버를 형성할 수 있는 점이 이해되어야 한다. 이 양상에서 기판들은 소형 환경들(106A, 106B) 중 하나를 통하여 상기 프로세싱 장치의 일 단부에서 상기 프로세싱 장치 안으로 도입될 수 있으며 소형 환경들(106A, 106B) 중 다른 하나를 통하여 상기 프로세싱 장치의 다른 단부에서 상기 프로세싱 장치로부터 제거될 수 있다. 깨닫게 될 수 있는 바와 같이 소형 환경들(106A, 106B)과 실질적으로 유사한 소형 환경이 상기 프로세싱 스테이션들(140) 중 하나를 대체할 수 있으며, 그럼으로써 상기 결합된 선형적 세장형 이송 챔버의 단부들 사이에서 기판들이 상기 프로세싱 장치로 도입되거나 상기 프로세싱 장치로부터 제거될 수 있다. 이와 유사하게 도 1 및 5a에 도시된 것과 같은 단일 선형적 세장형 이송 챔버를 구비한 프로세싱 장치는, 도 5d에 대하여 설명된 것과 실질적으로 유사한 방식으로 상기 챔버(125, 126)의 단부들 사이에 또는 상기 챔버(125, 126)의 각각의 단부에서 배치되는 소형 환경을 가질 수 있다.Referring now to Figures 5A, 5B, and 5C, processing apparatuses of different configurations, including elongated dual cluster transfer chambers, are shown in accordance with aspects of the disclosed embodiments. In some aspects, the processing device may include multiple levels of processing stations and / or loadlocks (e.g., superimposed on each other) as described with respect to Figures 11a-11c so that processing stations and / It is also noted that the number of locks can be substantially increased without increasing the contour of the processing device. 5A, a transfer chamber 126 is shown having a different processing station configuration than the transfer chamber 125 (e.g., a transfer chamber 125 ), Two processing stations are disposed at the end of the transfer chamber. 5b shows a tandem transfer chamber configuration wherein two transfer chambers 125 are arranged in a single load lock (not shown) so that the environments within the joined transfer chambers can be selectively sealed from each other 502, respectively. In other aspects, the two transfer chambers may be connected in any suitable manner in which the environments within the transfer chambers communicate with each other. Another configuration is shown in Figure 5c wherein the two transfer chambers 126 are separated by two load locks 502A and 502B so that the environments in the combined transfer chambers can be selectively sealed from each other Lt; / RTI > In other aspects, the two transfer chambers may be connected in any suitable manner in which the environments within the transfer chambers communicate with each other. Any suitable number of transfer chambers 125, 126 as may be realized may be constructed in any suitable manner to form an associated transfer chamber with process modules, load locks, EFEMs of any suitable length and configuration , And may be coupled to each other through any suitable number of load locks. 5d, the three transfer chambers 126 are joined together to form a combined linear elongated transfer chamber such that each end of the combined linear elongate transfer chamber is in a separate miniature environment (EFEM) 106A, 106B, but in a manner substantially similar to that shown in Figures 5B and 5C, the transfer chambers 125 may be coupled to each other or with transfer chambers 126 It should be appreciated that the combined linear, elongated delivery chambers may be combined to have end portions with respective miniature environments 106A, 106B. In this aspect, the substrates may be introduced into the processing apparatus at one end of the processing apparatus through one of the mini environments 106A, 106B and may be introduced into the processing apparatus through another of the mini environments 106A, And may be removed from the processing device at the end. A compact environment substantially similar to the mini environments 106A, 106B may be substituted for one of the processing stations 140 as may be realized, such that the ends of the combined linear elongated transfer chamber The substrates can be introduced into or removed from the processing apparatus. Similarly, a processing apparatus with a single linear elongate delivery chamber as shown in Figures 1 and 5a may be provided between the ends of the chamber 125, 126 in a manner substantially similar to that described with respect to Figure 5d Or a small environment disposed at each end of the chamber 125, 126.

이제 도 6을 참조하면, 이 양상에서 도구(600)는, 선형적 세장형 이송 챔버(625)(신장 삼중 클러스터 이송 챔버로서 도시되는 바, 예컨대 하나의 클러스터는 프로세싱 스테이션들(140C-140G)에 의해 형성되며, 하나의 클러스터는 프로세싱 스테이션들(140B 및 140H)에 의해 형성되고, 하나의 클러스터는 프로세싱 스테이션들(140A, 140I) 및 로드 록들(102A, 102B)에 의해 형성된다)를 구비한 클러스터 유형 도구로서 도시된다. 상기 도구(600)는 도구 스테이션(100)과 실질적으로 유사할 수 있으며, 같은 특징부들은 같은 참조 번호를 가진다. 몇몇 양상들에서 상기 도구(600)(및 도 8a-9c에 도시된 도구의 일부분들)는 도 11a-11c에 대하여 설명된 바와 같이 다수 레벨들의 프로세싱 스테이션들 및/또는 로드 록들(예컨대 서로 겹쳐져 배치됨)을 포함할 수 있으며, 그럼으로써 상기 프로세싱 장치의 윤곽을 실질적으로 증가시키지 않고 프로세싱 스테이션들 및/또는 로드 록들의 수가 증가될 수 있다는 점이 또한 주목된다.Referring now to FIG. 6, in this aspect, the tool 600 includes a linear elongated transfer chamber 625 (shown as an elongated triple cluster transfer chamber, e.g., one cluster is connected to the processing stations 140C-140G) One cluster is formed by processing stations 140B and 140H and one cluster is formed by processing stations 140A and 140I and load locks 102A and 102B) Type tool. The tool 600 may be substantially similar to the tool station 100, and like features have the same reference numerals. In some aspects, the tool 600 (and portions of the tool shown in Figures 8A-9C) may include multiple levels of processing stations and / or load locks (e.g., overlapping with each other) ) So that the number of processing stations and / or loadlocks can be increased without substantially increasing the contour of the processing device.

일반적으로 상기 진공 백 엔드(103)는 이송 챔버(625), 일반적으로 프로세싱 스테이션(들)(140)이라고 불리는 하나 이상의 프로세싱 스테이션(들)(140A-140I), 및 이송 로봇(630)을 포함한다. 상기 이송 로봇(630)은, 아래에서 설명될 것인 바, 상기 이송 챔버(625) 안에 배치되어 상기 로드 록(들)(102)과 다양한 프로세싱 스테이션들(140) 사이에서 기판들을 운반할 수 있다. 일 양상에서 상기 소형 환경(106)의 이송 로봇(113)은 이송 로봇(630)과 실질적으로 유사할 수 있는 반면에 다른 양상들에서 상기 이송 로봇(113)은 임의의 적합한 이송 로봇일 수 있는 점이 주목된다.In general, the vacuum back end 103 includes a transfer chamber 625, one or more processing stations (s) 140A-140I, commonly referred to as processing station (s) 140, and a transfer robot 630 . The transfer robot 630 may be disposed in the transfer chamber 625 to transfer substrates between the load lock (s) 102 and the various processing stations 140, as will be described below . In one aspect, the transfer robot 113 of the small environment 106 may be substantially similar to the transfer robot 630, while in other aspects the transfer robot 113 may be any suitable transfer robot It is noted.

이제 도 7a 및 7b를 참조하면 일반적으로 상기 이송 로봇(630)은 구동부(700) 및 이송 아암부(710)를 포함하며, 상기 구동부(700)는 하우징(701), 장착 플랜지(702)를 구비하고, 상기 장착 플랜지(702)는 대기 프론트 엔드(101) 또는 진공 백 엔드(103) 중 하나 안에 상기 이송 로봇(630)을 장착하기 위하여 구성된다. 상기 이송 아암부(710)는 베이스 아암 또는 관절식 붐(articulated boom)(720), 및 어깨 축(SX)에서 회전가능하게 상기 베이스 아암(720)에 장착된 이송 아암(214)을 포함할 수 있다. 상기 베이스 아암(720)은 상부 아암 링크(721), 및 상기 상부 아암 링크(721)에 회전가능하게 결합된 전방 아암 링크(722)를 포함할 수 있다. 일 양상에서 상기 베이스 아암(720)은, 위에서 설명된 것과 실질적으로 유사한 방식으로 상부 아암 링크(721) 또는 전방 아암 링크(721) 중 하나에 장착된 정렬자(aligner; 230)(도 2c)를 포함할 수 있다. 상기 이송 아암(214)은, 위에서 설명된 것과 실질적으로 유사할 수 있고 회전가능하게 상기 베이스 아암(720)의 상기 전방 아암 링크(722)에 결합될 수 있다는 점이 주목된다. 상기 이송 아암은 일반적으로 본 명세서에 이송 아암(214)이라고 불릴 것이며 상이한 이송 아암 구성들을 가지는 것으로 다양한 도면들에서 도시될 것이라는 점이 또한 주목된다. 예를 들어 도 7a에서 상기 이송 아암(214)은, 상부 아암 링크(213), 회전가능하게 상기 상부 아암(213)에 결합된 전방 아암 링크(212), 및 회전가능하게 상기 전방 아암 링크(212)에 결합된 엔드 이펙터(211)를 구비한 SCARA 유형 아암으로서 도시되지만, 위에서 언급된 바와 같이 상기 이송 아암(214)은 2개의 자유도들 및 하나 이상의 엔드 이펙터들을 구비한 임의의 적합한 유형의 이송 아암일 수 있다.7A and 7B, the transfer robot 630 includes a driving unit 700 and a transfer arm unit 710. The driving unit 700 includes a housing 701 and a mounting flange 702 And the mounting flange 702 is configured to mount the transfer robot 630 in one of the atmospheric front end 101 or the vacuum back end 103. The transfer arm portion 710 may include a base arm or an articulated boom 720 and a transfer arm 214 mounted on the base arm 720 rotatably in the shoulder axis SX. have. The base arm 720 may include an upper arm link 721 and a front arm link 722 rotatably coupled to the upper arm link 721. In one aspect, the base arm 720 includes an aligner 230 (FIG. 2C) mounted on one of the upper arm link 721 or the front arm link 721 in a manner substantially similar to that described above. . It is noted that the transfer arm 214 may be substantially similar to that described above and may be rotatably coupled to the front arm link 722 of the base arm 720. [ It is also noted that the transfer arm will generally be referred to herein as the transfer arm 214 and will be shown in various figures as having different transfer arm configurations. For example, in FIG. 7A, the transfer arm 214 includes an upper arm link 213, a front arm link 212 rotatably coupled to the upper arm 213, and a front arm link 212 rotatably coupled to the front arm link 212 Type arm with an end effector 211 coupled to the transfer arm 214. However, as mentioned above, the transfer arm 214 can be any suitable type of transfer arm with two degrees of freedom and one or more end effectors, Lt; / RTI >

상기 구동부(700)는 위에서 설명된 구동부(200)와 실질적으로 유사할 수 있다. 일 양상에서 상기 구동부(700)는, 상기 이송 아암부(710)의 신장 및 수축의 축에 실질적으로 직각인 방향으로 상기 이송 아암부(710)를 선형적으로 이동시키기 위하여 위에서 설명된 것과 실질적으로 유사한 Z-축 구동기(203)를 포함할 수도 있다. 다른 양상들에서 상기 구동부(700)는 Z-축 구동기를 구비하지 않을 수 있다. 상기 구동부(700)는 상기 이송 챔버에 결합된 로드 록들 및 프로세싱 스테이션들 각각에 상기 이송 아암이 접근할 수 있도록 하기 위하여 상기 이송 챔버 내에 임의의 적합한 위치에서 배치될 수 있다는 점이 주목된다. 예를 들어 도 6에서 상기 구동부(700)는 프로세싱 스테이션들(140B, 140H) 안으로의 기판 이송 경로와 실질적으로 정렬된 것으로 도시되지만 다른 양상들에서 상기 구동부는 임의의 적합한 위치에서 배치될 수 있다.The driving unit 700 may be substantially similar to the driving unit 200 described above. In one aspect, the driving unit 700 is configured to move the transfer arm unit 710 linearly in a direction substantially perpendicular to the axis of extension and contraction of the transfer arm unit 710, And may include a similar Z-axis driver 203. In other aspects, the driver 700 may not include a Z-axis driver. It is noted that the drive 700 may be disposed at any suitable position within the transfer chamber to allow the transfer arm to be accessible to each of the load locks and processing stations coupled to the transfer chamber. For example, in FIG. 6, the drive 700 is shown as being substantially aligned with the substrate transfer path into the processing stations 140B, 140H, but in other aspects the drive may be disposed at any suitable location.

상기 구동부(700)의 모터들(201MB, 201MU, 201MF)(도 2d)은, 내부 구동 샤프트(262), 중간 구동 샤프트(261) 및 외부 구동 샤프트(260)를 구비한 동축 구동 샤프트 구성을 구동시키도록 구성될 수 있다. 상기 외부 구동 샤프트(260)가 회전할 때 상기 상부 아암 링크(721)가 그것과 함께 회전하도록, 상기 외부 구동 샤프트(260)는 구동 회전축(X)을 중심으로 상기 베이스 아암(720)의 상부 아암 링크(721)에 결합될 수 있다. 상기 베이스 아암(720)의 상기 전방 아암 링크(722)는 예를 들어 상기 구동부(700)의 하우징(701)에 종속될 수 있으며, 그럼으로써 상기 전방 아암 링크(722)의 어깨 회전축(SX)은, 상기 베이스 아암(720)이 신장 및 수축됨에 따라 실질적으로 선형인 경로를 따라 통행하도록 구속된다(예컨대 상기 이송 챔버의 길이를 따라 상기 이송 아암을 이동시키기 위해 단일 구동 모터가 상기 베이스 아암(720)의 신장 및 수축을 야기한다). 예를 들어 구동 축 풀리(780)가 상기 상부 아암 링크(721)에 대하여 회전 정지(rotationally stationary)되도록, 상기 구동 축 풀리(780)는 상기 구동 회전축(X)과 실질적으로 동심(同心)으로 장착될 수 있고 예를 들어 상기 구동부(700)의 하우징(701)(또는 상기 이송 장치(630)의 다른 임의의 적합한 일부분)에 임의의 적합한 방식으로 놓일(grounded) 수 있다. 다른 양상들에서 상기 구동 축 풀리(780)는 임의의 적합한 방식으로 회전 고정(rotationally fixed)될 수 있다. 종속된 풀리(783)는 임의의 적합한 베어링들(EXB)에 의해서와 같이 임의의 적합한 방식으로 상기 베이스 아암(720)의 팔꿈치 축(EX)에서 회전가능하게 장착될 수 있다. 상기 종속된 풀리(783)가 회전할 때 상기 전방 아암 링크(722)가 그것과 함께 회전하도록, 상기 종속된 풀리(783)는, 예를 들어 샤프트(763)에 의해 상기 전방 아암 링크(722)에 결합될 수 있다. 상기 풀리들(780, 783)은, 예를 들어 밴드들, 벨트들 등등을 포함하는 임의의 적합한 트랜스미션(791)에 의해서와 같이 임의의 적합한 방식으로 서로에 결합될 수 있다. 일 양상에서 상기 풀리들(780, 783)은, 상기 풀리들의 양 단부들 상에서 끝나는 적어도 2개의 벨트들 또는 케이블들로써 서로에 결합될 수 있으며, 그 후 느슨함(slack) 및 반발(backlash)이 실질적으로 제거되도록 서로 맞서 긴장될(tensioned against each other) 수 있다. 다른 양상들에서 임의의 적합한 트랜스미션 부재가 상기 풀리들(780, 783)을 결합하는 데에 이용될 수 있다. 상기 전방 아암 링크(722)의 어깨 축(SX)의 선형 움직임을 구동시키도록 풀리들(780, 783) 사이에서 상기 구동 회전축(X)으로부터 상기 팔꿈치 회전축(EX)으로 2 대 1(2:1) 풀리 비(pulley ratio)가 이용될 수 있다. 다른 양상들에서 임의의 적합한 풀리 비가 이용될 수 있다. 깨닫게 될 수 있는 바와 같이 상기 전방 아암 링크(722)의 종속된 성질은 샤프트(260)를 통하여 단일 구동 모터로써 상기 베이스 아암의 신장 및 수축을 가능하게 하는 반면, 상기 어깨 축(SX)은 상기 이송 챔버(625) 내의 실질적으로 선형인 경로(P)를 따라 통행하도록 구속된다.The motors 201MB, 201MU and 201MF of the drive unit 700 drive the coaxial drive shaft configuration with the internal drive shaft 262, the intermediate drive shaft 261 and the external drive shaft 260 . ≪ / RTI > The outer drive shaft 260 is rotated about the drive rotation axis X so that the upper arm link 721 rotates together with the outer drive shaft 260 when the outer drive shaft 260 rotates. May be coupled to the link 721. The front arm link 722 of the base arm 720 may be dependent on the housing 701 of the driving unit 700 so that the shoulder rotation axis SX of the front arm link 722 (E.g., a single drive motor is coupled to the base arm 720 to move the transfer arm along the length of the transfer chamber), and the base arm 720 is constrained to pass along a substantially linear path as the base arm 720 is stretched and contracted. Lt; RTI ID = 0.0 > and / or < / RTI > The drive shaft pulley 780 is mounted substantially concentrically with the drive rotation axis X such that the drive shaft pulley 780 is rotationally stationary with respect to the upper arm link 721, And may be grounded in any suitable manner, for example, to the housing 701 of the drive portion 700 (or any other suitable portion of the transfer device 630). In other aspects, the drive shaft pulley 780 may be rotationally fixed in any suitable manner. The slave pulley 783 can be rotatably mounted in the elbow axis EX of the base arm 720 in any suitable manner, such as by any suitable bearings EXB. The slave pulley 783 is connected to the front arm link 722 by a shaft 763, for example, so that the front arm link 722 rotates therewith when the slave pulley 783 is rotated. Lt; / RTI > The pulleys 780, 783 may be coupled to each other in any suitable manner, such as by any suitable transmission 791 including, for example, bands, belts, and the like. In one aspect, the pulleys 780, 783 may be coupled to each other with at least two belts or cables terminating on opposite ends of the pulleys, and then slack and backlash may be substantially And may be tensioned against each other. In other aspects, any suitable transmission member may be utilized to couple the pulleys 780, 783. (2: 1) from the drive rotation axis X to the elbow rotation axis EX between the pulleys 780, 783 to drive the linear movement of the shoulder axis SX of the front arm link 722 A pulley ratio can be used. Any suitable pulley ratio may be utilized in other aspects. As can be realized, the dependent nature of the front arm link 722 allows for extension and retraction of the base arm with a single drive motor through the shaft 260, while the shoulder axis SX can be moved Is constrained to pass along a substantially linear path (P) in the chamber (625).

외부 샤프트(271) 및 내부 샤프트(270)를 구비한 동축 스핀들(coaxial spindle)(구동 샤프트 구성)은, 도 2b에 대하여 위에서 설명된 것과 실질적으로 유사한 방식으로 상기 전방 아암 링크(722)의 어깨 축(SX)에서 배치될 수 있다. 상기 외부 샤프트(271)는 예를 들어 임의의 적합한 방식으로 상기 중간 구동 샤프트(261)에 의해 구동될 수 있다. 예를 들어, 상기 중간 구동 샤프트(261)가 회전하면 구동 축 풀리(781)가 그것과 함께 회전하도록 상기 구동 축 풀리(781)는 상기 구동 샤프트(261)에 결합될 수 있다. 아이들러 풀리(idler pulley; 784)는 팔꿈치 축(EX)을 중심으로 한 회전을 위해 상기 상부 아암 링크(721) 내에 배치될 수 있다. 상기 아이들러 풀리(784)가 회전할 때 상기 샤프트(765)가 그것과 함께 회전하도록 상기 아이들러 풀리(784)는 샤프트(765)에 결합될 수 있다. 상기 샤프트(765) 및 풀리(784)는 임의의 적합한 베어링들(EXB)로써와 같이 임의의 적합한 방식으로 지지될 수 있다. 상기 아이들러 풀리(784)는, 위에서 설명된 것들과 실질적으로 유사한 임의의 적합한 트랜스미션(790)을 통하여서와 같이 임의의 적합한 방식으로 풀리(781)에 결합될 수 있다. 풀리들(784 및 787)이 함께(in unison) 회전하도록 제2 아이들러 풀리(787)는 상기 전방 아암 링크(722) 안에서 상기 샤프트(765)에 결합될 수도 있다. 상기 샤프트(271) 및 어깨 풀리(789)가 함께 회전하도록 어깨 풀리(789)가 상기 샤프트(271)에 결합될 수 있다. 상기 제2 아이들러 풀리(787)는, 위에서 설명된 것들과 실질적으로 유사한 임의의 적합한 트랜스미션을 통하여서와 같이 임의의 적합한 방식으로 상기 어깨 풀리(789)에 결합될 수 있다.A coaxial spindle (drive shaft configuration) with an outer shaft 271 and an inner shaft 270 is configured to rotate about the shoulder axis of the front arm link 722 in a manner substantially similar to that described above with respect to FIG. (SX). The outer shaft 271 may be driven by the intermediate drive shaft 261, for example, in any suitable manner. For example, when the intermediate drive shaft 261 is rotated, the drive shaft pulley 781 may be coupled to the drive shaft 261 such that the drive shaft pulley 781 rotates with the drive shaft pulley 781. An idler pulley 784 may be disposed within the upper arm link 721 for rotation about an elbow axis EX. The idler pulley 784 can be coupled to the shaft 765 such that the shaft 765 rotates therewith when the idler pulley 784 rotates. The shaft 765 and the pulley 784 may be supported in any suitable manner, such as with any suitable bearings (EXB). The idler pulley 784 can be coupled to the pulley 781 in any suitable manner, such as through any suitable transmission 790 substantially similar to those described above. A second idler pulley 787 may be coupled to the shaft 765 within the front arm link 722 such that the pulleys 784 and 787 rotate in unison. A shoulder pulley 789 can be coupled to the shaft 271 so that the shaft 271 and the shoulder pulley 789 rotate together. The second idler pulley 787 can be coupled to the shoulder pulley 789 in any suitable manner, such as through any suitable transmission substantially similar to those described above.

상기 동축 스핀들의 내부 샤프트(270)는 예를 들어 상기 내부 구동 샤프트(262)에 의해 임의의 적합한 방식으로 구동될 수 있다. 예를 들어 상기 내부 구동 샤프트(262)가 회전할 때 구동 축 풀리(782)가 그것과 함께 회전하도록 상기 구동 축 풀리(782)는 상기 구동 샤프트(262)에 결합될 수 있다. 아이들러 풀리(785)는 팔꿈치 축(EX)을 중심으로 한 회전을 위하여 상기 상부 아암 링크(721) 내에 배치될 수 있다. 상기 아이들러 풀리(785)가 회전할 때 샤프트(764)가 그것과 함께 회전하도록 상기 아이들러 풀리(785)는 상기 샤프트(764)에 결합될 수 있다. 상기 샤프트(764) 및 풀리(785)는 임의의 적합한 베어링들(EXB)로써와 같이 임의의 적합한 방식으로 지지될 수 있다. 상기 아이들러 풀리(785)는 위에서 설명된 것들과 실질적으로 유사한 임의의 적합한 트랜스미션(792)을 통하여서와 같이 임의의 적합한 방식으로 풀리(782)에 결합될 수 있다. 풀리들(785 및 786)이 함께 회전하도록 제2 아이들러 풀리(786)가 상기 전방 아암 링크(722) 내에서 상기 샤프트(764)에 결합될 수도 있다. 상기 내부 샤프트(270) 및 어깨 풀리(788)가 함께 회전하도록 어깨 풀리(788)가 상기 샤프트(270)에 결합될 수 있다. 위에서 설명된 것들과 실질적으로 유사한 임의의 적합한 트랜스미션(793)을 통하여서와 같이 임의의 적합한 방식으로 상기 제2 아이들러 풀리(786)가 상기 어깨 풀리(788)에 결합될 수 있다. 풀리들(781, 784, 782, 785, 786, 788, 787, 789)은 개별로 1 대 1(1:1) 구동비들을 가질 수 있지만 다른 양상들에서 임의의 적합한 구동 비들이 이용될 수 있다. 다른 양상들에서는, 도 2e에 대하여 위에서 설명된 것과 실질적으로 유사한 방식으로 구동 모터들(201MU 및 201MF)이 상기 이송 아암(214)을 따라 배치될 수 있다. 또 다른 양상들에서는, 도 2h-2j에 대하여 위에서 설명된 것과 실질적으로 유사한 방식으로 상기 구동 모터들(201MU 및 201MF)이 모터 모듈 안에 배치될 수 있다. 깨닫게 될 수 있는 바와 같이 이송 아암(214)은 도 2d 및 2g에 대하여 위에서 설명된 것과 실질적으로 유사한 방식으로 상기 베이스 아암(720)의 상단 및/또는 하단에(on the top and/or bottom) 배치될 수 있다.The inner shaft 270 of the coaxial spindle may be driven, for example, by the inner drive shaft 262 in any suitable manner. The drive shaft pulley 782 may be coupled to the drive shaft 262 such that, for example, the drive shaft pulley 782 rotates therewith when the internal drive shaft 262 rotates. The idler pulley 785 may be disposed within the upper arm link 721 for rotation about the elbow axis EX. The idler pulley 785 may be coupled to the shaft 764 such that the shaft 764 rotates therewith when the idler pulley 785 rotates. The shaft 764 and pulley 785 may be supported in any suitable manner, such as with any suitable bearings (EXB). The idler pulley 785 may be coupled to the pulley 782 in any suitable manner, such as through any suitable transmission 792 substantially similar to those described above. A second idler pulley 786 may be coupled to the shaft 764 within the front arm link 722 such that the pulleys 785 and 786 rotate together. A shoulder pulley 788 may be coupled to the shaft 270 such that the inner shaft 270 and the shoulder pulley 788 rotate together. The second idler pulley 786 can be coupled to the shoulder pulley 788 in any suitable manner, such as through any suitable transmission 793 substantially similar to those described above. Although the pulleys 781, 784, 782, 785, 786, 788, 787, 789 may have individual 1: 1 (1: 1) drive ratios, any suitable drive ratios may be used in other aspects . In other aspects, drive motors 201MU and 201MF may be disposed along the transfer arm 214 in a manner substantially similar to that described above with respect to Figure 2E. In still other aspects, the drive motors 201MU and 201MF may be disposed in the motor module in a manner substantially similar to that described above with respect to Figures 2h-2j. As can be realized, the transfer arm 214 is placed on the top and / or bottom of the base arm 720 in a manner substantially similar to that described above with respect to Figures 2d and 2g. .

상기 이송 아암(214)이 일 단위로서 상기 어깨 축(SX)을 중심으로 회전 또는 신장 및 수축되도록 하기 위하여, 위에서 설명된 것들과 같이 임의의 적합한 방식으로 상기 외부 구동 샤프트(271) 및 내부 구동 샤프트(270)가 상기 이송 아암(214)에 결합될 수 있다.In order to allow the transfer arm 214 to rotate or elongate and contract about the shoulder axis SX as a unit, the external drive shaft 271 and the internal drive shaft 271 may be rotated in any suitable manner, (270) can be coupled to the transfer arm (214).

도 7c-7e를 참조하면 개시된 실시예의 다른 일 양상에서, 예를 들어 상기 베이스 아암(720)을 구동시키기 위한 모터(들)는 상기 베이스 아암(720)의 임의의 적합한 하나 이상의 위치들에서 배치될 수 있다. 예를 들어 일 양상에서, 상기 베이스 아암에 화살표(799) 방향으로의 선형적 Z-축 움직임을 제공하도록 리프트 샤프트(lift shaft; 203LS)를 구동시키기 위하여, 선형 또는 Z-축 구동기(203)가, 상기 베이스 아암(720)의 어깨 축(X)에서 또는 어깨 축(X)에 인접하게 배치될 수 있다. 상기 상부 아암 링크(721)의 회전을 구동시키기 위하여 제1 모터(3800M1)가 예를 들어 상기 리프트 샤프트(203LS) 상에 임의의 적합한 방식으로 제공될 수 있다. 상기 모터(3800M1)가 적어도 부분적으로 상기 상부 아암 링크(721) 안에 배치될 수 있는 반면, 다른 양상들에서는 상기 모터(3800M1)가 상기 상부 아암 링크의 외측 표면 상에 장착될 수 있다. 일 양상에서 상기 모터(3800M1)는 상기 상부 아암 링크를 직접적으로 구동시킬 수 있는 반면, 다른 양상들에서 상기 모터(3800M1)는 풀리(3800P1)를 구동시킬 수 있다. 상기 풀리(3800P1)는 하나 이상의 밴드들, 벨트들, 체인들 등등으로써와 같이 임의의 적합한 방식으로 풀리(3800P2)에 결합될 수 있다. 상기 모터(3800M1)가 풀리(3800P1)를 회전시킴에 따라 상기 상부 아암 링크(721)가 상기 베이스 아암(720)의 어깨 축(X)을 중심으로 회전하게 되도록, 상기 풀리(3800P2)가 상기 상부 아암 링크(721)에 고정될 수 있다. 제2 모터(3800M2)가 상기 베이스 아암(720)의 팔꿈치 축(EX)에서 배치될 수 있다. 상기 모터(3800M2)는 상기 상부 아암 링크(721) 및 상기 전방 아암 링크(722) 중 하나 이상 내에 적어도 부분적으로 배치될 수 있다. 일 양상에서 상기 모터(3800M2)는 임의의 적합한 방식으로 상기 전방 아암 링크(722)에 결합될 수 있다. 상기 상부 아암 링크(721) 및 전방 아암 링크(722)가 회전함에 따라 아래에서 설명되는 것과 실질적으로 유사한 방식으로 상기 이송 아암(214)의 어깨 축(SX)이 실질적으로 직선인 경로를 따라 통행하도록, 모터들(3800M1, 3800M2)이 임의의 적합한 방식으로 그리고 임의의 적합한 컨트롤러에 의해 구동될 수 있다. 이 양상에서 상기 전방 아암 링크(722)는 전방 아암 베이스부(forearm base section; 722B) 및 교환가능 전방 아암 스페이서부(interchangeable forearm spacer section; 722S)를 포함할 수 있다. 상기 전방 아암 스페이서부(722S)의 일 단부는 상기 전방 아암 베이스부(722B)에 결합되거나 그렇지 않으면 고정될 수 있는 반면, 상기 전방 아암 스페이서부(722S)의 다른 단부는 모터 모듈(201M)에 결합되거나 그렇지 않으면 고정될 수 있다. 깨닫게 될 수 있는 바와 같이 임의의 적합한 수의 교환가능 전방 아암 스페이서부들(722S1, 722S2)이 제공될 수 있으며, 여기에서 각각의 전방 아암 스페이서부들은 다른 전방 아암 스페이서부들과는 상이한 길이를 가짐으로써 전방 아암 링크(722) 길이의 확대/축소(scaling)가 가능하게 된다. 깨닫게 될 수 있는 바와 같이 스페이서 링크(spacer link)는 위에서 설명된 것과 실질적으로 유사한 방식으로 상기 상부 아암 링크(721) 안에 제공될 수도 있으며, 그럼으로써 상기 상부 아암 링크(721)의 길이는 임의의 적합한 길이로 확대/축소(scale)될 수도 있다.7C-7E, the motor (s) for driving the base arm 720, for example, may be disposed at any suitable one or more positions of the base arm 720 . For example, in one aspect, a linear or Z-axis driver 203 may be used to drive a lift shaft 203LS to provide a linear Z-axis movement in the direction of arrow 799 to the base arm May be disposed at the shoulder axis X of the base arm 720 or adjacent the shoulder axis X. [ A first motor 3800M1 may be provided on the lift shaft 203LS, for example, in any suitable manner to drive the rotation of the upper arm link 721. [ The motor 3800M1 may be disposed at least partially within the upper arm link 721 while in other aspects the motor 3800M1 may be mounted on the outer surface of the upper arm link. In one aspect, the motor 3800M1 may directly drive the upper arm link, while in other aspects the motor 3800M1 may drive pulley 3800P1. The pulley 3800P1 may be coupled to the pulley 3800P2 in any suitable manner, such as as one or more bands, belts, chains, and the like. The pulley 3800P1 rotates about the shoulder axis X of the base arm 720 as the motor 3800M1 rotates the pulley 3800P1 so that the upper arm link 721 rotates about the shoulder axis X of the base arm 720, And can be fixed to the arm link 721. The second motor 3800M2 may be disposed at the elbow axis EX of the base arm 720. [ The motor 3800M2 may be at least partially disposed within at least one of the upper arm link 721 and the front arm link 722. [ In one aspect, the motor 3800M2 may be coupled to the front arm link 722 in any suitable manner. As the upper arm link 721 and the front arm link 722 rotate, the shoulder axis SX of the transfer arm 214 passes along a substantially straight path in a manner substantially similar to that described below , Motors 3800Ml, 3800M2 may be driven in any suitable manner and by any suitable controller. In this aspect, the front arm link 722 may include a forearm base section 722B and an interchangeable forearm spacer section 722S. One end of the front arm spacer 722S may be coupled to or otherwise fixed to the front arm base 722B while the other end of the front arm spacer 722S may be coupled to the motor module 201M Or otherwise fixed. Any suitable number of exchangeable front arm spacer portions 722S1, 722S2 may be provided, as may be realized, wherein each front arm spacer portion has a different length than the other front arm spacer portions, The length of the arm link 722 can be scaled. As may be realized, a spacer link may be provided in the upper arm link 721 in a manner substantially similar to that described above so that the length of the upper arm link 721 may be any suitable And may be scaled in length.

도 8a-8c를 참조하면, 이송 챔버(625)와 실질적으로 유사한 다른 일 이송 챔버(626)가 도시된다. 그러나 상기 이송 챔버(626)는 예를 들어 8개의 프로세싱 스테이션들(140A-140H)을 포함하는데, 여기에서 상기 클러스터들 중 하나는 프로세싱 스테이션들(140C, 140D, 140E, 140F)을 포함하고, 상기 챔버들 중 다른 하나는 프로세싱 스테이션들(140B 및 140G )을 포함하는 반면, 나머지 클러스터는 프로세싱 스테이션들(140A, 140H) 및 로드 록들(102A, 102B)을 포함한다. 도 8a-8b에서 상기 베이스 아암(720)은 예를 들어 3개의 위치들로 도시되는 바, 상기 3개의 위치들이 이송 아암(214)의 어깨 축(SX)을 개별의 클러스터의 중심 부분(central portion)에 정렬함으로써 상기 이송 아암(214)은 위에서 설명된 것과 실질적으로 유사한 방식으로 기판들을 픽킹(pick)하여 상기 개별의 클러스터의 각각의 프로세싱 스테이션/로드 록으로 위치시킬 수 있다. 도 9a-9c에는 프로세싱 스테이션들(140A, 140H) 및 로드 록들(102A, 102B)에 접근하기 위해 상기 이송 챔버(626) 내의 위치에서 상기 베이스 아암(720) 상에 배치되는 이송 아암(214)이 도시된다. 상기 이송 아암이 예시적 목적만으로, 이중 블레이드로 된(bladed) 엔드 이펙터(도 9a)를 구비한 SCARA 아암으로(도 9a), 좌우대칭 개구리 다리 이송 아암으로(도 9b), 이중 아암 SCARA 아암으로(도 9c) 도시된 점이 또한 주목되지만, 위에서 설명된 바와 같이 2 자유도 이송 아암과 같은 임의의 적합한 이송 아암이 임의의 적합한 방식으로 상기 베이스 아암(720)에 장착될 수 있다는 점이 이해되어야 한다.8A-8C, another transfer chamber 626 substantially similar to the transfer chamber 625 is shown. However, the transfer chamber 626 includes, for example, eight processing stations 140A-140H, wherein one of the clusters includes processing stations 140C, 140D, 140E, 140F, The other of the chambers includes processing stations 140B and 140G while the remaining clusters include processing stations 140A and 140H and loadlocks 102A and 102B. 8A-8B, the base arm 720 is shown, for example, in three positions, the three positions defining the shoulder axis SX of the transfer arm 214 in a central portion , The transfer arm 214 can pick substrates into a respective processing station / load lock of the respective cluster in a manner substantially similar to that described above. 9A-9C show a transfer arm 214 disposed on the base arm 720 at a location within the transfer chamber 626 for access to the processing stations 140A, 140H and load locks 102A, Respectively. 9A) with a double-bladed end effector (FIG. 9A), a bilateral symmetrical frog leg transfer arm (FIG. 9B), a double arm SCARA arm (Fig. 9c) It should also be noted that any suitable transfer arm, such as a two-degree-of-freedom transfer arm, as described above, may be mounted to the base arm 720 in any suitable manner.

도 10a, 10b 및 10c에는 개시된 실시예의 양상들에 따라 신장 삼중 클러스터 이송 챔버들을 포함하는 상이한 구성들의 프로세싱 장치가 도시된다. 도 10a에는 도 6에 도시된 것과 실질적으로 유사한 단일 이송 챔버 구성이 도시되는데, 도 10a에는 이송 챔버(626)가 도시된다. 도 10b에는 2개의 이송 챔버들(625)이 단일 로드 록(1002)에 의해 함께 결합되는 탠덤(tandem) 이송 챔버 구성이 도시된다. 도 10c에는 2개의 이송 챔버들(625, 626)이 2개의 로드 록들(1002A, 1002B)에 의해 함께 결합되는 또 다른 일 구성이 도시된다. 깨닫게 될 수 있는 바와 같이 임의의 적합한 수의 이송 챔버들(625, 626)이 임의의 적합한 방식으로 서로에 결합되어, 프로세스 모듈들, 로드 록들 및 EFEM의 임의의 적합한 구성 및 길이를 갖는 결합 이송 챔버가 형성될 수 있다. 예를 들어 도 10d를 참조하면 결합된 선형적 세장형 이송 챔버가 형성되도록 3개의 이송 챔버들(626)이 함께 결합되며, 그럼으로써 상기 결합된 선형적 세장형 이송 챔버의 각각의 단부는 개별의 소형 환경(106A, 106B)을 갖지만, 이송 챔버들(625)은 도 10b 및 10c에서 도시된 것과 실질적으로 유사한 방식으로 서로 결합되거나 이송 챔버들(626)과 함께 서로 결합되어 개별의 소형 환경들(106A, 106B)을 갖는 단부들을 구비한 결합된 선형적 세장형 이송 챔버를 형성할 수 있다는 점이 이해되어야 한다. 이 양상에서 기판들은 소형 환경(106A, 106B) 중 하나를 통하여 상기 프로세싱 장치의 일 단부에서 상기 프로세싱 장치 안으로 도입될 수 있으며, 소형 환경(106A, 106B) 중 다른 하나를 통하여 다른 단부에서 상기 프로세싱 장치로부터 제거될 수 있다. 깨닫게 될 수 있는 바와 같이 소형 환경들(106A, 106B)과 실질적으로 유사한 소형 환경이 프로세싱 스테이션들(140)을 대체할 수 있으며, 그럼으로써 상기 결합된 선형적 세장형 이송 챔버의 단부들 사이에서 기판들이 상기 프로세싱 장치로 도입되거나 상기 프로세싱 장치로부터 제거될 수 있다. 이와 유사하게, 도 6 및 10a에 도시된 것과 같은 단일 선형적 세장형 이송 챔버를 구비한 프로세싱 장치는, 도 10d에 대하여 설명된 것과 실질적으로 유사한 방식으로 상기 챔버(625, 626)의 단부들 사이에서 또는 상기 챔버(625, 626)의 각각의 단부에서 배치되는 소형 환경을 가질 수 있다.Figures 10a, 10b and 10c illustrate processing apparatuses of different configurations, including elongated triple cluster transfer chambers, in accordance with aspects of the disclosed embodiments. 10A shows a single transfer chamber configuration substantially similar to that shown in FIG. 6, wherein the transfer chamber 626 is shown in FIG. 10A. 10B, there is shown a tandem transfer chamber configuration in which two transfer chambers 625 are joined together by a single load lock 1002. Figure 10C shows another configuration in which two transfer chambers 625 and 626 are coupled together by two load locks 1002A and 1002B. Any suitable number of transfer chambers 625, 626 may be coupled to one another in any suitable manner to provide any suitable configuration and length of process modules, load locks, and EFEM, Can be formed. For example, referring to FIG. 10d, three transfer chambers 626 are coupled together so that a combined linear, elongated transfer chamber is formed so that each end of the combined linear elongate transfer chamber has a respective The transfer chambers 625 may be coupled to each other in a manner substantially similar to that illustrated in Figures 10B and 10C or may be coupled together with the transfer chambers 626 to form individual mini environments 106A, 106A, 106B, respectively, as shown in Figures < RTI ID = 0.0 > 1, < / RTI > In this aspect, the substrates may be introduced into one of the processing devices at one end of the processing device through one of the small environments 106A, 106B and at another end through the other of the small environments 106A, 106B, / RTI > As can be realized, a miniature environment substantially similar to the mini environments 106A, 106B can replace the processing stations 140, so that the substrate (s) between the ends of the combined linear elongated transfer chamber May be introduced into or removed from the processing device. Similarly, a processing device with a single linear elongated delivery chamber as shown in Figures 6 and 10a may be configured to move between the ends of the chamber 625, 626 in a manner substantially similar to that described with respect to Figure 10d, Or at the end of each of the chambers 625 and 626. As shown in FIG.

이제 도 37을 참조하면, 개시된 실시예의 일 양상에서 상기 베이스 아암은 2개 초과의 아암 링크들(721, 722)을 포함할 수 있다. 예를 들어 상기 베이스 아암(720')은 도 7a에 대하여 위에서 설명된 것과 실질적으로 유사할 수 있으며, 구동부(700), 회전가능하게 상기 구동부(700')에 결합된 상부 아암 링크(721), 및 회전가능하게 상기 상부 아암 링크(721)에 결합된 전방 아암 링크(722)를 포함할 수 있다. 이 양상에서 상기 베이스 아암은, 회전가능하게 상기 전방 아암 링크(722)에 결합된 손목 링크(723)를 더 포함한다. 상기 모터 모듈(201M)에 상기 이송 아암(214)이 장착되며, 상기 모터 모듈(201M)은 상기 손목 링크(723)의 단부에 결합될 수 있다. 도 7c-7e에 대하여 위에서 언급된 바와 같이 상기 베이스 아암(720')의 어깨 축(X)은 Z-구동 리프트 샤프트(203LS)에 장착될 수 있다. 상기 리프트 샤프트(203LS)는, 상기 구동부(700') 내에 배치된 Z-축 구동기(203)에 구동적으로 결합될 수 있다. 도 7c-7e에 대하여 위에서 설명된 것과 실질적으로 유사한 방식으로, 모터(3800M1)는, 위에서 설명된 것과 실질적으로 동일한 방식으로 상기 상부 아암 링크(721)를 회전시키기 위하여 상기 베이스 아암(720')의 어깨 축(X)에서 배치될 수 있다. 상기 모터(3800M2)는 상기 베이스 아암(720')의 팔꿈치 축(EX)에서 배치될 수 있는 바, 여기에서 상기 모터는 적어도 부분적으로 상기 상부 아암 링크(721) 및 상기 전방 아암 링크(722) 중 하나 이상의 안에 배치될 수 있다. 상기 모터(3800M2)는, 실질적으로 풀리(3800P1)와 유사한 구동 풀리에 구동적으로 결합될 수 있으며, (도 7c-7e의 모터(3800M1)와 실질적으로 유사한 방식으로) 상기 팔꿈치 축에서 배치될 수도 있다. 실질적으로 풀리(3800P2)와 유사한 구동되는 풀리(driven pulley)는, 상기 베이스 아암(720')의 손목 축(WX)에서 배치될 수 있으며, 위에서 언급된 것들과 같이 임의의 적합한 방식으로 상기 구동 풀리에 결합될 수 있다. 모터(3800M2)와 실질적으로 유사할 수 있는 제3 모터(3800M3)는 상기 베이스 아암(720')의 손목 축(WX)에서 배치될 수 있으며, 그럼으로써 상기 모터(3800M2)는 적어도 부분적으로 상기 전방 아암 링크(722) 및 상기 손목 링크(723) 중 하나 이상의 안에 배치된다. 상기 모터(3800M3)는, 상기 손목 링크(723)를 상기 손목 축(WX)을 중심으로 회전시키기 위하여, 모터(3800M2) 및 전방 아암 링크(722)에 대하여 위에서 설명된 바(도 7c-7e 참고)와 같은 임의의 적합한 방식으로 상기 손목 링크(723)에 결합될 수 있다. 깨닫게 될 수 있는 바와 같이 모터들(3800M1, 3800M2, 3800M3)은 임의의 적합한 컨트롤러에 의한 임의의 적합한 방식으로 제어될 수 있으며, 그럼으로써 상기 이송 아암(214)은, 베이스 아암(720)에 대하여 위에서 설명된 것과 실질적으로 유사한 방식으로, 상기 베이스 아암(720')에 의해 실질적으로 직선인 경로를 따라 이송된다.37, in one aspect of the disclosed embodiment, the base arm may include more than two arm links 721,722. For example, the base arm 720 'may be substantially similar to that described above with respect to FIG. 7A and may include a driver 700, an upper arm link 721 rotatably coupled to the driver 700' And a front arm link 722 coupled to the upper arm link 721 rotatably. In this aspect, the base arm further includes a wrist link 723 coupled to the front arm link 722 rotatably. The transfer arm 214 is mounted on the motor module 201M and the motor module 201M can be coupled to the end of the wrist link 723. [ 7C-7E, the shoulder axis X of the base arm 720 'may be mounted to the Z-drive lift shaft 203LS. The lift shaft 203LS may be drivably coupled to a Z-axis driver 203 disposed in the driving unit 700 '. In a manner substantially similar to that described above with respect to Figures 7C-7E, the motor 3800M1 is configured to rotate the upper arm link 721 in substantially the same manner as described above, May be disposed at the shoulder axis X. The motor 3800M2 may be disposed at an elbow axis EX of the base arm 720'wherein the motor is at least partially positioned between the upper arm link 721 and the front arm link 722 May be disposed within one or more of them. The motor 3800M2 may be operatively coupled to a drive pulley substantially similar to the pulley 3800P1 and may be disposed in the elbow axis (in a manner substantially similar to the motor 3800M1 of Figures 7C-7E) have. A driven pulley substantially similar to pulley 3800P2 may be disposed at the wrist axis WX of base arm 720'and may be disposed in any suitable manner, Lt; / RTI > A third motor 3800M3 that may be substantially similar to motor 3800M2 may be disposed at the wrist axis WX of the base arm 720' so that the motor 3800M2 may be positioned at least partially The arm link 722 and the wrist link 723. The motor 3800M3 is connected to the motor 3800M2 and the front arm link 722 as described above (see FIGS. 7C-7E) to rotate the wrist link 723 about the wrist axis WX Such as a wrist link 723, as shown in FIG. The motors 3800M1, 3800M2, and 3800M3 can be controlled in any suitable manner by any suitable controller so that the transfer arm 214 can be moved relative to the base arm 720 Is conveyed along a substantially straight path by the base arm 720 'in a manner substantially similar to that described above.

도 11, 12 및 13을 참조하면, 개시된 실시예의 양상에 따라 반도체 도구 스테이션(1100)이 도시된다. 이 양상에서 상기 도구 스테이션(1100)은 프론트 엔드(101)를 포함하며, 예를 들어 상기 프론트 엔드(101)는 위에서 설명된 것들과 실질적으로 유사한 소형 환경(106) 및 적재 포트 모듈들(105)을 포함한다. 또한 상기 도구 스테이션은 하나 이상의 로드 록들(102A, 102B)을 통하여 상기 프론트 엔드(101)에 연결되는 진공 백 엔드(1103)를 포함한다. 상기 백 엔드(1103)는 위에서 설명된 백 엔드(103)와 실질적으로 유사할 수 있으나, 이 양상에서 상기 백 엔드(1103)는 실질적으로 직사각형인 이송 챔버(1125)를 포함한다. 상기 이송 챔버(1125)의 일 측부는 상기 로드 록들(102A, 102B)을 통하여 상기 프론트 엔드(101)에 연결되며, 상기 이송 챔버(1125)의 다른 측부들은 임의의 적합한 수의 프로세싱 스테이션들(1140A-1140F)에 연결된다. 이 양상에서는 상기 이송 챔버(1125)의 개별의 측부들에 연결된 2개의 프로세싱 스테이션들이 있으나, 다른 양상들에서는 임의의 적합한 수의 프로세싱 스테이션들이 개별의 측부들의 각각에 연결될 수 있다. 또 다른 양상들에서 로드 록들 또는 버퍼 스테이션들(buffer stations)은, 하나 이상의 프로세싱 스테이션들을 대신하여 배치되어, 예를 들어 도 5b-5d 및 10b-10d에 대하여 위에서 설명된 것과 실질적으로 유사한 방식으로, 2개 이상의 실질적으로 직사각형인 이송 챔버들(1125)을 함께 연결한다. 상기 프로세싱 스테이션들(1140A-1140F)은 위에서 설명된 프로세싱 스테이션들과 실질적으로 유사할 수 있다는 점이 주목된다.11, 12 and 13, a semiconductor tool station 1100 is shown in accordance with aspects of the disclosed embodiments. In this aspect, the tool station 1100 includes a front end 101, for example, the front end 101 includes a small environment 106 and loading port modules 105 that are substantially similar to those described above. . The tool station also includes a vacuum back end 1103 connected to the front end 101 via one or more load locks 102A, 102B. The back end 1103 may be substantially similar to the back end 103 described above, but in this aspect the back end 1103 includes a substantially rectangular transfer chamber 1125. [ One side of the transfer chamber 1125 is connected to the front end 101 via the load locks 102A and 102B and the other sides of the transfer chamber 1125 are connected to any suitable number of processing stations 1140A -1140F. In this aspect there are two processing stations connected to the respective sides of the transfer chamber 1125, but in other aspects any suitable number of processing stations may be connected to each of the respective sides. In other aspects, the loadlocks or buffer stations are arranged in place of one or more processing stations, for example in a manner substantially similar to that described above with respect to Figures 5b-5d and 10b-10d, Two or more substantially rectangular transfer chambers 1125 are connected together. It is noted that the processing stations 1140A-1140F may be substantially similar to the processing stations described above.

도 11a-11c을 참조하면, 깨닫게 될 수 있는 바와 같이, 상기 프로세싱 스테이션들(1140) 및 로드 록들(102)이 (예컨대 서로 겹쳐져 배치되는) 적층된 구성(stacked configuration)으로나 (예컨대 서로 겹쳐지고 나란한; one above the other and side by side) 2차원적 배열(two dimensional array)로 상기 이송 챔버(1125)에 연결되도록, 상기 이송 챔버(1125)가 구성될 수 있다. 예를 들어 도 11a를 참조하면 일 양상에서 상기 로드 록들(102)은 서로 겹쳐져 배치될 수 있으며(그리고 나란히 배치되어 로드 록들의 배열이 형성될 수 있으며), 상기 프로세싱 스테이션들(1140)은 서로 겹쳐져 배치될 수 있다(그리고 나란히 배치되어 프로세싱 스테이션들의 배열이 형성될 수 있다). 도 11b을 참조하면, 다른 일 양상에서, 상기 로드 록들(102)은 서로 겹쳐져 배치될 수 있으며(그리고 나란히 배치되어 로드 록들의 배열이 형성될 수 있으며), 상기 프로세싱 스테이션들(1140)은 단일 수평 행(single horizontal row)으로 배치될 수 있다. 도 11c을 참조하면, 또 다른 일 양상에서, 상기 로드 록들(102)은 단일 수평 행으로 배치될 수 있으며, 상기 프로세싱 스테이션들(1140)은 서로 겹쳐져 배치될 수 있다(그리고 나란히 배치되어 프로세싱 스테이션들의 배열이 형성될 수 있다). 또 다른 양상들에서 상기 로드 록들(102) 및 프로세싱 스테이션들(1140)은 임의의 적합한 방식으로 상기 이송 챔버(1125)에 연결될 수 있다. 도 1, 3a-6, 및 8a-10d 중 하나 이상의 프로세싱 스테이션들 및/또는 로드 록들은, 도 11a-11c에 대하여 위에서 설명된 것과 실질적으로 유사한 방식으로 단일 행들 및 적층들(stacks)의 임의의 조합으로 배치될 수도 있다는 점이 주목된다.11A-11C, it is contemplated that the processing stations 1140 and load locks 102 may be arranged in a stacked configuration (e. G., Stacked on top of each other) the transfer chamber 1125 can be configured to be connected to the transfer chamber 1125 in a two dimensional array, one above the other and side by side. For example, referring to FIG. 11A, in one aspect, the loadlocks 102 may overlap one another (and may be arranged side by side to form an array of loadlocks), and the processing stations 1140 may overlap each other (And arranged side by side so that an array of processing stations can be formed). Referring to FIG. 11B, in another aspect, the load locks 102 may be disposed one above the other (and arranged side by side to form an array of load locks), and the processing stations 1140 may be a single horizontal And may be arranged in a single horizontal row. Referring to FIG. 11C, in another aspect, the loadlocks 102 may be arranged in a single horizontal row, and the processing stations 1140 may be placed over one another (and arranged side by side, An array can be formed). In other aspects, the loadlocks 102 and processing stations 1140 may be connected to the transfer chamber 1125 in any suitable manner. One or more of the processing stations and / or load-locks in Figures 1, 3a-6, and 8a-10d may be configured in any of a number of ways, such as any of the single rows and stacks in a manner substantially similar to that described above with respect to Figures 11A- It should be noted that they may be arranged in combination.

상기 이송 로봇(1130)은 위에서 설명된 이송 로봇(130 또는 630)과 실질적으로 유사할 수 있으며, 회전축(X11)을 중심으로 회전가능하도록 하기 위해 상기 이송 챔버(1125) 안에 배치될 수 있다. 예시적인 목적으로, 상기 이송 로봇(1130)은 이송 로봇(130)과 실질적으로 유사한 것으로 도시된다. 상기 회전축(X11)이 상기 이송 챔버(1125) 안에서 실질적으로 중심에 배치되는 것으로 도시되는 반면, 다른 양상들에서는 상기 회전축이 상기 이송 챔버(1125) 안의 임의의 적합한 위치에서 배치될 수 있다는 점이 주목된다. 도 11의 이송 아암(1130R)은 단일 SCARA 아암으로서 도시되며 도 12에서 상기 이송 아암(1130R1)은 이중 SCARA 아암으로 도시되고 도 13에서 상기 이송 아암들(1130R1, 1130R2)은 각각 단일 SCARA 아암 및 이중 SCARA로서 도시되며, 이 모든 것들은 이송 아암(214, 214’)에 대하여 위에서 설명된 개별의 아암 유형들과 실질적으로 유사하다는 점이 주목된다. 그러나 다른 양상들에서는 (위에서 설명된 바와 같이, 예컨대 각각의 로봇이 단일 SCARA를 포함하는, 각각의 로봇이 이중 SCARA를 포함하는, 하나의 로봇은 단일 SCARA를 포함하고 다른 로봇은 이중 SCARA를 포함하는, 각각의 아암이 개구리 다리 아암을 포함하는 등등의) 이송 아암 유형들의 임의의 적합한 조합(combination)이 개별의 이송 로봇들(1130A, 1130B)의 베이스 아암(220) 상에 배치될 수 있다. 상기 베이스 아암(220)에 대한 상기 이송 아암(214)의 독립적 회전에 의해, 개별의 이송 아암의 신장 및 수축의 축이, 상기 프로세싱 스테이션들(1140A-1140F) 각각 및 상기 로드 록들(102) 각각의 안팎으로 연장되는 경로에 정렬될 수 있게 되며, 그럼으로써 상기 이송 아암들 중 임의의 것이 상기 프로세싱 스테이션들 및 로드 록들 중 임의의 것으로 그리고 상기 임의의 것으로부터 기판들을 이송할 수 있다는 점도 주목된다.The transfer robot 1130 may be substantially similar to the transfer robot 130 or 630 described above and may be disposed in the transfer chamber 1125 to be rotatable about the rotation axis X11. For illustrative purposes, the transfer robot 1130 is shown as being substantially similar to the transfer robot 130. It is noted that while the rotational axis X11 is shown as being substantially centered within the transfer chamber 1125, in other aspects the rotational axis may be disposed at any suitable position within the transfer chamber 1125 . 11 is shown as a single SCARA arm and in Figure 12 the transfer arm 1130R1 is shown as a double SCARA arm and in Figure 13 the transfer arms 1130R1 and 1130R2 are shown as a single SCARA arm and a double SCARA, all of which are substantially similar to the individual arm types described above for the transfer arm 214, 214 '. However, in other aspects (as described above, for example, each robot includes a single SCARA, wherein each robot includes a dual SCARA, one robot includes a single SCARA and the other includes dual SCARAs Any suitable combination of transfer arm types (e.g., each arm including a frog leg arm, etc.) may be disposed on the base arm 220 of the individual transfer robots 1130A, 1130B. Independent rotation of the transfer arm 214 relative to the base arm 220 causes the axes of extension and contraction of the individual transfer arms to move relative to each of the processing stations 1140A-1140F and the load locks 102 It is noted that any of the transferring arms may transfer substrates from any of the processing stations and loadlocks and from any of the transferring arms.

도 2g, 2f 및 13을 참조하면, 하나 초과의 이송 로봇이 본 명세서에 설명된 이송 챔버들 중 임의의 것 안에 배치될 수 있다. 예를 들어 일 양상에서 2개의 이송 로봇들(1130A, 1130B)이 이송 챔버(1125) 안에 배치되나, 다른 양상들에서는 임의의 적합한 수의 이송 로봇들이 상기 이송 챔버(1125) 안에 배치될 수 있다. 일 양상에서는 하나의 이송 로봇(1130A)이 상기 이송 챔버(1125)의 상단(top; TCT)(도 2g)에 장착될 수 있는 반면 다른 이송 로봇(1130B)은 상기 이송 챔버(1125)의 하단(bottom; TCB)(도 2g)에 장착된다. 상기 이송 로봇들(1130A, 1130B) 각각의 축(X11)이 실질적으로 서로 일렬로 늘어선(substantially in line with each other) 것으로 도시되는 반면 다른 양상들에서는 상기 이송 로봇들 각각의 축들(X11)은 서로로부터 수평으로 이격될 수 있음으로써 상기 축들(X11)은 상기 이송 챔버의 실질적으로 대향하는 단부들 상에 배치되거나 서로에 대하여 임의의 적합한 공간적 관계(spatial relationship)를 가지도록 된다. 다른 일 양상에서 상기 이송 아암들(1130A, 1130B) 각각은 동축으로 배치되어 도 2f에 도시된 바와 같이 공통 구동부(200)에 연결될 수 있다. 이 양상에서 상기 구동부는 적어도 베이스 아암들(220, 220’)을 구동시키기 위한 적합한 동축 구동 샤프트 구성(및 이에 상응하는 모터들)을 포함할 것인 바, 여기에서 이송 아암들(214, 214’)을 위한 모터들이 위에서 설명된 바와 같이 상기 이송 아암(214, 214’)을 구동시키기 위해 배치된다.Referring to Figures 2g, 2f, and 13, more than one transport robot may be disposed in any of the transport chambers described herein. For example, in one aspect, two transfer robots 1130A, 1130B are disposed within the transfer chamber 1125, while in other aspects any suitable number of transfer robots may be disposed within the transfer chamber 1125. [ In one aspect, one transfer robot 1130A may be mounted to the top (TCT) (FIG. 2G) of the transfer chamber 1125 while the other transfer robot 1130B may be mounted at the bottom of the transfer chamber 1125 bottom; TCB) (Fig. 2g). The axes X11 of each of the transfer robots 1130A and 1130B are shown substantially in line with each other while in other aspects the axes X11 of each of the transfer robots are connected to each other Such that the axes X11 are disposed on substantially opposite ends of the transfer chamber or have any suitable spatial relationship with respect to each other. In another aspect, each of the transfer arms 1130A and 1130B may be disposed coaxially and connected to the common driver 200 as shown in FIG. 2F. In this aspect, the drive unit will include a suitable coaxial drive shaft arrangement (and corresponding motors) for driving at least the base arms 220, 220 ', wherein the transfer arms 214, 214' Are arranged to drive the transfer arm 214, 214 'as described above.

이제 도 13a를 참조하면 프로세싱 장치의 일부분이 도시된다. 도면에 보여질 수 있는 바와 같이 상기 이송 챔버(1125)는 폐쇄가능 포트들(closable ports; 1196A-1196H)을 구비하는 바, 상기 폐쇄가능 포트들(1196A-1196H)은 프로세스 모듈들, 로드 록들, 또는 다른 임의의 적합한 기판 프로세싱 장비를 상기 이송 챔버(1125)에 결합시키기 위한 것이다. 이 양상에서 상기 이송 챔버(1125) 안의 이송 장치(1199)는 허브 유형(hub type) 이송 장치일 수 있다. 예를 들어 회전하는 허브(1199H)가 상기 이송 챔버(1125) 안의 임의의 적합한 위치에서 배치될 수 있다. 상기 허브(1199H)는 임의의 적합한 구동기에 의해 임의의 적합한 방식으로 회전가능하게 구동될 수 있다. 이 양상에서 상기 허브(1199H)는 4개의 허브 커플링들(hub couplings; 1199C)을 갖는 것으로 도시되지만 다른 양상들에서는 상기 허브가 임의의 적합한 수의 허브 커플링들을 가질 수 있다. (위에서 설명된 스페이서 링크(722S)와 실질적으로 유사할 수 있는) 허브 스페이서 링크들(hub spacer links; 1198)은 상기 허브 커플링들(1199C) 중 개별의 하나에 결합될 수 있다. 상기 허브 스페이서 링크(1198)의 일 단부는 상기 허브 커플링(1199C)에 결합되며 상기 허브 스페이서 링크(1198)의 다른 단부는 모터 모듈(201M)에 결합될 수 있는 바, (본 명세서에 설명된 이송 아암들과 실질적으로 유사할 수 있는) 임의의 적합한 이송 아암(214A, 214B)이 상기 모터 모듈(201M)에 장착된다. 상기 허브(1199H)는, 회전가능하게 화살표(1197)의 방향으로 인덱싱되어 상기 이송 아암들(214A, 214B)을 한 쌍의 포트들로부터 다른 쌍의 포트들로 이동시킬 수 있으며, 이 양상에서 상기 쌍들의 포트들은 상기 이송 챔버(1125)의 모서리들에서 배치된다. 원하는 포트에서 배치된 이송 아암(214A, 214B)은, 상기 이송 챔버(1125)로 그리고 상기 이송 챔버(1125)로부터 기판들을 이송하기 위하여, 상기 모터 모듈(201M)에 의해 신장 및 수축될 수 있다. 다른 양상들에서 상기 이송 아암들(214A, 214B)은 단일 포트에 접근하도록 위치될 수 있다. 일 양상에서 기판 유지 스테이션(1199S)은 상기 허브(1199H) 상에 배치될 수 있다. 상기 기판 유지 스테이션(1199S)은 버퍼(buffer), 정렬자, 또는 다른 임의의 적합한 웨이퍼 유지 스테이션일 수 있다. 상기 기판 유지 스테이션은 상기 이송 아암들(214A, 214B) 사이의 웨이어 이송을 가능하게 할 수 있다.Referring now to Figure 13A, a portion of a processing device is shown. The transfer chamber 1125 includes closable ports 1196A-1196H, as can be seen in the figure, and the closable ports 1196A-1196H include process modules, load locks, Or any other suitable substrate processing equipment to the transfer chamber 1125. In this aspect, the transfer device 1199 in the transfer chamber 1125 may be a hub type transfer device. For example, a rotating hub 1199H may be disposed at any suitable position within the transfer chamber 1125. [ The hub 1199H may be rotatably driven in any suitable manner by any suitable actuator. In this aspect, the hub 1199H is illustrated as having four hub couplings 1199C, but in other aspects the hub may have any suitable number of hub couplings. Hub spacer links 1198 (which may be substantially similar to the spacer links 722S described above) may be coupled to a respective one of the hub couplings 1199C. One end of the hub spacer link 1198 is coupled to the hub coupling 1199C and the other end of the hub spacer link 1198 can be coupled to the motor module 201M, Any suitable transfer arms 214A, 214B (which may be substantially similar to the transfer arms) are mounted to the motor module 201M. The hub 1199H may be indexed in the direction of arrow 1197 rotatably to move the transfer arms 214A and 214B from the pair of ports to the other pair of ports, The ports of the pairs are disposed at the corners of the transfer chamber 1125. The transfer arms 214A and 214B disposed at the desired port can be extended and retracted by the motor module 201M to transfer substrates to and from the transfer chamber 1125. [ In other aspects, the transfer arms 214A, 214B may be positioned to approach a single port. In an aspect, a substrate holding station 1199S may be disposed on the hub 1199H. The substrate holding station 1199S may be a buffer, an aligner, or any other suitable wafer holding station. The substrate holding station may enable wafer transfer between the transfer arms 214A, 214B.

또한 도 17을 참조하면, 반도체 도구 스테이션(1100)과 실질적으로 유사한 반도체 도구 스테이션(1100’)이 도시된다. 그러나 이 양상에는 상기 이송 챔버(1125)에 결합된 4개의 로드 록들(1702A-1702D)이 있다. 다른 양상들에서는 임의의 적합한 수의 로드 록들이 상기 이송 챔버(1125)에 결합될 수 있다. 도 17에 보여질 수 있는 바와 같이 상기 로드 록들(1702A-1702D) 각각은, 이송 로봇을 포함할 수 있으며 개별의 적재 포트(load port; 105) 상에 배치된 개별의 기판 카세트(110)에 실질적으로 직접 결합될 수 있다. 상기 기판 카세트(110)는 상기 로드 록(1702A-1702D)에 결합된 때에 상기 기판 카세트(110)의 내부(interior)가 진공으로 유지되도록 구성될 수 있거나, 기판이 상기 카세트(110)와 상기 이송 챔버(1125) 사이에서 이송되는 각각의 시기에(each time) 상기 로드 록이 상기 기판 카세트의 내부 환경을 순환시키도록 구성될 수 있다는 점이 주목된다.17, there is shown a semiconductor tool station 1100 ', which is substantially similar to the semiconductor tool station 1100. As shown in FIG. However, there are four load locks 1702A-1702D coupled to the transfer chamber 1125 in this aspect. In other aspects, any suitable number of load locks may be coupled to the transfer chamber 1125. Each of the load locks 1702A-1702D, as can be seen in FIG. 17, may include a transfer robot and may include a plurality of load locks (not shown) Lt; / RTI > The substrate cassette 110 may be configured such that the interior of the substrate cassette 110 is kept vacuum when coupled to the load locks 1702A-1702D, It is noted that the load lock may be configured to circulate the internal environment of the substrate cassette at each time that it is transported between chambers 1125.

이제 도 14를 참조하면 반도체 도구 스테이션(1400)이 도시된다. 상기 도구 스테이션(1400)은 위에서 설명된 도구 스테이션(1100)과 실질적으로 유사할 수 있으나, 이 양상에서 상기 이송 챔버는 개개의 이송 챔버들(1125A-1125D)에 의해 형성되며, 상기 개개의 이송 챔버들(1125A-1125D)은 상기 로드 록들(102A, 102B)과 상기 프로세싱 스테이션들(1140A-1140F) 사이에서 기판들을 운반하기 위하여 직선형으로 배치된다(rectilinear arranged). 이 양상에는, 로드 록들 및/또는 버퍼 스테이션들(buffer stations; 1401-1404)을 통해 서로에 소통가능하게 결합되어 2x2 배열 또는 격자(two-by-two array or grid)의 이송 챔버들을 형성하는, 4개의 이송 챔버들(1125A-1125D)이 있다. 다른 양상들에서는 임의의 적합한 수의 이송 챔버들이 제공되고 서로에 결합되어 임의의 적합한 크기의 직선형 이송 챔버들(예컨대, N 및 M이 정수인 경우에 N x M 격자의 이송 챔버들)이 집합적으로 형성될 수 있다. 깨닫게 될 수 있는 바와 같이, 상기 도구 스테이션(1400)(및 본 명세서에 설명된 다른 도구 스테이션들)은, 도 11A-11C에 대하여 설명된 바와 같이, 다수 레벨들의 기판 유지 스테이션들을 포함할 수 있으며, 그럼으로써 상기 격자는 3차원적 격자(Y개의 수직으로 이격된 레벨들의 기판 유지 스테이션들을 갖는 N x M 격자의 이송 챔버들)가 된다. 각각의 이송 챔버는, 2006년 5월 26일자 출원된 미국 특허출원 번호 제11/442,511호와 2007년 2월 27일자 출원된 미국 특허출원 번호 제11/679,829호 및 미국 특허 번호 제7,458,763호에 설명된 것과 실질적으로 유사한 방식으로 모듈식(modular)일 수 있으며, 그것들의 개시는 그 전체가 본 명세서에 참조 병합된다. 로드 록들이 상기 이송 챔버들(1125A-1125D)을 소통가능하게 결합하는 경우 각각의 이송 챔버의 내부 환경은 다른 이송 챔버들의 내부 환경으로부터 선택적으로 밀봉될 수 있다는 점이 주목된다. 깨닫게 될 수 있는 바와 같이, 각각의 이송 챔버(1125A-1125D)는 위에서 설명된 아암(214)과 실질적으로 유사한 이송 아암(1430)을 포함할 수 있다. 상기 이송 아암들은, 상기 로드 록들 및/또는 버퍼 스테이션들(1401-1404)을 통하여 상기 이송 챔버들 사이에서 또는 직접적으로 상기 로봇들 사이에서(예컨대 로봇 대 로봇(robot to robot) 이송) 기판들을 이송하도록 구성될 수 있다. 다른 양상들에서 상기 이송 챔버들(1125A-1125D)은, 개별의 이송 챔버들을 통하여 기판들을 프로세싱 스테이션들 및/또는 그것들에 결합된 로드 록들로 운반하기 위한 임의의 적합한 이송 아암을 구비할 수 있다.Referring now to FIG. 14, a semiconductor tool station 1400 is shown. The tool station 1400 may be substantially similar to the tool station 1100 described above, but in this aspect the transfer chamber is formed by individual transfer chambers 1125A-1125D, 1125D are rectilinearly arranged to transport substrates between the loadlocks 102A, 102B and the processing stations 1140A-1140F. This aspect includes a transfer chamber that is communicatively coupled to one another via loadlocks and / or buffer stations 1401-1404 to form transfer chambers of a two-by-two array or grid, There are four transfer chambers 1125A-1125D. In other aspects, any suitable number of transfer chambers may be provided and coupled to each other to provide for the transfer of any suitable sized linear transfer chambers (e.g., transfer chambers of N x M grids, where N and M are integers) . As can be realized, the tool station 1400 (and other tool stations described herein) may include multiple levels of substrate holding stations, as described with respect to Figures 11A-11C, So that the grating becomes a three-dimensional grating (transfer chambers of N x M gratings with Y vertically spaced levels of substrate holding stations). Each transfer chamber is described in U.S. Patent Application Serial No. 11 / 442,511, filed May 26, 2006, and U.S. Patent Application No. 11 / 679,829, filed February 27, 2007, and U.S. Patent No. 7,458,763, And may be modular in a manner substantially similar to what has been described, the disclosures of which are incorporated herein by reference in their entirety. It is noted that when the load locks communicatively engage the transfer chambers 1125A-1125D, the internal environment of each transfer chamber can be selectively sealed from the internal environment of the other transfer chambers. As can be realized, each transfer chamber 1125A-1125D can include a transfer arm 1430 substantially similar to the arm 214 described above. The transfer arms transfer substrates (e.g., robot to robot transfer) between the transfer chambers or directly between the robots via the loadlocks and / or buffer stations 1401-1404 . In other aspects, the transfer chambers 1125A-1125D may comprise any suitable transfer arm for transporting substrates through the individual transfer chambers to the processing stations and / or to the loadlocks associated therewith.

도 14a를 참조하면 반도체 도구 스테이션(1400)과 실질적으로 유사한 반도체 도구 스테이션(1400”)이 도시된다. 이 양상에서 상기 이송 챔버들(1125A, 1125D) 중 2개는 이송 챔버(1125E)로 대체된다. 이송 챔버(1125E)는 단일 챔버 안에 2개의 이송 로봇들(1450, 1451)을 포함한다. 상기 이송 로봇들(1450, 1451)은 위에서 설명된 것들과 실질적으로 유사할 수 있다. 일 양상에서 상기 이송 로봇들(1450, 1451) 중 하나 이상의 이송 로봇 상의 이송 아암(또는 본 명세서에 설명된 이송 아암(들) 중 다른 임의의 것(들))은 같지 않은(unequal) 길이의 아암 링크들(상기 상부 아암이 상기 전방 아암보다 짧거나 그 반대)을 가질 수 있는 바, 그 방식은 2005년 7월 11일자 출원된 미국 특허출원 제11/179,762호에 설명된 것과 실질적으로 유사한 방식이며, 그것의 개시는 그 전체가 본 명세서에 참조 병합된다. 여기에서 상기 이송 챔버(1125E)는 2개의 단부들(1125E1, 1125E2), 및 상기 단부들(1125E1, 1125E2) 사이에서 연장되는 측부들(sides)을 포함한다. 상기 이송 챔버(1125E)는 일 측부 상에 3개의 로드 록들(102A-102C)에 소통가능하게 결합되며, 다른 측부 상에 2개의 이송 챔버들(1125B, 1125C)에 소통가능하게 결합된다. 다른 양상들에는, 상기 이송 챔버의 측부에 소통가능하게 결합되고 3개보다 많거나 적은 로드 록들, 및 상기 이송 챔버의 다른 측부에 소통가능하게 결합되고 2개보다 많거나 적은 이송 챔버들이 있을 수 있다. 상기 이송 챔버들(1125B, 1125C)은 로드 록들(1401, 1403)을 통하여서나 임의의 적합한 버퍼 모듈을 통하여서와 같은 임의의 적합한 방식으로 상기 이송 챔버(1125E)에 결합될 수 있다. 깨닫게 될 수 있는 바와 같이 이송 로봇들(1450, 1451, 1430)은, 직접적으로 로봇들 사이에서(예컨대 로봇 대 로봇 인계(robot to robot handoff)) 기판들을 이송하거나 로드록(loadlock) 또는 버퍼 스테이션과 같은 임의의 적합한 기판 유지 스테이션의 이용을 통하여 기판들을 이송하도록 구성될 수 있다. 하나 이상의 프로세싱 스테이션들(1140A, 1140F)은 상기 이송 챔버(1125E)의 각각의 단부들(1125E1, 1125E2) 상에 배치될 수 있다. 하나의 아암(1451)은 상기 이송 챔버의 제1 부분(예컨대 로드 록들(102A, 102C, 1403)(예컨대 이송 챔버(1125B)) 및 프로세싱 스테이션(1140F))에 그 역할을 다하는(serve) 반면 다른 아암(1450)은 상기 이송 챔버의 제2 부분(예컨대 로드 록들(102C, 102B, 1401)(예컨대 이송 챔버(1125C)) 및 프로세싱 스테이션(1140A))에 그 역할을 다하게끔, 상기 2개의 로봇들(1450, 1451)의 개별의 구동 축들(X)이 서로로부터 수평으로 이격되도록, 상기 2개의 로봇들(1450, 1451)이 상기 이송 챔버(1125E) 안에 배치될 수 있다. 깨닫게 될 수 있는 바와 같이 상기 이송 챔버(1125E)의 상기 제1 부분 및 제2 부분은 겹쳐질(overlap) 수 있지만 다른 양상들에서 상기 제1 부분 및 제2 부분은 겹쳐지지 않을 수 있다. 또 다른 양상들에서 상기 이송 챔버(1125E)는, 이송 로봇(630)과 유사한 단일 이송 로봇을 포함할 수 있는 바, 상기 단일 이송 로봇은, 상기 기판 유지 스테이션들, 및/또는 상기 이송 챔버(1125E)에 소통가능하게 결합된 다른 이송 챔버들에 접근하기 위하여 상기 이송 아암이 상기 이송 챔버(1125E)의 길이를 통행하도록 구성된다.Referring to FIG. 14A, a semiconductor tool station 1400 " is shown that is substantially similar to the semiconductor tool station 1400. FIG. In this aspect, two of the transfer chambers 1125A and 1125D are replaced by a transfer chamber 1125E. The transfer chamber 1125E includes two transfer robots 1450 and 1451 in a single chamber. The transfer robots 1450 and 1451 may be substantially similar to those described above. In one aspect, the transfer arm (or any other one (s) of the transfer arms (s) described herein) on one or more transfer robots of the transfer robots 1450, 1451 is an unequal length arm Links (the upper arm may be shorter or vice versa than the front arm), which method is substantially similar to that described in U.S. Patent Application No. 11 / 179,762, filed July 11, 2005 , The disclosure of which is hereby incorporated by reference in its entirety. Wherein the transfer chamber 1125E includes two ends 1125E1 and 1125E2 and sides extending between the ends 1125E1 and 1125E2. The transfer chamber 1125E is communicatively coupled to three load locks 102A-102C on one side and is communicatively coupled to two transfer chambers 1125B, 1125C on the other side. In other aspects there may be more or less than three loadlocks communicatively coupled to the sides of the transfer chamber and more or fewer than two transfer chambers communicatively coupled to the other side of the transfer chamber . The transfer chambers 1125B and 1125C may be coupled to the transfer chamber 1125E in any suitable manner, such as through load locks 1401 and 1403 or through any suitable buffer module. As can be realized, the transfer robots 1450, 1451 and 1430 can transfer substrates directly between robots (for example, robot to robot handoff) or transfer them to a loadlock or buffer station And may be configured to transport substrates through the use of any suitable substrate holding station. One or more processing stations 1140A, 1140F may be disposed on each of the ends 1125E1, 1125E2 of the transfer chamber 1125E. One arm 1451 serves its role in a first portion of the transfer chamber (e.g., load locks 102A, 102C, 1403 (e.g., transfer chamber 1125B) and processing station 1140F) An arm 1450 is positioned between the two robots so that they serve a second portion of the transfer chamber (e.g., load locks 102C, 102B, 1401 (e.g., transfer chamber 1125C) and processing station 1140A) The two robots 1450 and 1451 may be disposed in the transfer chamber 1125E such that the individual drive axes X of the actuators 1450 and 1451 are horizontally spaced from each other. As can be realized, the first and second portions of the transfer chamber 1125E may overlap, but in other aspects the first portion and the second portion may not overlap. In still other aspects, the transfer chamber 1125E may include a single transfer robot similar to the transfer robot 630, the single transfer robot may include a plurality of transfer chambers, such as the substrate holding stations, and / or the transfer chamber 1125E The transfer arm is configured to pass the length of the transfer chamber 1125E to access other transfer chambers communicatively coupled to the transfer chamber 1125E.

또한 도 19를 참조하면, 반도체 도구 스테이션(1400)과 실질적으로 유사한 반도체 도구 스테이션(1400’)이 도시된다. 그러나 이 양상에는, 도 17에 대하여 위에서 설명된 것과 실질적으로 유사한 방식으로 직선형 이송 챔버를, 개별의 적재 포트(105)에서 위치된 기판 카세트들(110)에 소통가능하게 결합하는 2개의 로드 록들(1702A, 1702B)이 있다. 도 19에 보여질 수 있는 바와 같이, 상기 로드 록들(1702A, 1702B) 각각은 도 17에 대하여 위에서 설명된 것과 실질적으로 유사한 방식으로도 이송 로봇을 포함할 수 있다. 상기 도구 스테이션(1400’)으로부터 상기 도구 스테이션(1400’)의 임의의 측부 또는 측부들 상에 기판들이 삽입 및/또는 제거될 수 있도록, 추가적 로드 록들이 프로세싱 스테이션들을 대체할 수 있으며 그 반대도 마찬가지라는 점이 주목된다. 예를 들어 도 19a를 참조하면, 로드 록들(1702A, 1702B)이 상기 도구 스테이션(1140”)의 대향하는 단부들 상에 배치되도록 프로세싱 스테이션들(1140) 및 상기 로드 록들(1702A, 1702B)이 배치된다. 다른 양상들에서 상기 로드 록들 및 프로세싱 스테이션들은 임의의 적합한 구성을 가질 수 있다.Referring also to FIG. 19, a semiconductor tool station 1400 ', which is substantially similar to the semiconductor tool station 1400, is shown. This aspect, however, includes two load locks (not shown) that communicatively couple the linear transfer chamber to the substrate cassettes 110 located at the individual loading ports 105 in a manner substantially similar to that described above with respect to FIG. 1702A, and 1702B. As can be seen in FIG. 19, each of the load locks 1702A, 1702B may also include a transfer robot in a manner substantially similar to that described above with respect to FIG. Additional load locks may replace processing stations so that substrates can be inserted and / or removed from any of the sides or sides of the tool station 1400 'from the tool station 1400', and vice versa . For example, referring to FIG. 19A, processing stations 1140 and load locks 1702A, 1702B are arranged such that load locks 1702A, 1702B are disposed on opposing ends of tool station 1140 & do. In other aspects, the loadlocks and processing stations may have any suitable configuration.

이제 도 15를 참조하면, 개시된 실시예의 양상에 따라 반도체 도구 스테이션(1500)이 도시된다. 상기 도구 스테이션(1500)은 도구 스테이션(1100)과 실질적으로 유사할 수 있는데, 이송 챔버(1525)의 일 측부(S1)는 각진 표면들을 포함하는 바, 상기 각진 표면들은, 개별의 프로세싱 스테이션들(1140C, 1140D) 안팎으로의 기판 이송 경로들(P1, P2)이 서로에 대해 임의의 적합한 각도(α)로 각지도록 구성된다. 깨닫게 될 수 있는 바와 같이, 하나 초과의 측부(S1-S3)가 측부(S1) 상의 것들과 실질적으로 유사한 각진 표면들을 포함하여, 다면적(multifaceted) 이송 챔버가 형성될 수 있다. 위에서 설명된 것들과 실질적으로 유사한 하나 이상의 이송 로봇들(1530)이, 상기 이송 챔버를 통하여 상기 프로세싱 스테이션들과 로드 록들 사이에서 기판들을 운반하기 위하여 상기 이송 챔버(1525) 안에 배치될 수 있다. 위에서 언급된 바와 같이 베이스 아암(220)에 대하여 일 단위로서 독립적으로 회전할 수 있는, 상기 하나 이상의 로봇들(1530)의 이송 아암(들)(214)의 능력으로 인하여, 상기 이송 챔버의 각각의 벽의 형상과 무관하게 상기 이송 아암의 신장 및 수축의 축이 상기 프로세싱 스테이션들 및 로드 록들 안팎으로의 이송 경로와 정렬됨이 가능해진다. 도 18에는 도구 스테이션(1500)과 실질적으로 유사한 반도체 도구 스테이션(1500’)이 도시된다. 그러나 도 18에 도시된 이 양상에서 상기 도구 스테이션(1500’)은 도 17 및 19에 대하여 설명된 것들과 실질적으로 유사한 3개의 로드 록들(1702A-1702C)을 포함한다. 다른 양상들에서 상기 도구 스테이션(1500’)은 임의의 적합한 수의 로드 록들을 포함할 수 있다.Referring now to FIG. 15, a semiconductor tool station 1500 is shown in accordance with aspects of the disclosed embodiments. The tool station 1500 may be substantially similar to the tool station 1100, wherein one side S1 of the transfer chamber 1525 includes angled surfaces, 1140C, and 1140D are angled with respect to each other at any suitable angle [alpha]. As can be realized, a multifaceted transfer chamber can be formed, including more than one side S1-S3 including angled surfaces substantially similar to those on the side S1. One or more transfer robots 1530 substantially similar to those described above may be disposed in the transfer chamber 1525 to transfer substrates between the processing stations and load locks through the transfer chamber. Due to the ability of the transfer arm (s) 214 of the one or more robots 1530 to rotate independently as a unit relative to the base arm 220 as described above, each of the transfer chambers The axis of elongation and contraction of the transfer arm can be aligned with the transfer path into and out of the processing stations and load locks regardless of the shape of the wall. FIG. 18 shows a semiconductor tool station 1500 'substantially similar to tool station 1500. However, in this aspect shown in FIG. 18, the tool station 1500 'includes three loadlocks 1702A-1702C that are substantially similar to those described with respect to FIGS. In other aspects, the tool station 1500 'may include any suitable number of load locks.

도 16에는 개시된 실시예의 양상에 따른 도구 스테이션(1600)이 도시된다. 이 양상에서 상기 도구 스테이션은 도구 스테이션(1100)과 실질적으로 유사할 수 있으나, 증가된 수의 프로세싱 스테이션들(1640A-1640H)이 상기 이송 챔버(1625)에 소통가능하게 결합될 수 있도록 상기 이송 챔버(1625)는 오각형 형상을 가질 수 있다. 위에서 설명된 도구 스테이션들과 같이 몇몇 양상들에서 도구 스테이션(1600)은, 도 11a-11c에 대하여 설명된 바와 같이 다수 레벨들의 (예컨대 서로 겹쳐져 배치된) 로드 록들 및/또는 프로세싱 스테이션들을 포함할 수 있으며, 그럼으로써 상기 도구 스테이션의 윤곽을 실질적으로 증가시키지 않고 상기 여러 프로세싱 스테이션들 및/또는 로드 록들이 더 증가된다(further increased). 상기 이송 챔버를 통하여 상기 프로세싱 스테이션들과 로드 록들 사이에서 기판들을 운반하기 위하여 위에서 설명된 것들과 실질적으로 유사한 하나 이상의 이송 로봇들(1630)이 상기 이송 챔버(1625) 내에 배치될 수 있다. 다시 위에서 언급된 바와 같이 베이스 아암(220)에 대하여 일 단위로서 독립적으로 회전할 수 있는, 상기 하나 이상의 로봇들(1630)의 이송 아암(들)(214)의 능력으로 인하여, 상기 이송 챔버의 형상과 무관하게 상기 이송 아암의 신장 및 수축의 축이 상기 프로세싱 스테이션들 및 로드 록들 중 임의의 하나의 안팎으로의 이송 경로와 정렬됨이 가능해진다.16, a tool station 1600 is shown in accordance with aspects of the disclosed embodiment. In this aspect, the tool station may be substantially similar to the tool station 1100, but an increased number of processing stations 1640A-1640H may be coupled to the transfer chamber 1625 to be communicatively coupled to the transfer chamber 1625. [ (1625) may have a pentagonal shape. In some aspects, such as the tool stations described above, the tool station 1600 may include loadlocks and / or processing stations of multiple levels (e.g., superimposed on one another) as described with respect to Figures 11A-11C. Thereby further increasing the number of processing stations and / or loadlocks without substantially increasing the contour of the tool station. One or more transfer robots 1630 substantially similar to those described above may be disposed within the transfer chamber 1625 to transfer substrates between the processing stations and the load locks through the transfer chamber. Due to the ability of the transfer arm (s) 214 of the one or more robots 1630 to rotate independently as a unit relative to the base arm 220 as described above, the shape of the transfer chamber The axis of extension and contraction of the transfer arm can be aligned with the transfer path into and out of any one of the processing stations and load locks.

개시된 실시예의 양상들이 하나 또는 다수의 클러스터 이송 챔버들을 갖는 것으로 도시된 반면에 다른 양상들에서는 상기 이송 챔버들이 임의의 적합한 수의 프로세싱 스테이션/로드 록 클러스터들을 가질 수 있다는 점이 이해되어야 한다. 게다가 개시된 실시예의 양상들의 베이스 아암이 하나의 베이스 링크(도 2a 및 17) 및 2개의 베이스 링크들(도 7a)을 갖는 것으로 도시된 반면에, 다른 양상들에서는, 선형적 세장형 이송 챔버들(125, 126, 625, 626)의 길이를 따라 상기 이송 아암(214)을 운반하기 위하여, 그리고/또는 실질적으로 직사각형인 이송 챔버(1125, 1525) 및/또는 실질적으로 오각형인 이송 챔버(1625)(또는 다른 적합한 다측부(multi-sided) 이송 챔버) 안에서 회전축 둘레로(around) 상기 이송 아암(들)(1130R, 1130R1, 1130R2, 1130R3)을 운반하기 위하여, 상기 베이스 아암은, 상기 베이스 아암의 어깨 축(SX)(상기 어깨 축을 중심으로 상기 이송 아암(214)이 장착됨)이 임의의 적합한 거리로 연장될 수 있도록 하기 위한 임의의 적합한 수의 링크들을 구비할 수 있다.While aspects of the disclosed embodiments are shown as having one or more cluster transfer chambers, it should be understood that in other aspects the transfer chambers may have any suitable number of processing stations / load lock clusters. In addition, while the base arm of the aspects of the disclosed embodiments are shown as having one base link (Figures 2a and 17) and two base links (Figure 7a), while in other aspects, the linear elongated transfer chambers And / or a substantially rectangular transfer chamber (1125, 1525) and / or a substantially pentagonal transfer chamber (1625) to transfer the transfer arm (214) along the length of the transfer chamber (125, 126, 625, 626) 1130R1, 1130R2, 1130R3) about the axis of rotation within the base arm (not shown) or other suitable multi-sided transfer chamber And may include any suitable number of links to allow the axis SX (with the transfer arm 214 mounted about the shoulder axis) to extend to any suitable distance.

이제 도 20a를 참조하면 개시된 실시예의 양상들에 따른 프로세싱 장치(2000)의 개략도가 도시된다. 도한 도 20e, 34a 및 34b를 참조하면 일반적으로 상기 프로세싱 장치(200)는, 하나 이상의 다른 프로세싱 도구 모듈들(2020A, 2020B, 2020C)에 연결된 하나 이상의 프로세싱 도구 조립체들/모듈들(2020), 및/또는 하나 이상의 진공 터널들(vacuum tunnels; 2010, 2010A, 2010B, 2050)에 의한 EFEM 또는 일괄 적재기 정합부(EFEM or batch loader interface; 2060)와 같이 다른 적합한 기판 프로세싱 장비를 포함한다. 상기 프로세싱 도구 모듈들은, 다양한 원조 장비 제조자들에 의해 제공되는, 현존하거나 또는 "기성품인(off the shelf)" 프로세싱/클러스터 도구들일 수 있다. 도 20e에 보여질 수 있는 바와 같이 프로세싱 도구 모듈들(2020, 2020A, 2020B)이 클러스터 구성을 가질 수 있으며 또는 프로세싱 도구 모듈들(2020C)이 선형 구성(linear configuration) 또는 그것의 임의의 적합한 조합을 가질 수 있다. 각각의 상기 프로세싱/클러스터 도구들은 상기 기판들을 프로세싱하기 위하여, 미리 결정되고 상이한 프로세싱 특성들을 가질 수 있다. 개시된 실시예들의 양상들은, 이 현존하는 프로세싱 도구 모듈들이 예를 들어 자동화 모듈(2030)에 의해 예를 들어 대향하는 구성(opposing configuration)으로 서로에 소통가능하게 연결될 수 있도록 하는 바, 여기에서 기판들은 상기 자동화 모듈을 통하여, 아래에서 설명될 바와 같이 한번 접촉됨으로써 상기 대향하는 프로세싱 도구 모듈들 안으로 이송된다. 또한 아래에서 설명될 바와 같이 프로세싱 도구들은 운반 터널들(2010A, 2010B, 2050)에 의해서와 같이 실질적으로 선형인 구성으로 서로에 연결될 수 있다.Referring now to FIG. 20A, a schematic diagram of a processing device 2000 in accordance with aspects of the disclosed embodiment is shown. With reference to Figures 20e, 34a, and 34b, the processing device 200 generally includes one or more processing tool assemblies / modules 2020 coupled to one or more other processing tool modules 2020A, 2020B, 2020C, and / Or other suitable substrate processing equipment such as an EFEM or batch loader interface (EFEM) 2060 by one or more vacuum tunnels 2010, 2010A, 2010B, 2050. The processing tool modules may be existing or "off the shelf" processing / cluster tools provided by various aid equipment manufacturers. The processing tool modules 2020, 2020A, 2020B may have a cluster configuration or the processing tool modules 2020C may have a linear configuration or any suitable combination thereof, as shown in Figure 20E. Lt; / RTI > Each of the processing / cluster tools may have predetermined and different processing characteristics to process the substrates. Aspects of the disclosed embodiments allow these existing processing tool modules to be communicatively coupled to each other, e.g., in an opposing configuration, e.g., by the automation module 2030, Through the automation module, into the opposing processing tool modules by being contacted once as described below. Also as discussed below, the processing tools may be connected to one another in a substantially linear configuration, such as by the conveyance tunnels 2010A, 2010B, 2050. [

본 명세서에서 상기 "터널들"(2010A, 2010B, 2050)이 진공 분위기(vacuum atmosphere)를 갖는 진공 터널들로서 설명되는 반면, 다른 양상들에서 상기 "터널들"은 그 안에, 예컨대 불활성 기체 분위기, 비-진공 분위기, 진공 분위기 또는 그것들의 임의의 조합과 같은, 임의의 적합한 분위기를 가질 수 있다는 점이 이해되어야 한다. 다른 양상들에서 상기 "터널"을 형성하는 하나 이상의 모듈들(예컨대 진공 모듈, 자동화 모듈, 배향 모듈(orientation module), 정합 모듈(interface module), 기타 등등 아래에서 설명될 것들)이 (예컨대 모듈들 사이로 이송 카트들(transfer carts)이 통과할 수 있도록 하는 게이트 밸브(gate valve)와 같이) 임의의 적합한 방식으로 상기 터널 내의 다른 모듈들로부터 밀봉가능할 수 있음으로써 상기 하나 이상의 모듈들이 상기 터널 내의 다른 모듈들과는 상이한 (위에서 언급된 것들과 같은) 분위기를 가질 수 있다는 점도 이해되어야 한다.While the above "tunnels" 2010A, 2010B, 2050 are described herein as vacuum tunnels with a vacuum atmosphere, in other aspects the "tunnels" It should be understood that it may have any suitable atmosphere, such as a vacuum atmosphere, a vacuum atmosphere, or any combination thereof. In other aspects, one or more modules (e. G., Vacuum modules, automation modules, orientation modules, interface modules, etc.) that form the " May be sealable from other modules in the tunnel in any suitable manner (such as a gate valve that allows transfer carts to pass through) so that the one or more modules can be sealed from other modules in the tunnel (Such as those mentioned above) which are different from those of the above.

상기 프로세싱 도구 모듈들(2020)은 하나 이상의 프로세싱 챔버들(2021-2023), 이송 챔버(2024), 및 로드 록들(2025, 2026)을 포함할 수 있다. 일 양상에서 상기 프로세싱 도구 모듈들(2020)은 도 3a-6 및 8a-19a에 대하여 위에서 설명된 것들과 실질적으로 유사할 수 있는 반면에, 다른 양상들에서 상기 프로세싱 도구 모듈들은 임의의 적합한 구성(configuration) 및/또는 구성요소들(components)을 가질 수 있다. 또한 도 20b를 참조하면 일 양상에서 상기 프로세싱 도구 모듈들(2020), 및 예컨대 상기 자동화 모듈들(2030)과 같은 상기 프로세싱 장치의 다른 모듈들/구성요소들은, 상기 프로세싱 챔버들(2022) 및/또는 로드 록들(2025, 2026)이 상기 모듈들의 포트들에 적층된 구성으로 결합될 수 있도록 구성될 수 있다(즉 상기 프로세싱 챔버들(2022) 및/또는 로드 록들(2025, 2026)은 하나 이상의, 수직으로 이격되거나 적층된 평면들(planes)(PL) 안에 배치된다). 다른 양상들에서 상기 프로세싱 챔버들은 적층되지 않고 오히려 공통 평면 내에 배치될 수 있다. 도 20c를 참조하면 상기 자동화 모듈들(2030) 및 EFEM들(2060)은 적층된 이송 평면들(stacked transfer planes; PL)로써도 구성될 수 있으며, 그럼으로써 상기 진공 터널들(2010)이 상이한 평면들(PL) 내에 배치될 수 있다. 상기 터널 안으로/밖으로 기판들을 승/하강시키도록 기판 인덱서들/엘리베이터들(substrate indexers/elevators; 2030IN)이 상기 터널 안에 배치될 수 있는 점도 주목된다. 깨닫게 될 수 있는 바와 같이, 상기 터널들이 적층된 경우에 기판들이 상기 적층된 터널들 사이에서 이송될 수 있도록 상기 인덱서들/엘리베이터들(2030IN)은 상기 적층된 터널들을 연결할 수 있다.The processing tool modules 2020 may include one or more processing chambers 2021-2023, a transfer chamber 2024, and loadlocks 2025, 2026. In one aspect, the processing tool modules 2020 may be substantially similar to those described above with respect to Figures 3a-6 and 8a-19a, while in other aspects, the processing tool modules may be configured in any suitable configuration configuration and / or components. 20B, in one aspect, the processing tool modules 2020 and other modules / components of the processing apparatus, such as, for example, the automation modules 2030, are coupled to the processing chambers 2022 and / Or the loadlocks 2025 and 2026 may be configured to be coupled in a stacked configuration to the ports of the modules (i.e., the processing chambers 2022 and / or loadlocks 2025 and 2026) Vertically spaced or stacked planes PL). In other aspects, the processing chambers are not stacked, but rather can be placed in a common plane. 20C, the automation modules 2030 and the EFEMs 2060 can also be configured as stacked transfer planes (PLs), so that the vacuum tunnels 2010 can be configured with different planes (PL). It is also noted that substrate indexers / elevators 2030IN can be placed in the tunnel to raise / lower substrates into / out of the tunnel. As can be realized, the indexers / elevators 2030IN can connect the stacked tunnels so that substrates can be transported between the stacked tunnels if the tunnels are stacked.

실질적으로 동시에 하나 이상의 웨이퍼들을 이송하도록 구성된 자동화 모듈(2030)은 임의의 적합한 방식으로 상기 프로세싱 도구 모듈들(2020)을 상기 진공 터널들(2010A, 2010B, 2050)에 연결할 수 있다. 상기 자동화 모듈은 하우징을 포함할 수 있으며, 상기 하우징은 내부에 밀봉된 환경을 유지할 수 있는 챔버를 형성하고 기판 포트 개구들을 구비함으로써, 상기 기판 포트 개구들을 통하여 상기 챔버 안팎으로 기판들이 운반된다. 상기 자동화 모듈(2030)의 하우징은 제1 단부(2030E1), 제2 단부(2030E2), 및 상기 단부들 사이에서 연장되는 2개의 측부들(2030S1, 2030S2)을 포함할 수 있다. 상기 측부들의 각각은, 예를 들어 상기 프로세싱 도구 모듈들(2020)의 로드 록들, 진공 터널, EFEM, 적재 포트 모듈(예컨대 상기 적재 포트 모듈은 아래에서 설명될 바와 같이 상기 자동화 모듈에 실질적으로 직접 연결될 수 있다) 및/또는 임의의 다른 적합한 자동화 장비(automation equipment)(예컨대 기판들을 프로세싱 또는 취급하기 위한 장비)에의 결합을 위한 적어도 2개의 기판 운반 개구들 또는 연결 포트들(2030P1, 2030P2, 2030P4, 2030P5)(도 24a, 24b)을 구비할 수 있다. 상기 측부들(2030S1, 2030S2)은 프로세스 도구 조립체(2020, 2020A, 2020B, 2020C)의 측부들과의 맞결합(mating)을 위한 맞결합 정합부(mating interface)를 한정할 수 있다. 상기 하우징의 적어도 일 측부(2030S1, 2030S2)는, 하나 초과의 연결 포트들(2030P1, 2030P2, 2030P4, 2030P5)을 구비하고, 상기 연결 포트들은, 상기 연결 포트들에서 상기 맞결합 정합부에 맞결합되는 상기 프로세스 도구 조립체의 측부에 있는 기판 운반 개구들과 공동으로 상기 자동화 모듈(2030)의 하우징과 상기 프로세스 도구 모듈(들)(2020, 2020A, 2020B, 2020C) 사이의 장비 경계(equipment boundary; EB)를 한정한다. 상이한 프로세싱 도구 모듈들(2020, 2020A, 2020B, 2020C)이 미리 결정된 상이한 특성들을 가질 수 있으며 상기 하우징의 상기 맞결합 정합부에 교환가능하게 맞결합될 수 있다는 점이 주목된다. 상기 프로세싱 도구 모듈들의 연결 포트들 사이의 이격 또는 거리가 변동(vary)될 수 있으며, 상기 자동화 모듈(2030)은, 예를 들어 적어도 상기 자동화 모듈들 안에 배치된 이송 로봇들에 의해 제공되는 리치(reach)를 통하여, 그리고 상기 프로세싱 도구 모듈들에 상기 자동화 모듈들을 결합시킬 수 있는 다양한 장착 구성들을 통하여, 상기 프로세싱 도구 모듈들의 연결 포트들 사이의 거리에 있어서의 이 변동을 수용(accommodate)하도록 구성된다.An automation module 2030 configured to transfer one or more wafers at substantially the same time may connect the processing tool modules 2020 to the vacuum tunnels 2010A, 2010B, 2050 in any suitable manner. The automation module may include a housing, wherein the housing defines a chamber capable of maintaining a sealed environment therein and has substrate port openings, thereby transporting the substrates into and out of the chamber through the substrate port openings. The housing of the automation module 2030 may include a first end 2030E1, a second end 2030E2 and two sides 2030S1 and 2030S2 extending between the ends. Each of the sides may be coupled to a load module such as, for example, load locks of the processing tool modules 2020, a vacuum tunnel, an EFEM, a load port module (e.g., the load port module may be connected directly to the automation module, At least two substrate transport openings or connection ports 2030P1, 2030P2, 2030P4, 2030P5, 2030P2, 2030P4, 2030P2, 2030P4, 2030P2, (Figs. 24A and 24B). The side portions 2030S1 and 2030S2 may define a mating interface for mating with the sides of the process tool assemblies 2020,2020A, 2020B and 2020C. At least one side 2030S1, 2030S2 of the housing has more than one connection ports 2030P1, 2030P2, 2030P4, 2030P5, and the connection ports are aligned with the mating mating portions at the connection ports 2020A, 2020B, 2020C between the housing of the automation module 2030 and the process tool module (s) 2020, 2020A, 2020B, 2020C in conjunction with the substrate transfer openings at the side of the process tool assembly, ). It is noted that different processing tool modules 2020, 2020A, 2020B, and 2020C may have predetermined different characteristics and may be interchangeably engaged with the mating mating portion of the housing. The spacing or distance between the connection ports of the processing tool modules may vary and the automation module 2030 may be configured to receive the richness and accommodating this variation in the distance between the connection ports of the processing tool modules, via a variety of mounting configurations that can couple the automation modules to the processing tool modules .

일 양상에서 상기 자동화 모듈(2030)은 예컨대 도 21a에 도시된 바와 같이 직교 측부들(orthogonal sides)을 구비하는 것 같은 임의의 적합한 형상(예컨대 직교 형상)을 가질 수 있다는 점이 주목된다. 다른 양상들에서 상기 자동화 모듈(2030’)은 쐐기 형상(wedge shape)을 가질 수 있으며, 여기에서 상기 자동화 모듈(2030’)의 측부들은, 도 20d에 도시된 바와 같은 임의의 적합한 프로세싱 도구들 또는 다른 자동화 장비에의 결합을 위한 면을 가진다. 도 20d에서는 상기 자동화 모듈(2030’)의 상기 면을 가진 측부들(facetted sides)이 상기 자동화 모듈(2030’)의 내부(interior)에 대하여 볼록한 형상을 가지는 것으로 도시되나 다른 양상들에서는 상기 면을 가진 측부들 중 하나 이상이 상기 자동화 모듈(2030’)의 내부에 대하여 오목한 형상을 가질 수 있다는 점이 주목된다. 또 다른 양상들에서 상기 자동화 모듈의 일 측부는 상기 단부들에 직교일 수 있는 반면, 다른 측부는 도 20a에서 도시되는 바와 같이 면을 가질 수 있다. 깨닫게 될 수 있는 바와 같이, 상기 직교 형상 이송 챔버가 상기 직교 형상 자동화 모듈을 프로세싱 도구 모듈의 각진 포트들에 연결될 수 있게 하도록, 쐐기 어댑터(wedge adapter)가 상기 직교 형상 이송 챔버를 위해 제공될 수 있다. 이와 유사하게, 상기 쐐기 형상 자동화 모듈이 프로세싱 도구 모듈의 직교적으로 배치된(orthogonally arranged) 포트들에 연결될 수 있도록, 직교 어댑터(orthogonal adapter)가 상기 쐐기 형상 자동화 모듈을 위하여 제공될 수 있다.In one aspect, it is noted that the automation module 2030 may have any suitable shape (e.g., an orthogonal shape), such as having orthogonal sides as shown, for example, in Figure 21A. In other aspects, the automation module 2030 'may have a wedge shape, wherein the sides of the automation module 2030' may include any suitable processing tools, such as shown in Figure 20d, It has a face for coupling to other automation equipment. 20D, facetted sides of the automation module 2030 'are shown having a convex shape with respect to the interior of the automation module 2030', while in other aspects, It is noted that one or more of the engaging sides can have a concave shape relative to the interior of the automation module 2030 '. In other aspects, one side of the automation module may be orthogonal to the ends, while the other side may have a side as shown in FIG. 20A. As can be realized, a wedge adapter may be provided for the orthogonal shaped transfer chamber to allow the orthogonal shaped transfer chamber to be connected to the angled ports of the processing tool module . Similarly, an orthogonal adapter may be provided for the wedge-shaped automation module such that the wedge-shaped automation module can be connected to orthogonally arranged ports of the processing tool module.

상기 자동화 모듈(2030)의 단부들 중 적어도 하나는, 상기 자동화 모듈(2030)을 예를 들어 상기 운반 터널, 로드 록, 적재 포트 모듈 및/또는 다른 임의의 적합한 자동화 장비(예컨대 기판들을 프로세싱 또는 취급하기 위한 장비)에 결합하기 위한 포트(2030P3, 2030P6)를 포함할 수 있다(도 24a, 24b). 위에서 설명된 운반 로봇들과 실질적으로 유사할 수 있는 적어도 하나의 운반 로봇(transport robot; 2080)은, 실질적으로 기판에 한번 접촉함으로써 하나 이상의 기판들을 상기 운반 터널(및/또는 상기 운반 터널을 통행하는 카트)로부터 상기 프로세싱 도구 모듈들(2020)의 로드 록들 중 임의의 하나에 이송하기 위하여, 적어도 부분적으로 상기 자동화 모듈(2030) 안에 배치될 수 있다. 상기 프로세싱 장치의 하나 이상의 구성요소들이 (도 20b에 도시된 바와 같이) 적층된 평면들 안에 배치된 경우에, 상기 운반 로봇(2080)은, 상기 적층된 프로세싱 평면들(stacked processing planes) 각각에의 접근을 제공하기에 충분한 Z-움직임 기능(capability)을 포함할 수 있다. 일 양상에서 상기 자동화 모듈(2030)은 임의의 적합한 진공 모듈(2040) 또는 다른 임의의 적합한 연결 모듈을 통하여 상기 진공 터널들(2010A, 2010B)(또는 하나 이상의 EFEM들)에 연결될 수 있다. 상기 진공 모듈(2040)은 관통 진공 포드(pass through vacuum pod), 로드 록, 버퍼 모듈, 기판 정렬자 모듈, 상기 진공 터널들(2010A, 2010B) 안에 배치된 셔틀 또는 카트를 위한 셔틀 정합부(shuttle interface) 및/또는 다른 임의의 적합한 모듈일 수 있다. 다른 일 양상에서 상기 자동화 모듈(2030)은 상기 진공 터널, 예컨대 진공 터널(2050)에 실질적으로 직접 결합될 수 있으며, 그럼으로써 상기 자동화 모듈(2030) 안의 이송 로봇(2080)은, 직접적으로 기판들을 상기 진공 터널로, 예컨대 상기 진공 터널(2050) 안의 셔틀 또는 카트로 이송할 수 있다. 아래에서 설명될 바와 같은 또 다른 양상들에서 다른 하나의 프로세싱 도구 모듈이 진공 터널(2050) 대신에 상기 자동화 모듈(2030)에 결합될 수 있으며, 그럼으로써, 대향하는 프로세싱 도구 모듈들은 서로에, 그리고 상기 진공 터널(들)(2010A, 2010B)에 소통가능하게 결합된다.At least one of the ends of the automation module 2030 may be configured to allow the automation module 2030 to be coupled to the conveying tunnel, the load lock, the load port module, and / or any other suitable automated equipment (e.g., (Fig. 24A, 24B) for coupling to a device (e.g. At least one transport robot 2080, which may be substantially similar to the transport robots described above, is configured to transport one or more substrates to the transport tunnel (and / or the transport tunnel 2080) Carts) to any one of the load locks of the processing tool modules 2020. In some embodiments, When one or more components of the processing apparatus are disposed in stacked planes (as shown in FIG. 20B), the transport robot 2080 may be positioned in each of the stacked processing planes And may include sufficient Z-motion capability to provide access. In one aspect, the automation module 2030 may be connected to the vacuum tunnels 2010A, 2010B (or one or more EFEMs) through any suitable vacuum module 2040 or any other suitable connection module. The vacuum module 2040 includes a pass through vacuum pod, a load lock, a buffer module, a substrate aligner module, a shuttle for shuttles or carts disposed within the vacuum tunnels 2010A, 2010B, interface) and / or any other suitable module. In another aspect, the automation module 2030 may be substantially directly coupled to the vacuum tunnel, e.g., a vacuum tunnel 2050, such that the transfer robot 2080 in the automation module 2030 may directly couple the substrates To the vacuum tunnel, for example, into a shuttle or cart in the vacuum tunnel 2050. In another aspect, as will be described below, another processing tool module may be coupled to the automation module 2030 instead of the vacuum tunnel 2050 so that the opposing processing tool modules are coupled to each other, and And is communicatively coupled to the vacuum tunnel (s) 2010A, 2010B.

도 21a를 참조하면 프로세싱 장치(2000)와 실질적으로 유사한 프로세싱 장치(2100)의 개략도가 도시된다. 이 양상에서 상기 자동화 모듈(2030)은 대향하는 프로세싱 도구 모듈들(2120A, 2120B)을 예를 들어 EFEM(2060)에 연결한다. 상기 EFEM(2060)은 내부에 제어된 분위기를 갖는 하우징, 기판 카세트들(2065)과 상기 EFEM(2060) 사이에서 하나 이상의 기판들을 이송하기 위한 적재 포트들(2061-2064), 및 상기 카세트들(2065)과 예를 들어 진공 모듈(2040) 사이에서 상기 기판들을 이송하도록 구성된 이송 로봇(2180)을 포함한다. 일 양상에서 상기 이송 로봇(2180)은 위에서 설명된 것들과 실질적으로 유사할 수 있는 반면 다른 양상들에서 상기 이송 로봇은 임의의 적합한 이송 로봇일 수 있다. 상기 진공 모듈(2040)은, 상기 EFEM(2060)을 상기 자동화 모듈(2030)에 연결하며 이 양상에서는 상기 EFEM(2060)의 분위기와 상기 자동화 모듈(2030)의 (진공 분위기일 수 있는) 분위기 사이의 전이(transition)를 제공하도록 구성된 로드 록일 수 있다. 다른 양상들에서 상기 진공 모듈(2040)은 대기의 모듈(atmospheric module)로 대체될 수 있는 바, 상기 대기의 모듈은, 진공 모듈(2040)과 유사한 특징들을 갖지만 상기 대기의 모듈 및 상기 터널 정합부(tunnel interface; 2030)가 비-진공 모듈들이도록 상기 대기의 모듈 안에 비-진공 환경을 유지하도록 구성될 수 있다(예컨대 상기 기판들이 상기 프로세싱 도구 모듈들(2120A, 2120B)로 이송되는 때에 비-진공과 진공 사이의 전이는 로드 록들(2140A, 2140B)에서 일어날 수 있다).Referring to FIG. 21A, a schematic diagram of a processing device 2100 substantially similar to the processing device 2000 is shown. In this aspect, the automation module 2030 couples the opposed processing tool modules 2120A, 2120B to the EFEM 2060, for example. The EFEM 2060 includes a housing having a controlled atmosphere therein, loading ports 2061-2064 for transferring one or more substrates between the substrate cassettes 2065 and the EFEM 2060, And a transfer robot 2180 configured to transfer the substrates between a vacuum module 2040 and a vacuum module 2040, for example. In one aspect, the transport robot 2180 may be substantially similar to those described above, while in other aspects the transport robot may be any suitable transport robot. The vacuum module 2040 connects the EFEM 2060 to the automation module 2030 and in this aspect between the atmosphere of the EFEM 2060 and the atmosphere of the automation module 2030 Lt; RTI ID = 0.0 > transition. ≪ / RTI > In other aspects, the vacuum module 2040 can be replaced with an atmospheric module that has features similar to the vacuum module 2040, but the module of the atmosphere and the tunnel junction 2040, (e.g., when the substrates are transferred to the processing tool modules 2120A, 2120B), the tunnel interface 2030 may be configured to maintain a non-vacuum environment in the module of the atmosphere to be non-vacuum modules Transition between vacuum and vacuum can occur at loadlocks 2140A, 2140B).

도 24a도 참조하면 위에서 설명된 바와 같이 상기 자동화 모듈(2030)은 이송 로봇(2080)을 포함한다. 일 양상에서 상기 이송 로봇(2080)은 위에서 설명된 이송 로봇들과 실질적으로 유사할 수 있다. 상기 이송 로봇(2080)의 구동부(2081)도 위에서 설명된 구동부들(200, 700)과 실질적으로 유사할 수 있다. 일 단위로서 상기 어깨 축(SX)을 중심으로 상기 아암(들)(2082) 및 엔드 이펙터(들)(2083)를 회전시키도록 상기 구동부(2081)가 구성될 수 있으며, 그럼으로써 상기 아암(들)(2082)은 기판들을 상기 자동화 모듈(2030)의 양 측방향 측부들로(예컨대 상기 대향하는 프로세싱 도구 모듈들 둘 모두의 로드 록들(2025, 2026)로) 이송하기 위하여 기판들을 (예컨대 진공 터널 및/또는 상기 자동화 모듈(2030)의 종축을 따라) 화살표(2400) 방향 및 화살표(2401) 방향으로 이송할 수 있다. 도 24b를 참조하면, 다른 양상들에서 상기 자동화 모듈(2030)의 이송 로봇(2439)은, 축(X24)을 중심으로 회전가능한 베이스 링크(2450)를 포함할 수 있다. 상기 이송 로봇(2439)은, 이송 로봇(2080)에 대하여 본 명세서에 설명된 것과 실질적으로 유사한 방식으로, 본 명세서에 설명된 개시된 실시예의 각각의 양상들에 채용될 수 있다는 점이 이해되어야 한다. 상기 베이스 링크(2450)는 양면으로 된 붐(double sided boom)의 형태일 수 있으며 상기 축(X24)으로부터 반대 방향들로 길이방향으로 연장됨으로써 상기 축(X24)을 중심으로 회전하는 2개의 길이방향 단부들을 구비한 실질적으로 강성인 링크(substantially rigid link)를 형성할 수 있다. 선택적 순응성 관절 로봇(Selective Compliant Articulated Robot; SCARA) 아암들, 개구리 다리 아암들(frog leg arms), 립프로그 아암들(leapfrog arms), 좌우대칭적 아암들(bi-symmetric arms), 공전 기계적 스위치 유형 아암들(lost motion mechanical switch type arms), 또는 (위에서 설명된) 하나 이상 엔드 이펙터들을 구비한 다른 임의의 적합한 아암을 포함하지만 이에 한정되지는 않는, 임의의 적합한 이송 아암 또는 아암들(2451, 2452)이 개별의 어깨 축(SX1, SX2)에서 상기 베이스 링크(2450)의 각각의 단부에 장착될 수 있다.Referring to FIG. 24A, the automation module 2030 includes a transfer robot 2080 as described above. In an aspect, the transfer robot 2080 may be substantially similar to the transfer robots described above. The driving unit 2081 of the transfer robot 2080 may be substantially similar to the driving units 200 and 700 described above. The drive unit 2081 may be configured to rotate the arm (s) 2082 and the end effector (s) 2083 about the shoulder axis SX as a unit, ) 2082 may be used to transfer substrates (e.g., a vacuum tunnel) to both lateral sides of the automation module 2030 (e.g., to load locks 2025, 2026 of both opposing processing tool modules) And / or along the longitudinal axis of the automation module 2030) in the direction of arrow 2400 and in the direction of arrow 2401. Referring to FIG. 24B, in other aspects, the transfer robot 2439 of the automation module 2030 may include a base link 2450 rotatable about an axis X24. It should be understood that the transfer robot 2439 can be employed in each of the aspects of the disclosed embodiments described herein in a manner substantially similar to that described herein for the transfer robot 2080. [ The base link 2450 may be in the form of a double sided boom and may extend longitudinally in opposite directions from the axis X24 so as to extend in two longitudinal directions It is possible to form a substantially rigid link with the ends. Selective Compliant Articulated Robot (SCARA) arms, frog leg arms, leapfrog arms, bi-symmetric arms, orbital mechanical switch type arms Any suitable transfer arm or arms 2451, 2452, including, but not limited to, lost motion mechanical switch type arms, or any other suitable arm with one or more end effectors (described above) May be mounted at each end of the base link 2450 in the respective shoulder axes SX1, SX2.

상기 이송 로봇(2439)은 실질적으로 회전축(X24) 옆에 또는 상기 회전축(X24)에 인접하여 배치된 구동부(2450D)를 포함할 수 있으며, 상기 구동부(2450D)는 축(X24)을 중심으로 상기 베이스 링크(2450)를 회전시키도록 구성된다. 상기 구동부(2450D)는 임의의 적합한 구동기일 수 있으며, 임의의 적합한 트랜스미션을 통하여서와 같이 임의의 적합한 방식으로 상기 베이스 링크(2450)에 연결될 수 있다. 예컨대 도 2h-2j에 대하여 위에서 설명된 것과 실질적으로 유사한 구동부(2451D, 2452D)가, 상기 아암들(2451, 2452) 중 개별의 하나를 구동시키기 위하여 상기 베이스 링크(2450)의 개별의 단부들에서 배치될 수 있다. 다른 양상들에서 상기 구동부(2451D, 2452D)는 임의의 적합한 구성을 갖는 임의의 적합한 구동부들일 수 있다. 상기 프로세스 도구 모듈들의 로드 록들(2025, 2026), 상기 진공 터널들 안에서 통행하는 상기 카드들, 또는 상기 포트들 중 하나에 연결된 다른 임의의 적합한 기판 유지 위치에/로부터 기판들을 픽킹(picking)하고 위치시키기 위하여, 상기 구동부들(2451D, 2452D)은, 상기 자동화 모듈(2030)을 통하여 신장/수축의 개별의 축들(2490, 2491, 2492)을 따르는 화살표들(2400, 2401)의 방향들로 개별의 아암(들)의 신장 및 수축을 야기하도록 구성될 수 있다. 일 양상에서 상기 구동부들(2451D, 2452D)은, 상기 구동부들의 개별의 아암들을 일 단위로서 개별의 어깨 축들(SX1, SX2)을 중심으로 회전시키도록 구성될 수 있고, 구동기(2450D)는 상기 베이스 링크(2450)를 회전시키도록 구성될 수 있으며, 그럼으로써 각각의 아암(2451, 2452)은, 포트들(2030P3, 2030P6)을 통하여 기판들을 이송하기 위해서 축(2492)을 따라 신장/수축할 수 있다. 양 포트들(2030P3, 2030P6)을 통한 신장/수축에 덧붙여, 도 24b에 보여질 수 있는 바와 같이 상기 아암들(2451, 2452)은 실질적으로 직선인 연장부(substantially straight line extension)를 위하여 구성될 수 있으며, 상기 아암들(2451, 2452)의 나란한 구성(side by side configuration)은 (상기 베이스 링크(2450)의 회전으로써) 아암(2451)이 포트들(2030P1, 2030P4)을 통하여 연장될 수 있도록 할 수 있으며, (상기 베이스 링크(2450)의 회전으로써) 아암(2452)이 포트들(2030P2, 2030P5)을 통하여 연장될 수 있도록 할 수 있다. 다른 양상들에서, 상기 아암들(2451, 2452)의 나란한 구성은 (상기 베이스 링크(2450)의 회전 없이 그러나 축(SX1)을 중심으로 한 상기 아암(2451)의 회전으로써) 아암(2451)이 포트들(2030P2, 2030P4)을 통하여 연장될 수 있도록 할 수 있으며, (상기 베이스 링크(2450)의 회전 없이 그러나 축(SX2)을 중심으로 한 상기 아암(2451)의 회전으로써) 아암(2452)이 포트들(2030P1, 2030P5)을 통하여 연장될 수 있도록 할 수 있다.The transfer robot 2439 may include a driving unit 2450D disposed substantially adjacent to the rotation axis X24 or adjacent to the rotation axis X24 and the driving unit 2450D may include a driving unit And to rotate the base link 2450. The driver 2450D may be any suitable driver and may be connected to the base link 2450 in any suitable manner, such as via any suitable transmission. For example, a driver 2451D, 2452D, substantially similar to that described above with respect to Figures 2h-2j, may be provided at each of the ends of the base link 2450 to drive an individual one of the arms 2451, . In other aspects, the driver 2451D, 2452D may be any suitable driver with any suitable configuration. Picking the substrates from / to any of the load locks 2025, 2026 of the process tool modules, the cards passing through the vacuum tunnels, or any other suitable substrate holding position connected to one of the ports, The actuators 2451D and 2452D are driven in the directions of the arrows 2400 and 2401 along the individual axes 2490, 2491 and 2492 of the extension / contraction through the automation module 2030, May be configured to cause elongation and contraction of the arm (s). In one aspect, the actuators 2451D and 2452D may be configured to rotate the individual arms of the actuators around the respective shoulder axes SX1 and SX2 as a unit, Link 2450 so that each arm 2451 and 2452 can extend / retract along shaft 2492 to transfer substrates through ports 2030P3 and 2030P6 have. In addition to stretching / contracting through both ports 2030P3 and 2030P6, the arms 2451 and 2452 can be configured for a substantially straight line extension, as can be seen in Figure 24B And the side by side configuration of the arms 2451 and 2452 may be such that the arm 2451 extends through the ports 2030P1 and 2030P4 (by rotation of the base link 2450) And may allow arm 2452 to extend through ports 2030P2 and 2030P5 (by rotation of base link 2450). In other aspects, the side-by-side configuration of the arms 2451, 2452 can be such that the arm 2451 (with the rotation of the arm 2451 about the axis SXl without rotation of the base link 2450) The arms 2452 can be extended through ports 2030P2 and 2030P4 so that the arm 2452 can be extended through the arms 2452 without rotation of the base link 2450 but by rotation of the arm 2451 about the axis SX2. Ports 2030P1 and 2030P5, respectively.

또한 상기 이송 로봇(2439)의 아암들(2451, 2452)은, 하나의 아암(2451, 2452)으로부터 다른 아암(2451, 2452)으로 기판들을 인계(hand off)하도록, 구성될 수 있으며 예컨대 컨트롤러(120)(도 1)에 의하여 제어될 수 있다. 예를 들어 일 양상에서 기판들은 상기 아암들(2451, 2452) 사이에서 실질적으로 직접 인계될 수 있다. 다른 일 양상에서는, 상기 아암들(2451, 2452) 중 하나에 의해, 상기 이송 아암(2439)을 제외한, 상기 자동화 모듈(2030) 안에 배치된 기판 유지 위치(2471)에 상기 기판들이 위치될 수 있으며, 그럼으로써, 상기 기판들을 하나의 아암(2451, 2452)으로부터 다른 아암으로 이송하기 위하여 상기 아암들(2451, 2452) 중 다른 하나가 상기 기판을 상기 유지 위치(2471)로부터 픽킹할 수 있다. 또 다른 양상들에서 상기 베이스 아암(2450)은 기판 유지 위치(2471)와 유사한 기판 유지 위치를 포함할 수 있으며(예컨대 상기 기판 유지 위치는 상기 베이스 아암(2450)에 장착된다), 그럼으로써 기판은, 기판 유지 위치(2471)에 대하여 위에서 설명된 것과 실질적으로 유사한 방식으로 하나의 아암으로부터 다른 아암으로 이송될 수 있다.The arms 2451 and 2452 of the transfer robot 2439 can be configured to hand off the substrates from one arm 2451 and 2452 to the other arms 2451 and 2452, 120) (FIG. 1). For example, in one aspect, the substrates may be taken over substantially directly between the arms 2451, 2452. In another aspect, the substrates can be positioned by one of the arms 2451, 2452 in a substrate holding position 2471 disposed in the automation module 2030, except for the transfer arm 2439 So that one of the arms 2451 and 2452 can pick up the substrate from the holding position 2471 to transfer the substrates from one arm 2451 or 2452 to another arm. In other aspects, the base arm 2450 may include a substrate holding position similar to the substrate holding position 2471 (e.g., the substrate holding position is mounted to the base arm 2450) , The substrate holding position 2471 can be transferred from one arm to another in a manner substantially similar to that described above.

도 24c 및 24d를 참조하면, 상기 이송 로봇들(2080, 2439)은, 예를 들어 도 2g에 대하여 위에서 설명된 것과 실질적으로 유사한 방식의 수직적으로 대향하는 구성(vertically opposing arrangement)으로 상기 자동화 모듈(2030) 안에 장착될 수 있다. 예를 들어 일 양상에서 상기 아암(2080)은 상기 자동화 모듈(2030)의 상단에 장착될 수 있는 반면에 상기 아암(2439)은 상기 자동화 모듈(2030)의 하단(bottom)에 장착되며, 또는 그 반대도 마찬가지이다. 다른 양상들에서, 제1 이송 아암(2080)은 상기 자동화 모듈의 상단에 장착될 수 있으며, 제2 아암(2080)은 상기 자동화 모듈의 하단에 장착될 수 있다. 또 다른 양상들에서, 제1 이송 아암(2439)은 상기 자동화 모듈의 상단에 장착될 수 있으며, 제2 아암(2439)은 상기 자동화 모듈의 하단에 장착될 수 있다. 깨닫게 될 수 있는 바와 같이, 각각의 상기 이송 아암들(2080, 2439)은, 상기 이송 아암들(2080, 2439)에 의해 옮겨지는(carried) 기판을 상기 진공 터널들(2010) 각각의 이송 평면들(PL) 및 상기 프로세싱 도구 모듈들(2020, 2020A, 2020B, 2020C)의 이송 평면들과 정렬시키기 위하여, 화살표(299) 방향으로 이동가능할 수 있으며, 예컨대 컨트롤러(120)에 의하여서와 같은 임의의 적합한 방식으로 제어될 수 있다. 상기 이송 로봇들(2080, 2439)은, 상기 터널들(2010) 중 임의의 하나 이상 및 상기 자동화 모듈(2030)과 프로세싱 도구 모듈들(2020, 2020A, 2020B, 2020C) 사이에서 (예컨대 카트에/로부터 기판들을 이송하기 위하여, 그리고/또는 상기 자동화 모듈 안으로 연장되는 카트 상의 기판 홀더에의/로부터의 기판의 이송을 위하여 상기 터널 안으로 닿음(reaching)으로써) 기판들을 이송하기 위하여 임의의 적합한 방식으로 제어될 수도 있다. 깨닫게 될 수 있는 바와 같이 상기 이송 로봇들은, 하나의 이송 로봇(2080, 2439)이 다른 이송 로봇(2080, 2439)의 작동을 방해하지 않도록 상기 이송 로봇들의 개별의 축들(X, X24)을 중심으로 회전될 수 있다.Referring to Figures 24C and 24D, the transfer robots 2080 and 2439 are connected to the automation module < RTI ID = 0.0 > (i. E. 2030). For example, in one aspect, the arm 2080 may be mounted at the top of the automation module 2030 while the arm 2439 may be mounted at the bottom of the automation module 2030, The opposite is also true. In other aspects, a first transfer arm 2080 may be mounted on top of the automation module, and a second arm 2080 may be mounted on the bottom of the automation module. In other aspects, the first transfer arm 2439 may be mounted on the top of the automation module, and the second arm 2439 may be mounted on the bottom of the automation module. As can be realized, each of the transfer arms 2080, 2439 is configured to transfer a substrate carried by the transfer arms 2080, 2439 to the transfer planes < RTI ID = 0.0 > Such as by the controller 120, to align with the transfer planes of the processing tool modules PL and the processing tool modules 2020, 2020A, 2020B, 2020C, Can be controlled in a suitable manner. The transfer robots 2080 and 2439 are connected to any one or more of the tunnels 2010 and between the automation module 2030 and the processing tool modules 2020, 2020A, 2020B and 2020C (By reaching the tunnel for transporting the substrates to and / or from a substrate holder on the cart that extends into the automation module) . As can be realized, the transporting robots are arranged around the individual axes (X, X24) of the transporting robots so that one transporting robot 2080, 2439 does not interfere with the operation of the other transporting robots 2080, 2439 Can be rotated.

상기 프로세싱 도구 모듈들(2120A, 2120B)(또는 기판들을 유지 또는 프로세싱할 수 있는 다른 임의의 적합한 모듈들)이 대향하는 구성으로 배치되도록, 상기 프로세싱 도구 모듈들(2120A, 2120B)은 상기 자동화 모듈(2030)의 측방향 측부들에 결합될 수 있다. 상기 프로세싱 도구 모듈들(2120A, 2120B)은 위에서 설명된 것들과 실질적으로 유사할 수 있다. 다른 양상들에서 상기 프로세싱 도구 모듈들은 임의의 적합한 구성을 가질 수 있다. 예를 들어 프로세싱 도구 모듈들(2120A, 2120B)은, 하나 이상의 이송 챔버들(2121TC1, 2121TC2)을 포함하는 이송 모듈(transfer module; 2121)을 포함할 수 있으며, 각각의 상기 하나 이상의 이송 챔버들은 거기에 결합된 프로세싱 챔버들(2122)을 구비한다. 각각의 이송 챔버(2121TC1, 2121TC2)는 임의의 적합한 이송 로봇(2150)을 포함할 수 있으며, 그럼으로써 기판들은 직접적인 로봇 대 로봇 이송 또는 (버퍼, 정렬자, 히터, 쿨러(cooler) 또는 임의의 적합한 유지 스테이션일 수 있는) 기판 유지 스테이션(2160A, 2160B)을 통하여 상기 이송 챔버들(2121TC1, 2121TC2) 사이에서 이송된다. 일 양상에서는 상기 이송 모듈(2121)이, 예를 들어 로드 록들(2140A, 2140B)에 의해 상기 자동화 모듈(2030)에 연결될 수 있는 반면, 다른 양상들에서는 상기 이송 모듈(2121)은 상기 자동화 모듈(2030)에 실질적으로 직접 결합될 수 있다.The processing tool modules 2120A and 2120B are configured to communicate with the automation module 2120A and 2120B such that the processing tool modules 2120A and 2120B (or any other suitable modules capable of holding or processing substrates) 2030, respectively. The processing tool modules 2120A and 2120B may be substantially similar to those described above. In other aspects, the processing tool modules may have any suitable configuration. For example, the processing tool modules 2120A and 2120B may include a transfer module 2121 that includes one or more transfer chambers 2121TC1 and 2121TC2, And the processing chambers 2122 coupled to the processing chambers. Each of the transfer chambers 2121TC1 and 2121TC2 may comprise any suitable transfer robot 2150 so that the substrates may be transferred directly to a robot by robot transfer or by any suitable means such as (a buffer, an aligner, a heater, a cooler, 2121TC2 via substrate holding stations 2160A, 2160B (which can be a holding station). In one aspect, the transfer module 2121 may be coupled to the automation module 2030, for example, by loadlocks 2140A, 2140B, while in other aspects, the transfer module 2121 may be coupled to the automation module 2030). ≪ / RTI >

도 21b를 참조하면 다른 기판 유지 스테이션들, 프로세싱 챔버들 및/또는 진공 터널들이 임의의 적합한 방식으로 상기 자동화 모듈(2030)에 연결될 수 있다. 예를 들면, 임의의 적합한 모듈(2170)(예컨대 기판 정렬자, 히터, 쿨러, 버퍼 등등)이 상기 진공 모듈(2040)의 반대측에서 상기 자동화 모듈(2030)에 결합될 수 있다. 도 21c도 참조하면, (진공 모듈(2040)과 실질적으로 유사할 수 있는) 진공 모듈(2040a) 및/또는 진공 터널(2010)은, 상기 프로세싱 장치의 프로세싱 능력을 모듈 방식으로(modularly) 증가시키기 위하여, 상기 진공 모듈(2040)의 반대측에서 상기 자동화 모듈에 결합될 수 있다. 예를 들어 도 21c에 보여질 수 있는 바와 같이, (위에서 설명된 것과 실질적으로 유사한 방식으로 상기 자동화 모듈에 연결되는) 추가적인 프로세싱 도구 모듈들(2120C, 2120D)이 상기 프로세싱 장치에 추가될 수 있도록 다른 하나의 자동화 모듈(2030A)이 상기 진공 터널(2010)에 결합된다. 깨닫게 될 수 있는 바와 같이, 임의의 적합한 수의 추가적 진공 모듈들(2040), 진공 터널들(2010), 진공 정합 모듈들(vacuum interface modules) 및 프로세싱 도구 모듈들이, 위에서 설명된 것과 실질적으로 유사한 방식으로 상기 프로세싱 장치에 추가될 수 있다.Referring to FIG. 21B, other substrate holding stations, processing chambers, and / or vacuum tunnels may be connected to the automation module 2030 in any suitable manner. For example, any suitable module 2170 (e.g., a substrate aligner, heater, cooler, buffer, etc.) may be coupled to the automation module 2030 on the opposite side of the vacuum module 2040. 21C, a vacuum module 2040a and / or a vacuum tunnel 2010 (which may be substantially similar to the vacuum module 2040) may be used to modularly increase the processing capability of the processing device And may be coupled to the automation module on the opposite side of the vacuum module 2040. As can be seen, for example, in FIG. 21C, additional processing tool modules (2120C, 2120D) (coupled to the automation module in a manner substantially similar to that described above) may be added to the processing device One automation module 2030A is coupled to the vacuum tunnel 2010. Any suitable number of additional vacuum modules 2040, vacuum tunnels 2010, vacuum interface modules and processing tool modules may be implemented in a manner substantially similar to that described above, May be added to the processing device.

도 22a를 참조하면 프로세싱 장치(2200)가 개시된 실시예의 양상들에 따라 개념적으로 도시된다. 상기 프로세싱 장치(2200)는 위에서 설명된 프로세싱 장치(2100)와 실질적으로 유사할 수 있으나, 이 양상에서 상기 자동화 모듈(2030)은 진공 터널(2010) 및 진공 모듈(2040)을 통하여 EFEM(2060)에 연결된다. 진공 모듈들(2040) 및/또는 진공 터널들(2010)의 각각은, 아래에서 설명될 바와 같이 동시에 하나 이상의 기판들을 운반 또는 유지하기 위하여 구성될 수 있다. 깨닫게 될 수 있는 바와 같이, 상기 프로세싱 장치의 프로세싱 능력을 증가시키기 위하여 상기 프로세싱 장치(2200)는 위에서 설명된 것과 실질적으로 유사한 방식으로, 도 22b에 도시된 바와 같이 임의의 적합한 수의 추가적 진공 모듈들(2040), 진공 터널들(2010A) 및/또는 자동화 모듈들(2030A)의 추가에 의해 확장될 수도 있다. 결합 또는 연결된 진공 모듈들(2040), 진공 터널들(2010) 및 자동화 모듈들(2030)은, 운반 축(transport axis; TX)을 따라 연장되어 모듈식 터널(modular tunnel)을 형성하는 바, 상기 모듈식 터널은 예를 들어 위에서 언급된 상기 진공 모듈들(2040), 진공 터널들(2010) 및 자동화 모듈들(2030)을 추가함으로써 임의의 적합한 길이로 연장될 수 있다는 점이 주목된다. 깨닫게 될 수도 있는 바와 같이, 상기 운반 축(TX)이 연장되는 방향이 변화되게 하기 위하여 다른 모듈들이 상기 진공 모듈(2040’)에 연결될 수 있도록, 진공 모듈(2040’)과 같은 진공 모듈들은 하나 이상의 측부들 상에 포트들(2040C1-2040C4)을 포함할 수 있다. 상기 진공 모듈(2040’)은, 상기 기판이 이송 경로(TX1)로부터 이송 경로(TX2)로 전이(transition)할 때 상기 기판의 결정 구조가 미리 결정된 정렬 위치(alignment position)에서 유지되도록, 상기 기판을 회전시킬 수 있는 회전 모듈(rotation module; 2040RR)을 포함할 수 있다. 상기 회전 모듈(2040RR)은, 상기 이송 경로들(TX1, TX2)을 따라 통행하는 운반 카트와 상기 자동화 모듈(2030) 안의 2개 이상의 이송 로봇들 사이에서 기판들의 인계를 촉진할 수 있는 인덱서/엘리베이터 또는 기판 버퍼의 부분(part)일 수 있다.Referring to Figure 22A, a processing device 2200 is conceptually illustrated in accordance with aspects of the disclosed embodiment. The processing module 2200 may be substantially similar to the processing device 2100 described above in which the automation module 2030 is connected to the EFEM 2060 through a vacuum tunnel 2010 and a vacuum module 2040. [ Lt; / RTI > Each of vacuum modules 2040 and / or vacuum tunnels 2010 may be configured to carry or maintain one or more substrates simultaneously, as described below. As may be realized, in order to increase the processing capability of the processing device, the processing device 2200 may be configured to include any suitable number of additional vacuum modules, as shown in Figure 22B, , Vacuum tunnels 2010A, and / or automation modules 2030A. Coupled or connected vacuum modules 2040, vacuum tunnels 2010 and automation modules 2030 extend along a transport axis (TX) to form a modular tunnel, It is noted that the modular tunnel can be extended to any suitable length, for example by adding the vacuum modules 2040, vacuum tunnels 2010 and automation modules 2030 mentioned above. Vacuum modules, such as vacuum module 2040 ', may be connected to one or more vacuum modules 2040' such that other modules may be connected to the vacuum module 2040 'so that the direction in which the transport axis TX extends may be changed, And may include ports 2040C1-2040C4 on the sides. The vacuum module 2040'is configured to maintain the crystal structure of the substrate at a predetermined alignment position when the substrate transitions from the transfer path TX1 to the transfer path TX2, And a rotation module 2040RR capable of rotating the rotation module 2040RR. The rotating module 2040RR is connected to an indexer / elevator 2020 that can facilitate the transfer of substrates between transporting carts traveling along the transporting paths TX1, TX2 and two or more transporting robots in the automation module 2030. [ Or a part of the substrate buffer.

본 명세서에 설명된 프로세싱 장치는, 상기 프로세싱 장치 안의 하나 초과의 위치에서 상기 프로세싱 장치로의/로부터의 기판들의 입/출(entry/exit)을 가능하게 하도록 구성될 수도 있다. 예를 들어 도 23a를 참조하면 EFEM(2060A, 2060B)은 진공 모듈들(2040A, 2040B, 2040C), 상기 진공 터널(2010) 및 상기 자동화 모듈들(2030A, 2030B)에 의해 형성된 운반 터널의 양 단부들에 연결될 수 있다. 여기에서, 일 양상에서는 기판들이 EFEM(2060A)을 통하여 상기 프로세싱 장치로 들어가고 EFEM(2060B)을 통하여 나올 수 있으며, 또는 그 반대도 마찬가지이다. 다른 양상들에서 상기 기판들은 EFEM(2060A 및 2060B) 중 임의의 하나 이상을 통하여 들어가고 나올 수 있다. 도 23b도 참조하면, 상기 프로세싱 장치로/로부터 기판들을 추가/제거하기 위한 입/출 지점은 상기 운반 터널의 단부들 사이에 배치될 수도 있다. 예를 들어, 진공 모듈(2040’)과 같은 진공 모듈들이 상기 운반 터널에 추가되어, 상기 운반 터널의 단부들 사이의, 중점(midpoint) 또는 다른 임의의 점에서의 EFEM(2060C)의 연결을 가능하게 할 수 있다. 여기에서, 일 양상에서는 기판들이 EFEM(2060A)을 통하여 상기 프로세싱 장치에 들어가고 EFEM(2060B) 및/또는 EFEM(2060C)을 통하여 나올 수 있다; 기판들이 EFEM(2060B)을 통하여 상기 프로세싱 장치에 들어가고 EFEM(2060A) 및/또는 EFEM(2060C)을 통하여 나올 수 있다; 기판들이 EFEM(2060C)을 통하여 상기 프로세싱 장치에 들어가고 EFEM(2060A) 및/또는 EFEM(2060B)을 통하여 나올 수 있다. 다른 양상들에서 상기 기판들은 EFEM(2060A, 2060B 및 2060C) 중 임의의 하나 이상을 통하여 들어가고 나옴으로써 상기 프로세싱 장치를 통하는 임의의 적합한 프로세스 흐름(process flow)이 형성될 수 있다.The processing apparatus described herein may be configured to enable entry / exit of substrates to / from the processing apparatus at more than one location in the processing apparatus. For example, referring to FIG. 23A, the EFEMs 2060A and 2060B are connected to both ends of a conveyance tunnel formed by the vacuum modules 2040A, 2040B and 2040C, the vacuum tunnel 2010 and the automation modules 2030A and 2030B, Lt; / RTI > Here, in one aspect, substrates may enter the processing device through EFEM 2060A and exit through EFEM 2060B, or vice versa. In other aspects, the substrates may enter and exit through any one or more of EFEMs 2060A and 2060B. 23B, an input / output point for adding / removing substrates to / from the processing apparatus may be disposed between the ends of the conveyance tunnel. For example, vacuum modules, such as vacuum module 2040 ', may be added to the conveyance tunnel to enable connection of the EFEM 2060C at midpoints or any other point between the ends of the conveyance tunnel . Here, in an aspect, substrates may enter the processing device through EFEM 2060A and exit through EFEM 2060B and / or EFEM 2060C; Substrates may enter the processing device through EFEM 2060B and exit through EFEM 2060A and / or EFEM 2060C; Substrates may enter the processing device through EFEM 2060C and exit through EFEM 2060A and / or EFEM 2060B. In other aspects, the substrates may enter and exit through any one or more of the EFEMs 2060A, 2060B, and 2060C to form any suitable process flow through the processing apparatus.

이제 도 25a 및 25b를 참조하면 상기 진공 터널(2010)은 하나 이상의 진공 터널 모듈들(2500A-2500n)을 포함할 수 있으며, 상기 하나 이상의 진공 터널 모듈들은 함께 밀봉 결합되어 임의의 적합한 길이를 갖는 진공 터널을 형성할 수 있다. 각각의 진공 터널 모듈(2500A-2500n)은, 상기 진공 터널 모듈들의 서로에의 연결 또는 본 명세서에 설명된 프로세싱 장치의 다른 임의의 적합한 모듈에의 연결을 가능하게 하도록, 상기 진공 터널 모듈(2500A-2500n)의 각각의 단부에서 연결 포트(2500P)를 포함할 수 있다. 이 양상에서 각각의 진공 터널 모듈(2500)은, 적어도 하나의 운반 카트 안내부(transport cart guide; 2510), 및 적어도 하나의 운반 카트(2530)를 개별의 진공 터널 모듈(2500)을 통하여 구동시키기 위한 적어도 하나의 모터 구성요소(motor component; 2520)를 포함한다. 상기 포트들(2500P)은 상기 포트들을 통한 상기 운반 카트들의 통과(passage)를 가능하게 하는 크기로 될(sized) 수 있다는 점이 주목된다. 깨닫게 될 수 있는 바와 같이, 2개 이상의 진공 터널 모듈들(2500)이 서로에 결합된 때에, 각각의 진공 챔버 모듈(2500)의 상기 적어도 하나의 운반 카트 안내부(2510)는 실질적으로 연속적인 운반 카트 안내부를 형성하며, 상기 실질적으로 연속적인 운반 카트 안내부는 상기 진공 터널(2010)의 길이방향 단부들(2010E1, 2010E2) 사이에서 상기 운반 카트(2530)의 통과를 가능하게 하기 위하여 상기 진공 터널(2010)을 통하여 연장된다. 상기 진공 챔버 모듈들(2500) 각각의 상기 적어도 하나의 모터 구성요소(2520)도 실질적으로 연속적인 모터 구성요소를 형성하며, 상기 실질적으로 연속적인 모터 구성요소는 상기 진공 터널(2010)의 단부들(2010E1, 2010E2) 사이에서 상기 운반 카트의 실질적으로 연속적인 구동 움직임(driving movement)을 가능하게 한다.25a and 25b, the vacuum tunnel 2010 may include one or more vacuum tunnel modules 2500A-2500n, the one or more vacuum tunnel modules may be sealed together to form a vacuum having any suitable length Tunnel can be formed. Each vacuum tunnel module 2500A-2500n is coupled to the vacuum tunnel module 2500A-2500n so as to enable connection of the vacuum tunnel modules to each other or to any other suitable module of the processing device described herein. 2500n at the respective ends of the connection ports 2500P. In this aspect, each vacuum tunnel module 2500 is configured to drive at least one transport cart guide 2510, and at least one carry cart 2530 through a respective vacuum tunnel module 2500 And at least one motor component 2520 for the motor. It is noted that the ports 2500P may be sized to allow passage of the carts through the ports. As can be realized, when the two or more vacuum tunnel modules 2500 are coupled to each other, the at least one transfer cart guide 2510 of each vacuum chamber module 2500 is substantially continuous Wherein the substantially continuous transport cart guide is configured to guide the vacuum tunnel (2010E2) to allow passage of the cart (2530) between the longitudinal ends (2010E1, 2010E2) of the vacuum tunnel 2010). The at least one motor component 2520 of each of the vacuum chamber modules 2500 also forms a substantially continuous motor component that is connected to the ends of the vacuum tunnel 2010 (2010E1, 2010E2) to enable substantially continuous driving movement of the cart.

도 26a, 26b, 26c 및 27b도 참조하면, 상기 적어도 하나의 운반 카트(2530, 2531, 2530’, 2531’) 각각은 베이스(2530B, 2530B’) 및 상기 베이스(2530B, 2530B’)로부터 연장되는 적어도 하나의 기판 홀더(2530S, 2531S, 2530S’, 2531S’)를 포함할 수 있다. 일 양상에서 상기 기판 홀더(2530S, 2531S, 2530S’, 2531S’)는 개별의 베이스(2530B, 2530B’)로부터 캔틸레버식으로 될(cantilevered) 수 있는 반면, 다른 양상들에서 상기 기판 홀더(2530S, 2531S, 2530S’, 2531S’)는 임의의 적합한 방식으로 개별의 베이스(2530B, 2530B’)로부터 지지될 수 있다. 상기 기판 홀더(2530S, 2531S, 2530S’, 2531S’)는, 아래에서 더 상세하게 설명될 바와 같이 하나 이상의 기판들(S)을 능동적으로 또는 수동적으로(actively or passively) 파지/유지(gripping/holding)하기 위하여 임의의 적합한 형상을 가질 수 있다. 상기 베이스(2530B, 2530B’)는, 상기 운반 카트(2530, 2531, 2530’, 2531’)의 상기 진공 터널(2010)을 통한 움직임을 가능하게 하기 위하여 상기 적어도 하나의 모터 구성요소(2520, 2521, 2520’, 2521’) 중 개별의 하나 및 상기 적어도 하나의 운반 카트 안내부(2510, 2510’)의 개별의 하나와 정합(interface with)되도록 임의의 적합한 방식으로 구성될 수 있다. 깨닫게 될 수 있는 바와 같이, 상기 진공 터널이 하나 초과의 운반 카트를 포함하는 경우, 상기 운반 카트들 각각은, 다른 운반 카트들이 상기 터널 안에서 기판들을 운반하고 있는 동시에 상기 터널 안에서 기판들을 이송할 수 있다(즉 하나 초과의 기판이 동시에 상기 터널 안에서 운반될 수 있다). 일 양상에서는 상기 운반 카트(2530)는 수동식(passive) 운반 카트(예컨대 상기 카트는 실질적으로 고정되고 정지된 기판 홀더를 구비한다)인 것으로 본 명세서에 설명되고 도시되는 반면에 다른 양상들에서는 상기 운반 카트가, 카트로 운반되는(cart borne) 이송 아암을 포함하는 능동식 카트일 수 있으며, 상기 카트로 운반되는 이송 아암은, 상기 진공 터널(2010)의 단부들을 지나(past) 연장될 수 있는 하나 이상의 관절식 링크들을 구비한다. 운반 카트들의 적합한 예시들은, 미국 특허 번호 제8,197,177호; 제8,129,984호; 제7,959,395호; 제7,901,539호; 제7,575,406호; 및 5,417,537호, 및 미국 특허 공개공보 번호 제2012/0076626호; 제2011/0158773호; 제2010/0329827호; 제2009/0078374호 및 제2009/0191030호에서 찾을 수 있으며, 그것들의 개시는 그 전체가 본 명세서에 참조 병합된다.26A, 26B, 26C and 27B, each of the at least one transport carts 2530, 2531, 2530 ', 2531' extends from the bases 2530B, 2530B 'and the bases 2530B, 2530B' And at least one substrate holder 2530S, 2531S, 2530S ', 2531S'. In one aspect, the substrate holders 2530S, 2531S, 2530S ', and 2531S' may be cantilevered from separate bases 2530B and 2530B ', while in other aspects the substrate holders 2530S, 2531S' , 2530S ', 2531S') can be supported from separate bases 2530B, 2530B 'in any suitable manner. The substrate holders 2530S, 2531S, 2530S ', 2531S' actively or passively gripping / holding one or more substrates S as will be described in more detail below ). ≪ / RTI > The bases 2530B and 2530B 'are connected to the at least one motor component 2520, 2521' to enable movement through the vacuum tunnel 2010 of the transport carts 2530, 2531, 2530 ', and 2531' , 2520 ', 2521' and an individual one of the at least one transport cart guide (2510, 2510 '). As can be realized, when the vacuum tunnel comprises more than one transport carts, each of the transport carts can transport substrates within the tunnel while other transport carts are transporting the substrates within the tunnel (I.e. more than one substrate can be simultaneously transported in the tunnel). In one aspect, the transport cart 2530 is described and illustrated herein as being a passive transport cart (e.g., the cart has a substantially stationary and stationary substrate holder), while in other aspects, The cart may be an active cart including a cart borne transfer arm, the cart carrying conveyor arm may be a one that can extend past the ends of the vacuum tunnel 2010 The above articulated links are provided. Suitable examples of transport carts are described in U.S. Patent Nos. 8,197,177; 8,129,984; 7,959, 395; 7,901,539; 7,575,406; And 5,417,537, and U.S. Patent Publication No. 2012/0076626; 2011/0158773; 2010/0329827; 2009/0078374 and 2009/0191030, the disclosures of which are incorporated herein by reference in their entirety.

도 26a, 26b, 26c 및 27b에 보여질 수 있는 바와 같이 상기 베이스(2530B, 2530B’)는 일반적으로 상기 진공 챔버 모듈(2500, 2500’)의 측방향 측부를 향해 배치되지만 다른 양상들에서 상기 베이스는 임의의 적합한 위치에 배치될 수 있다. 또한 상기 기판 홀더들(2530S, 2531S, 2530S’, 2531S’)은 일반적으로 진공 챔버 모듈(2500, 2500’)의 중심선(centerline; CL)을 향하여 상기 베이스(2530B, 2530B’)로부터 연장되는 것으로 도시되나, 다른 양상들에서 상기 기판 홀더들(2530S, 2531S, 2530S’, 2531S’)은 상기 진공 챔버 모듈들(2500, 2500’) 안에서 기판들(S)을 지지하기 위하여 임의의 적합한 방향으로 연장될 수 있다. 깨닫게 될 수 있는 바와 같이, 상기 진공 챔버 모듈(2500, 2500’) 안에 하나 초과의 운반 카트(2530, 2531, 2530’, 2531’)가 있는 경우에 상기 기판 홀더들(2530S, 2531S, 2530S’, 2531S’)은 상이한 이격된 평면들(different spaced apart planes; 2698, 2699) 안에 배치될 수 있으며, 그럼으로써 상기 운반 카트들(2530, 2531, 2530’, 2531’)은 상기 진공 챔버 모듈들(2500, 2500’) 안에서 서로의 옆을 지나갈(pass by one another) 수 있다. 도면들에 2개의 평면들(2698, 2699)만이 도시되어 있는 동안에도 임의의 적합한 수의 이송 평면들 및 그 이송 평면들 내에서 작동하는 상응하는 기판 홀더들이 있을 수 있다는 점이 이해되어야 한다. 깨닫게 될 수 있는 바와 같이, 상기 운반 카트들(2530, 2531, 2530’, 2531’)과 정합하는(interfacing with) 운반 로봇들은, 양 운반 평면(2698, 2699)을 따라 옮겨지는 기판들에 접근하기 위한 임의의 적합한 양의 Z-움직임 능력(capability)을 가질 수 있다.As can be seen in FIGS. 26A, 26B, 26C and 27B, the bases 2530B and 2530B 'are generally oriented towards the lateral sides of the vacuum chamber modules 2500 and 2500', but in other aspects, May be disposed at any suitable location. The substrate holders 2530S, 2531S, 2530S ', and 2531S' extend from the bases 2530B and 2530B 'toward the centerline CL of the vacuum chamber modules 2500 and 2500' In other aspects, however, the substrate holders 2530S, 2531S, 2530S ', and 2531S' may extend in any suitable direction to support the substrates S within the vacuum chamber modules 2500, 2500 ' . As can be realized, the substrate holders 2530S, 2531S, 2530S ', 2530S', and 2530S ', when there are more than one transfer carts 2530, 2531, 2530', and 2531 'in the vacuum chamber module 2500, 2500' 2531 'may be disposed in different spaced apart planes 2698, 2699 such that the transfer carts 2530, 2531, 2530', 2531 'are connected to the vacuum chamber modules 2500 , 2500 ') to pass by one another. It should be understood that while there are only two planes 2698, 2699 shown in the figures, there may be any suitable number of transport planes and corresponding substrate holders operating within their transport planes. As can be realized, the carrying robots interfacing with the conveying carts 2530, 2531, 2530 ', 2531' are adapted to access the substrates being moved along both conveying planes 2698, 2699 Lt; RTI ID = 0.0 > Z-motion < / RTI >

각각의 진공 챔버 모듈(2500)의 상기 적어도 하나의 모터 구성요소(2520) 및 운반 카트 안내부(2510)는, 상기 진공 터널(2010)을 통한 상기 운반 카트(2530)의 구동 및 상기 운반 카트(2530)와의 정합을 위한 임의의 적합한 모터 구성요소 및 안내부일 수 있다. 일 양상에서 도 25a-26c에 도시된 바와 같이, 상기 적어도 하나의 모터 구성요소는 상기 진공 챔버 모듈들(2500)의 각각의 측방향 측부들 상에 배치될 수 있다. 다른 양상들에서, 도 27a 및 27b를 참조하면 상기 적어도 하나의 모터 구성요소는 상기 진공 챔버 모듈들(2500) 각각의 하단 또는 상단에 배치될 수 있다. 예를 들어 상기 모터 구성요소(2520)는, (예컨대 상기 운반 카트를 구동 및 부상시키는 정지된 권선들을 구비하는) 자기 부상 장치, (예컨대 상기 체인/케이블에 의해 상기 카트가 상기 진공 터널을 통하여 끌리거나/밀어넣어지는) 체인/케이블 구동기, (예컨대 볼 스크루에 의해 상기 카트가 상기 진공 터널을 통하여 끌리거나/밀어넣어지는) 볼 스크루 구동기(ball screw drive), (예컨대 이동가능 자석이 상기 진공 터널의 길이를 따라 구동되고 상기 운반 카트는 상기 이동가능 자석에 자기적으로 결합되는 자석들을 포함함으로써, 상기 이동가능 자석이 상기 진공 터널의 길이를 따라 구동됨에 따라 상기 운반 카트가 상기 이동가능 자석에 의해 구동되는) 자기 결합 구동기(magnetic coupling drive), 또는 그것들의 임의의 조합 또는 다른 임의의 적합한 구동기와 같은, 임의의 적합한 구동 시스템의 임의의 구성요소일 수 있거나 상기 임의의 적합한 구동 시스템의 임의의 구성요소를 포함할 수 있다. 상기 운반 카트 안내부(2510)는, 예를 들어 접촉 안내 부재(contact guide member)(예컨대 하나 이상의 레일들, 롤러들, 베어링들 등등) 또는 비접촉 안내 부재(contactless guide member)(예컨대 자기적, 자기 부상) 안내 부재들일 수 있다. 비접촉 운반 카트 안내부 및 접촉 운반 카트 안내부 및 구동 시스템들의 적합한 예시들은, 예를 들어 미국 특허 번호 제8,197,177호; 제8,129,984호; 제7,959,395호; 제7,901,539호; 제7,575,406호; 및 제5,417,537호, 및 미국 특허 공개공보 번호 제2012/0076626호; 제2011/0158773호; 제2010/0329827호; 제2009/0191030호; 및 제2009/0078374호에서 찾을 수 있으며, 그것들의 개시는 그 전체가 본 명세서에 참조 병합된다.The at least one motor component 2520 and the conveyance cart guide 2510 of each vacuum chamber module 2500 are configured to drive the conveyance cart 2530 through the vacuum tunnel 2010, 2530. In one embodiment, In one aspect, as shown in FIGS. 25A-26C, the at least one motor component may be disposed on each of the lateral sides of the vacuum chamber modules 2500. In other aspects, referring to FIGS. 27A and 27B, the at least one motor component may be disposed at the bottom or top of each of the vacuum chamber modules 2500. For example, the motor component 2520 may include a magnetic levitation device (e.g., having stationary windings that drive and lift the transport cart), a magnetic levitation device that drives the cart A ball screw drive (e.g. a ball screw drive in which the cart is pulled / pushed through the vacuum tunnel by a ball screw), a movable screw (e.g., Wherein the transport cart comprises magnets magnetically coupled to the movable magnet such that as the movable magnet is driven along the length of the vacuum tunnel the transport cart is moved by the movable magnet Magnetic coupling drive, or any combination thereof, or any other suitable actuator, , It can be any component of any suitable drive system, or may comprise any of the components of the any suitable drive system. The conveyance cart guide 2510 may include a guide guide member (e.g., one or more rails, rollers, bearings, etc.) or a contactless guide member Floating) guide members. Suitable examples of contactless carriage guide and contact carriage guide and drive systems are described, for example, in U.S. Patent Nos. 8,197,177; 8,129,984; 7,959, 395; 7,901,539; 7,575,406; And 5,417,537, and U.S. Patent Publication No. 2012/0076626; 2011/0158773; 2010/0329827; 2009/0191030; And 2009/0078374, the disclosures of which are incorporated herein by reference in their entirety.

도 26a, 26b, 26c 및 27b에 도시된 바와 같은 일 양상에서, 상기 적어도 하나의 운반 카트 안내부(2510)는, 상기 베이스(2530B, 2530B’) 가 올라타서 따라가는(ride along) 레일 또는 베어링일 수 있다. 깨닫게 될 수 있는 바와 같이, 이 양상에서 상기 적어도 하나의 운반 카트 안내부(2510, 2510’)는 개별의 운반 카트(2530)를 물리적으로 지지(예컨대 접촉)할 수 있다. 상기 적어도 하나의 모터 구성요소(2520)는 하나 이상의 정지된 권선들(2520W)을 포함할 수 있으며, 상기 운반 카트(2530, 2531, 2530’, 2531’)는, 상기 적어도 하나의 운반 카트(2530, 2531, 2530’, 2531’) 중 개별의 하나를 상기 적어도 하나의 운반 카트 안내부(2510, 2510’) 중 개별의 하나를 따라 구동시키기 위하여 상기 권선들(2520W)과 정합하는(interface with) 하나 이상의 자기 플래턴들(magnetic platens; 2530P)을 포함할 수 있다. 상기 자기 플래턴들(2530P)은, 임의의 적합한 방식으로 상기 운반 카트 베이스(2530B, 2530B’)와 일체로 되거나 상기 운반 카트 베이스(2530B, 2530B’)에 부착(affix)될 수 있다. 상기 적어도 하나의 모터 구성요소(2520, 2521)는 예컨대 컨트롤러(120)(도 1)와 같은 임의의 적합한 컨트롤러에 연결될 수 있는 바, 여기에서 상기 컨트롤러(120)는 상기 운반 카트(2530, 2531, 2530’, 2531’) 중 개별의 하나를 구동시키기 위하여 상기 권선들을 제어하도록 구성 또는 프로그램(program)될 수 있다. 임의의 적합한 실드(들)(shield(s))(2620, 2620’)가 상기 적어도 하나의 운반 카트 안내부(2510, 2510’)와 상기 적어도 하나의 운반 카트(2530, 2531, 2530’, 2531’)의 상호작용에 의해 발생되는 임의의 입자들을 실질적으로 담음으로써 상기 진공 터널(2010) 안에서 운반되는 기판들(S)에 상기 입자들이 옮겨가지 않게끔 방지하기 위하여, 상기 임의의 적합한 실드(들)(shield(s))(2620, 2620’)가 상기 적어도 하나의 운반 카트 안내부(2510, 2510’)에 인접하여 배치될 수 있다. 깨닫게 될 수 있는 바와 같이, 상기 결합된 진공 챔버 모듈들(2500A-2500n)에 의해 형성된 운반 커널의 단부들 사이에서 상기 적어도 하나의 운반 카트(2530)의 위치를 추적(track)하기 위하여, 임의의 적합한 위치 피드백 장치(들)(2610)가 상기 적어도 하나의 운반 카트(2530) 및 진공 챔버 모듈(2500) 중 하나 이상의 위에(on) 포함될 수 있다. 상기 위치 피드백 장치(들)(2610)는, 상기 컨트롤러(120)에 신호를 송신하기 위하여 상기 컨트롤러(120)에 연결될 수 있는 바, 상기 컨트롤러(120)는 (예컨대 상기 운반 터널 안에 미리 결정된 위치로 상기 적어도 하나의 운반 카트(2530)를 구동시키도록) 상기 권선들(2520W)을 제어하기 위해 이용될 수 있다. 위치 피드백 장치들의 적합한 예시들은 예를 들어 미국 특허 제8,129,984호 및 미국 특허 공개공보 제2009/0033316호에서 찾을 수 있으며, 그것들의 개시는 그 전체가 본 명세서에 참조 병합된다.In one aspect as shown in Figures 26a, 26b, 26c and 27b, the at least one carriage guide portion 2510 includes a rail or bearing < RTI ID = 0.0 > . As can be realized, in this aspect, the at least one cart guide 2510, 2510 'can physically support (e.g., contact) the individual cart 2530. The at least one motor component 2520 may include one or more stationary windings 2520W and the transport carts 2530, 2531, 2530 ', 2531' may include at least one transport cart 2530 , 2531, 2530 ', 2531' to interface with the windings 2520W to drive one of the at least one of the at least one transport cart guide 2510, 2510 ' And may include one or more magnetic platens 2530P. The magnetic platens 2530P may be integrated with or affixed to the transport cart bases 2530B and 2530B 'in any suitable manner. The at least one motor component 2520,2521 may be coupled to any suitable controller, such as controller 120 (Fig. 1), wherein the controller 120 is coupled to the transport carts 2530, 2531, 2530 ', 2531' to control the windings to drive one of the individual ones. Any suitable shield (s) 2620, 2620 'may be provided between the at least one transport cart guide 2510, 2510' and the at least one transport cart 2530, 2531, 2530 ', 2531' To prevent the particles from migrating to the substrates S transported in the vacuum tunnel 2010 by substantially taking away any particles generated by the interaction of the particles in the vacuum tunnel 2010, shields 2620 and 2620 'may be disposed adjacent to the at least one transport cart guide 2510 and 2510'. In order to track the position of the at least one carry cart 2530 between the ends of the transport kernels formed by the combined vacuum chamber modules 2500A-2500n as may be realized, Suitable position feedback device (s) 2610 may be included on at least one of the at least one carry cart 2530 and the vacuum chamber module 2500. The position feedback device (s) 2610 may be coupled to the controller 120 to transmit signals to the controller 120, such that the controller 120 may control the position feedback device (s) May be used to control the windings 2520W (to drive the at least one cart 2530). Suitable examples of position feedback devices can be found, for example, in U.S. Patent No. 8,129,984 and U.S. Patent Publication No. 2009/0033316, the disclosures of which are incorporated herein by reference in their entirety.

도 28a을 참조하면, (진공 터널(2010)과 실질적으로 유사할 수 있는) 진공 터널(2800)의 일부분은, 예시적인 목적만으로 2개의 진공 터널 모듈들(2500)을 갖는 것으로 도시된다. 일 양상에서 상기 진공 터널(2800) 안에서 작동하는 운반 카트들(2530, 2531)의 기판 홀더들(2530S, 2531S)은 상기 진공 터널(2800) 안에서 길이방향으로 연장됨으로써, 상기 기판 홀더들(2530S, 2531S) 상에 유지되는 기판(S)을 진공 모듈들(2040, 2040A, 2040B)과 같은 임의의 적합한 기판 유지 스테이션으로 이송하기 위하여 또는 예를 들어 EFEM(2060) 또는 자동화 모듈(2030) 안에 배치된 이송 로봇에 실질적으로 직접 상기 기판들(S)을 인계하기 위하여, 각각의 기판 홀더(2530S, 2531S)가 미리 결정된 거리(DE)만큼 상기 터널 밖으로 연장되도록 구성될 수 있다. 다른 양상들에서 상기 기판 홀더들(2530S, 2531S)은 임의의 적합한 구성 또는 형상을 가질 수 있다. 이 양상에서 상기 기판 홀더들(2530S, 2531S)은, 예컨대 상기 진공 터널(2800)의 길이방향 단부(2800E1)를 향하여, 공통의 방향을 바라보며(facing), 그럼으로써 상기 기판 홀더들(2530S, 2530S1)은 기판들(S)을 이송하기 위하여 상기 단부(2800E1)를 지나서 연장될 수만 있다. 깨닫게 될 수 있는 바와 같이, 본 명세서에 설명된 이송 로봇들 같이, 상기 진공 터널(2800)의 길이방향 단부(2800E2)에서 배치되는 임의의 자동화부(automation)는, 실질적으로 직접 상기 기판 홀더들(2530S, 2531S)에 기판들(S)을 픽킹하고 위치시키기 위하여, 미리 결정된 양(DL) 만큼 상기 진공 터널(2800) 안으로 연장되도록 구성될 수 있다.28A, a portion of a vacuum tunnel 2800 (which may be substantially similar to a vacuum tunnel 2010) is shown having two vacuum tunnel modules 2500 for illustrative purposes only. The substrate holders 2530S and 2531S of the transport carts 2530 and 2531 operating in the vacuum tunnel 2800 extend in the longitudinal direction in the vacuum tunnel 2800 so that the substrate holders 2530S, 2030A, 2040B, or any suitable substrate holding station such as, for example, an EFEM 2060 or an automation module 2030. The vacuum module 2040, 2040A, 2040B, Each substrate holder 2530S, 2531S may be configured to extend out of the tunnel by a predetermined distance DE to take over the substrates S substantially directly to the transfer robot. In other aspects, the substrate holders 2530S, 2531S may have any suitable configuration or shape. In this aspect, the substrate holders 2530S and 2531S face a common direction, for example toward the longitudinal end 2800E1 of the vacuum tunnel 2800 so that the substrate holders 2530S and 2530S1 May extend past the end 2800E1 for transfer of the substrates S. As can be realized, any automation that is disposed at the longitudinal end 2800E2 of the vacuum tunnel 2800, such as the transfer robots described herein, may be substantially directly connected to the substrate holders 2530S, 2531S, to extend the vacuum tunnel 2800 by a predetermined amount DL to pick and position the substrates S.

도 28b 및 28c를 참조하면 진공 터널(2800’)의 일부분은 예시적인 목적만으로 2개의 진공 터널 모듈들(2500) 및 정합 모듈(2820)을 구비하는 것으로 도시된다. 도 28에 보여질 수 있는 바와 같이 상기 진공 터널(2800’) 안에서 작동하는 (도 28a에 대하여 위에서 설명된 운반 카트들과 실질적으로 유사할 수 있는) 2개의 운반 카트들(2530, 2531)이 있다. 개시된 실시예의 이 양상에서도, 상기 운반 카트들의 기판 홀더들(2530S, 2531S)은 상기 진공 터널(2800’) 안에서 길이방향으로 연장되지만, 상기 기판 홀더들은 공통의 방향으로 연장되기보다는 반대 방향들로 연장된다(기판 홀더(2530S)는 단부(2800E1)를 향하여 연장되며 기판 홀더(2531S)는 단부(2800E2)를 향하여 연장된다). 이 양상에서 상기 기판 홀더(2530S)는, 도 28a에 대하여 위에서 설명된 것과 유사한 방식으로 상기 기판 홀더(2530S)와 임의의 적합한 기판 유지 스테이션 및/또는 이송 로봇 사이에서 기판들을 이송하기 위하여, 상기 진공 터널(2800’)의 상기 단부(2800E1)를 지나서 연장된다. 이와 유사하게 상기 기판 홀더(2531S)는, 도 28a에 대하여 위에서 설명된 것과 유사한 방식으로 상기 기판 홀더(2531S)와 임의의 적합한 기판 유지 스테이션 및/또는 이송 로봇 사이에서 기판들을 이송하기 위하여 상기 진공 터널(2800’)의 상기 단부(2800E2)를 지나서 연장된다. 일 양상에서 상기 기판 홀더(2531S) 상에 위치된 기판들은 기판 홀더(2530S)로 이송되어, 기판 홀더(2531S)가 상기 단부(2800E1)를 지나서 연장될 수 없을 때에 상기 기판이 이송 로봇의 기판 유지 위치에 이송될 수 있도록 하며, 그 반대도 마찬가지이다. 그것으로써, 적어도 하나의 정합 모듈(2820)은 진공 터널 모듈들(2500) 사이에 배치될 수 있으며 상기 기판 홀더들(2530S, 2531S) 사이에서 기판들(S)의 이송을 가능하게 하도록 구성될 수 있다. 예를 들어 정합 모듈(2820)은, 화살표(2899)의 방향으로(예컨대 상기 기판들의 이송 평면에 실질적으로 직각인 방향으로) 이동가능한 기판 지지체(substrate support; 2820E)를 포함할 수 있다. 상기 정합 모듈(2820)은 상기 진공 챔버 모듈들에 대하여 위에서 설명된 것과 실질적으로 유사한 방식으로 상기 운반 카트들(2530, 2531)을 위한 안내 레일들 및 모터들 구성요소들을 포함할 수 있다. 상기 기판 지지체(2820E)는, 상기 기판들의 상기 기판 홀더들(2530S, 2531S) 사이에서의 이송을 위해, 상기 운반 카트들(2530, 2531)이 상기 정합 모듈(2820)을 통과하는 것을 가능하게 하도록, 그리고 상기 기판 홀더들(2530S, 2531S) 상에 유지되는 기판들(S)의 상기 기판 지지체(2820E)와의 정렬을 가능하게 하도록, 구성될 수 있다. 예를 들어 운반 카트(2531)로부터 운반 카트(2530)로의 기판의 이송을 위하여, 상기 컨트롤러(120)(도 1)는, 상기 기판이 상기 기판 지지체(2820E)와 정렬되게끔 상기 운반 카트(2531)가 위치되도록, 상기 운반 카트(2531)를 제어할 수 있다. 상기 기판 지지체(2820E)는 상기 기판 홀더(2531S)로부터 상기 기판(S)을 들어올리도록(lift) 화살표(2899)의 방향으로 움직일 수 있다. 상기 컨트롤러(120)는 상기 운반 카트(2531)가 상기 기판 지지체(2820E)로부터 멀어지게 움직이도록 할 수 있으며, 상기 기판 홀더(2530S)를 상기 기판 지지체(2820E)와 정렬하기 위하여 상기 운반 카트(2530)를 제어할 수 있다. 상기 기판 지지체(2820E)는, 상기 기판(S)을 상기 기판 홀더(2530S) 상에 위치시키기 위하여 상기 방향(2899)으로 움직일 수 있다. 깨닫게 될 수 있는 바와 같이 일 양상에서 임의의 적합한 센서들(2820SS)이 상기 정합 모듈(2820) 안에 제공될 수도 있으며, 상기 기판을 미리 결정된 배향(orientation)으로 정렬하기 위하여 상기 기판 지지체(2820E)에 의해 회전되는 기판을, 상기 센서들이 스캐닝(scan)할 수 있도록 상기 기판 지지체(2820E)가 회전가능할 수 있다. 다른 일 양상에서 상기 기판 지지체(2820E)는 임의의 적합한 구동 메커니즘에 의해 화살표(2898)의 방향으로 이동가능할 수 있으며, 그럼으로써 상기 센서들(2820SS)이 상기 기판을 스캐닝할 수 있으며, 상기 기판 지지체(2820E)는, 상기 기판을 상기 운반 카트들의 기판 홀더들 상에 중심정렬(centering)시키기 위하여 화살표(2898)의 방향으로 움직일 수 있다.28B and 28C, a portion of the vacuum tunnel 2800 'is shown having two vacuum tunnel modules 2500 and a matching module 2820 for illustrative purposes only. There are two transport carts 2530 and 2531 (which may be substantially similar to the transport carts described above with respect to Fig. 28A) operating within the vacuum tunnel 2800 'as can be seen in Fig. 28 . In this aspect of the disclosed embodiment, the substrate holders 2530S, 2531S of the transport carts extend longitudinally in the vacuum tunnel 2800 ', but the substrate holders extend in opposite directions rather than extend in a common direction (Substrate holder 2530S extends toward end 2800E1 and substrate holder 2531S extends toward end 2800E2). In this aspect, the substrate holder 2530S can be used to transfer substrates between the substrate holder 2530S and any suitable substrate holding station and / or transfer robot in a manner similar to that described above with respect to Figure 28A, Extends beyond the end 2800E1 of the tunnel 2800 '. Similarly, the substrate holder 2531S may be coupled to the substrate holder 2531S in a manner similar to that described above with respect to Fig. 28A, for transferring substrates between the substrate holder 2531S and any suitable substrate holding station and / Extends beyond the end 2800E2 of the second end 2800 '. In one aspect, the substrates positioned on the substrate holder 2531S are transferred to the substrate holder 2530S so that when the substrate holder 2531S can not extend past the end 2800E1, To be transported to a location, and vice versa. Thereby, at least one matching module 2820 can be disposed between the vacuum tunnel modules 2500 and configured to enable transfer of the substrates S between the substrate holders 2530S, 2531S. have. For example, the registration module 2820 may include a substrate support 2820E that is movable in the direction of arrow 2899 (e.g., in a direction substantially perpendicular to the transport plane of the substrates). The matching module 2820 may include guide rails and motor components for the transport carts 2530, 2531 in a manner substantially similar to that described above for the vacuum chamber modules. The substrate support 2820E is configured to enable the transport carts 2530 and 2531 to pass through the registration module 2820 for transfer between the substrate holders 2530S and 2531S of the substrates And alignment of the substrates S held on the substrate holders 2530S and 2531S with the substrate support 2820E. For example, the controller 120 (FIG. 1) may be mounted to the transport cart 2531 so that the substrate is aligned with the substrate support 2820E, for transporting the substrate from the transport cart 2531 to the transport cart 2530. [ Can be positioned, as shown in FIG. The substrate support 2820E may move in the direction of an arrow 2899 to lift the substrate S from the substrate holder 2531S. The controller 120 may cause the transport cart 2531 to move away from the substrate support 2820E and the transport cart 2530E to align the substrate holder 2530S with the substrate support 2820E. Can be controlled. The substrate support 2820E may be moved in the direction 2899 to position the substrate S on the substrate holder 2530S. Any suitable sensors 2820SS in one aspect may be provided in the matching module 2820 as may be realized and may be provided in the substrate support 2820E to align the substrate in a predetermined orientation The substrate support 2820E may be rotatable such that the sensors may be scanned by the substrate support 2820E. In another aspect, the substrate support 2820E may be movable in the direction of arrow 2898 by any suitable drive mechanism so that the sensors 2820SS may scan the substrate, The substrate holder 2820E can be moved in the direction of arrow 2898 to center the substrate on the substrate holders of the transport carts.

도 30a 및 30b를 참조하면 개시된 실시예의 일 양상에서 상기 진공 터널들 안에서 작동하는 운반 카트들은, 각각의 운반 카트가 상기 진공 터널의 양 단부들을 지나서 연장될 수 있도록, 회전가능한 기판 홀더들을 포함할 수 있다. 예를 들어 (운반 카트들(2530, 2531)과 실질적으로 유사할 수 있는) 운반 카트(3030)는, 안내 부재(2510, 2510’)에 올라타서 따라가도록(ride along) 구성된 베이스(3030B), 및 기판 홀더 지지부(support section; 3030S)를 포함한다. 기판 홀더(3030S1)는, 상기 기판 홀더(3030S1)가 축(RX)을 중심으로 회전하도록 임의의 적합한 방식으로 상기 기판 홀더 지지부(3030S)에 회전가능하게 장착될 수 있다. 구동 결합 부재(drive coupling member; 3030M)는, 상기 기판 홀더가 상기 진공 터널의 양 단부들을 지나서 연장될 수 있도록 상기 기판 홀더(3030S1)를 상기 축(RX)을 중심으로 적어도 약 180° 회전시키기 위하여, 상기 기판 홀더(3030S1)에 결합될 수 있다. 깨닫게 될 수 있는 바와 같이, 기판들을 상기 기판 홀더로 그리고 상기 기판 홀더로부터 이송하기 위해 상기 기판 홀더가 상기 진공 터널의 상기 단부들을 지나서 연장되는 것을 가능하게 하기 위하여, 상기 기판 홀더(3030S1) 및/또는 상기 구동 결합 부재(3030M)는, 상기 기판 홀더(3030S1)를 미리 결정된 위치에 유지시키기 위한 임의의 적합한 기계적 또는 고체 상태 잠금 메커니즘(들)(mechanical or solid state locking mechanism(s); 3030L)을 포함할 수 있다. 일 양상에서 상기 기판 홀더(3030S1)의 길이(LL) 및 그것의 구성은, 상기 기판 홀더(3030S1)가 상기 진공 터널 안에서 임의의 지점에서 회전할 수 있도록 하는 것일 수 있다. 다른 양상들에서 상기 기판 홀더(3030S1)의 길이(LL)는, 상기 기판 홀더(3030S1)가 상기 진공 터널의 폭(WW)(도 31a) 안에서 회전할 수 없도록 하는 것일 수 있다. 도 31a를 참조하면, 상기 기판 홀더(3030S1)의 회전을 가능하게 하도록 (진공 터널(2010)과 실질적으로 유사할 수 있는) 상기 진공 터널(3100)은 배향 모듈(orientation module; 3120)을 포함할 수 있다. 상기 운반 카트(3030)가 상기 배향 모듈(3120)을 통과할 수 있게 하도록 상기 배향 모듈(3120)은, 위에서 설명된 것과 실질적으로 유사한 방식으로 안내 레일들 및 모터 구성요소들을 포함할 수 있다. 상기 배향 모듈(3120)은, 상기 기판 홀더(3030S1)의 방향을 변경시키기 위하여 상기 기판 홀더(3030S1)가 회전하는 것을 가능하게 하도록 형상화된 하우징을 구비할 수 있다. 이 양상에서 상기 배향 모듈(3120)은 상기 기판 홀더(3030S1)의 회전을 가능하게 하기 위하여 상기 하우징이 실질적으로 원형으로 형상화된 부분(substantially circular shaped portion; 3120R)을 갖는 것으로 도시되지만, 다른 양상들에서 상기 하우징은 임의의 적합한 형상 및/또는 구성을 가질 수 있다. 구동기(3110)는, 상기 운반 카트(3030)의 구동 결합 부재(3030M)와 정합하기 위하여 상기 배향 모듈(3120) 안에 배치될 수 있다. 예를 들어 상기 구동 결합 부재(3030M) 및 상기 구동기(3110)는, 비-접촉 방식으로 상기 구동 결합 부재(3030M)를 상기 구동기에 자기적으로 결합시키기 위한 하나 이상의 자석들을 포함할 수 있다. 다른 양상들에서 상기 구동 결합 부재(3030M) 및 상기 구동기(3110)는 임의의 적합한 방식으로 서로에 결합될 수 있다. 상기 잠금 메커니즘(들)(3030L)은, 상기 구동 결합 부재(3030M) 및 상기 구동기(3110)가 결합된 때에는 상기 잠금 메커니즘(들)이 해제되어 상기 기판 홀더(3030S1)의 회전이 가능하게 되고, 상기 구동 결합 부재(3030M) 및 상기 구동기(3110)가 비-결합된(de-coupled) 때에는 상기 잠금 메커니즘(들)(3030L)이 맞물리도록, 구성될 수 있다. 작동 중에 상기 컨트롤러(120)(도 1)는 상기 배향 모듈(3120) 내의 구동기(3110)와 상기 구동 결합 부재(3030M)를 정렬하도록 상기 운반 카트(3030)를 움직일 수 있다. 상기 기판 홀더(3030S1)가 상기 진공 터널(3100)의 양 단부들을 지나서 연장될 수 있도록 하게끔 상기 기판 홀더가 (회전 전의 상기 기판 홀더의 방향에 비하여) 실질적으로 반대 방향을 바라보도록, 상기 구동기(3110)는 상기 기판 홀더(3030S1)를 적어도 약 180° 회전시키기 위하여 작동될 수 있다.Referring to Figures 30A and 30B, in one aspect of the disclosed embodiment, the transport carts operating within the vacuum tunnels may include rotatable substrate holders such that each transport cart can extend past opposite ends of the vacuum tunnel have. For example, the transport cart 3030 (which may be substantially similar to the carts 2530 and 2531) comprises a base 3030B configured to ride on the guide members 2510 and 2510 ' And a substrate holder support section 3030S. The substrate holder 3030S1 may be rotatably mounted to the substrate holder support 3030S in any suitable manner such that the substrate holder 3030S1 rotates about an axis RX. A drive coupling member 3030M may be used to rotate the substrate holder 3030S1 about the axis RX by at least about 180 DEG so that the substrate holder may extend past both ends of the vacuum tunnel , And may be coupled to the substrate holder 3030S1. In order to enable the substrate holder to extend past the ends of the vacuum tunnel to transport substrates from and to the substrate holder, as can be realized, the substrate holder 3030S1 and / The drive engagement member 3030M includes any suitable mechanical or solid state locking mechanism (s) 3030L for holding the substrate holder 3030S1 in a predetermined position can do. In one aspect, the length LL of the substrate holder 3030S1 and its configuration may be such that the substrate holder 3030S1 can rotate at any point within the vacuum tunnel. In other aspects, the length LL of the substrate holder 3030S1 may be such that the substrate holder 3030S1 can not rotate within the width WW of the vacuum tunnel (Fig. 31A). 31A, the vacuum tunnel 3100 (which may be substantially similar to the vacuum tunnel 2010) to enable rotation of the substrate holder 3030S1 includes an orientation module 3120 . The orientation module 3120 may include guide rails and motor components in a manner substantially similar to that described above to allow the transport cart 3030 to pass through the orientation module 3120. [ The orientation module 3120 may include a housing configured to enable the substrate holder 3030S1 to rotate to change the orientation of the substrate holder 3030S1. In this aspect, the orientation module 3120 is illustrated as having a substantially circular shaped portion 3120R to enable rotation of the substrate holder 3030S1, although other aspects The housing may have any suitable shape and / or configuration. A driver 3110 may be disposed in the orientation module 3120 to match the drive coupling member 3030M of the carry cart 3030. [ For example, the drive coupling member 3030M and the driver 3110 may include one or more magnets for magnetically coupling the drive coupling member 3030M to the driver in a non-contact manner. In other aspects, the drive combining member 3030M and the driver 3110 may be coupled to each other in any suitable manner. The locking mechanism (s) 3030L is configured such that when the driving coupling member 3030M and the driving device 3110 are coupled, the locking mechanism (s) is released to enable rotation of the substrate holder 3030S1, The locking mechanism (s) 3030L may be configured to engage when the driving engagement member 3030M and the driver 3110 are de-coupled. In operation, the controller 120 (FIG. 1) may move the transport cart 3030 to align the drive 3110 in the orientation module 3120 with the drive engagement member 3030M. Such that the substrate holder 3030S1 extends substantially beyond opposite ends of the vacuum tunnel 3100 so that the substrate holder 3030S1 is substantially opposite to the direction of the substrate holder before rotation, May be actuated to rotate the substrate holder 3030S1 by at least about 180 DEG.

깨닫게 될 수 있는 바와 같이, 그리고 위에서 언급된 바와 같이 본 명세서에 설명된 기판 홀더들은 하나 초과의 기판을 유지하도록 구성될 수 있다. 예를 들어 도 29를 참조하면, 상기 기판 홀더들은 기판들의 일괄 이송(batch transfer)을 위하여 구성될 수 있다. 예를 들어 일괄 기판 홀더(2930)는, 상이한 이격된 평면들 안에서 기판들을 유지하기 위하여 임의의 적합한 수의 이격된 기판 지지체들(2930S1, 2930S2)을 포함할 수 있다. 상기 기판 홀더들은, 도 31c에 도시된 바와 같이, 실질적으로 동일한 평면 내에서 서로 일렬로 늘어선(in line with each other) 적어도 2개의 기판들을 유지할 수 있는 이중 단부(double ended) 기판 홀더들(3030S2)을 포함할 수도 있다. 다른 양상들에서 상기 기판 홀더들은 임의의 적합한 조합의, (예컨대 상이한 평면들 안에서 기판들을 유지하기 위한) 이격된 기판 홀더들 및 이중 단부 기판 홀더들을 구비할 수 있다. 깨닫게 될 수도 있는 바와 같이, 위에서 설명된 것들과 같은 운반 카트들은 기판들의 신속한 맞교환을 가능하게 할 수 있다. 예를 들어 각각의 카트가 동일 방향을 바라보는 기판 홀더들을 구비한 경우 하나의 운반 카트는 기판을 픽킹할 수 있으며 다른 운반 카트는 실질적으로 즉시 계속하여(in substantially immediate succession) 기판을 위치시킬 수 있다. 운반 카트가 일괄 기판 홀더를 포함하는 경우 상기 일괄 홀더 안의 일 지지체는 빈 채로 남을 수 있으며, 그럼으로써 프로세싱되지 않은 기판이 다른 지지체로부터 제거되는 동안에 프로세싱된 기판은 실질적으로 즉시 계속하여, 상기 빈 지지체 내에 위치될 수 있으며, 그 반대도 마찬가지이다. 상기 기판 홀더가 이중 단부 기판 홀더를 포함하는 경우 배향 챔버(3120)는 상기 진공 터널의 상기 단부들에서 위치될 수 있으며, 그럼으로써 실질적으로 즉시 계속하여, 상기 이중 단부 기판 홀더의 일 측부는 기판을 픽킹할 수 있고 상기 홀더는 회전될 수 있으며 상기 이중 단부 기판 홀더의 다른 측부는 기판을 위치시킬 수 있다.As can be realized, and as noted above, the substrate holders described herein can be configured to hold more than one substrate. For example, referring to FIG. 29, the substrate holders may be configured for batch transfer of substrates. For example, the bulk substrate holder 2930 may include any suitable number of spaced apart substrate supports 2930S1, 2930S2 to hold the substrates within the different spaced planes. The substrate holders include double ended substrate holders 3030S2 capable of holding at least two substrates in line with each other in substantially the same plane as shown in Figure 31C, . In other aspects, the substrate holders may comprise any suitable combination of spaced apart substrate holders (e.g., to hold substrates within different planes) and double end substrate holders. As may be realized, transport carts, such as those described above, may enable rapid swapping of substrates. For example, if each cart has substrate holders facing the same direction, one cart can pick the substrate and the other cart can position the substrate substantially in immediate succession . If the transfer cart comprises a bulk substrate holder, one of the supports in the bulk holder may remain empty, thereby allowing the processed substrate to continue substantially immediately, while the unprocessed substrate is being removed from the other support, Can be located, and vice versa. An alignment chamber 3120 may be positioned at the ends of the vacuum tunnel if the substrate holder comprises a dual end substrate holder so that substantially immediately thereafter the one side of the double end substrate holder The holder can be rotated and the other side of the double end substrate holder can position the substrate.

위에서 언급된 바와 같이 일 양상에서 본 명세서에 설명된 운반 카트들 중 하나 이상은, 상기 이송 카트 상에 배치된 이송 아암을 포함할 수 있고, 상기 이송 아암은, 기판을 픽킹하고 상기 진공 터널의 외측 또는 상기 진공 터널의 단부들 너머의 위치로 상기 기판을 위치시키기 위하여 신장 및 수축할 수 있다. 예를 들어 도 32를 참조하면 상기 운반 카트(3200)는 연장가능 아암 링크들을 구비한 아암(3200A)을 포함한다. 상기 링크들은, 상기 베이스 링크(3201)가 회전할 때 상기 기판 홀더(3203)가 상기 이송 경로(TX)를 따라 신장/수축하게끔 구속되도록 임의의 적합한 방식으로 서로에 연결될 수 있다. 일 양상에서 상기 운반 카트(3200)는 베이스 아암 구동기를 포함할 수 있으며 상기 베이스 아암 구동기는, 상기 운반 카트가 캠(cam; 3200C)을 통과함에 따라 상기 캠이 상기 베이스 아암 구동기에 맞물려서, 상기 기판 홀더(3203)를 연장시키기 위한 상기 베이스 아암(3201)의 회전을 야기하도록, 진공 터널 모듈(2500) 안에 미리 결정된 위치(예컨대 상기 진공 터널의 단부에서, 또는 기판들을 이송하도록 상기 아암이 연장될 임의의 적합한 위치에서)로서 배치된 상기 캠(3200C)에 맞물리도록 구성될 수 있다. 상기 기판 홀더(3203)를 수축시키기 위해 상기 운반 카트가 상기 캠으로부터 멀어지도록 움직일 수 있다. 상기 베이스 아암 구동기가 상기 캠과의 맞물림이 해제될 때에 상기 아암이 수축되도록, 상기 아암(3200A)은, 예컨대 스프링들 또는 다른 편향 부재들(biasing members)을 통하여 상기 수축된 구성으로 편향될 수 있다. 다른 양상들에서 상기 아암의 신장은 자기 결합 구동기를 통하여 구동될 수 있다. 예를 들어 모터 구성요소들(3301, 3302)은 상기 진공 터널 안에 미리 결정된 위치들에서 (예컨대 상기 진공 터널의 단부에서, 또는 기판들을 이송하도록 상기 아암이 연장될 임의의 적합한 위치에서) 상기 진공 터널 모듈(2500) 안에 배치될 수 있다. 상기 모터 구성요소들(3301, 3302)은, 예컨대 그 개시가 전체로서 본 명세서에 참조 병합된 미국 특허 번호 제7,959,395호에 설명된 방식으로 상기 아암(3320A)을 신장 및 수축시키기 위하여 상기 운반 카트(3320)의 이동가능 플래턴들(movable platens; 3310A, 3310B)을 구동하도록 구성될 수 있다. 또 다른 양상들에서 상기 운반 카트에 의해 옮겨지는 아암은 임의의 적합한 방식으로 구동될 수 있다. As mentioned above, in an aspect, at least one of the transport carts described herein may include a transfer arm disposed on the transfer cart, the transfer arm picking the substrate and moving the outer side of the vacuum tunnel Or extend and retract to position the substrate beyond the ends of the vacuum tunnel. For example, referring to FIG. 32, the transport cart 3200 includes an arm 3200A with extendable arm links. The links may be connected to each other in any suitable manner such that the substrate holder 3203 is constrained to extend / retract along the transport path TX when the base link 3201 is rotated. In one aspect, the transport cart 3200 may include a base arm driver, which is adapted to engage the base arm driver as the transport cart passes through a cam (3200C) (E.g., at the end of the vacuum tunnel, or within the vacuum tunnel module 2500) to cause rotation of the base arm 3201 to extend the holder 3203, (E.g., in a suitable position of the cam 3200C). The transfer cart may be moved away from the cam to retract the substrate holder 3203. [ The arm 3200A can be biased in the retracted configuration, e.g., via springs or other biasing members, such that the arm is retracted when the base arm actuator is disengaged from the cam . In other aspects, the elongation of the arm may be driven through a magnetic coupling actuator. For example, motor components 3301 and 3302 may be positioned within the vacuum tunnel at predetermined locations (e.g., at the end of the vacuum tunnel, or at any suitable location where the arm is to be extended to transport substrates) May be located within the module (2500). The motor components 3301 and 3302 may be used to move the arm 3320A in the manner described in U.S. Patent No. 7,959,395, the disclosure of which is incorporated herein by reference in its entirety, 3320 can be configured to drive movable platens 3310A, In yet other aspects, the arm transferred by the transport cart can be driven in any suitable manner.

깨닫게 될 수 있는 바와 같이, 본 명세서에 설명된 개시된 실시예들의 양상들에서 기판들이 예를 들어 상기 진공 터널 안에서 움직이는 운반 카트에 의해 운반되는 경우에, 임의의 자동화부(예컨대 위에서 설명된 바와 같이 정렬자들, 로봇들, 버퍼들 등등)는, 기판을 픽킹하고 상기 운반 카트 상의 기판 홀더로/로부터 위치시키기 위한 Z-움직임 능력들을 포함할 수 있다. 다른 양상들에서, 상기 운반 카트들은 기판들을 픽킹하고 위치시키기 위한 Z-움직임 능력을 포함할 수 있다.As can be realized, in the aspects of the disclosed embodiments described herein, when the substrates are transported, for example, by a transport cart moving within the vacuum tunnel, any automated part (e.g., Buffers, etc.) may include Z-motion capabilities for picking and positioning the substrate to / from the substrate holder on the transport cart. In other aspects, the transport carts may include Z-movement capabilities for picking and positioning substrates.

도 34a 및 34b를 참조하면 일괄 로드 록(3400A-D)이 도시된다. 상기 일괄 로드 록(3400A-D)은 그 개시가 전체로서 본 명세서에 참조 병합된 2008년 5월 19일자 출원된 미국 특허 번호 제12/123,391호에 설명된 것과 실질적으로 유사할 수 있다. 일 양상에서 상기 일괄 로드 록(3400)은 임의의 적합한 방식으로 적재 포트(3420)에 실질적으로 직접 결합될 수 있다. 상기 일괄 로드 록(3400)은, 기판들을 기판 캐리어(substrate carrier; 3420A-3420D)로 그리고 상기 기판 캐리어(3420A-3420D)로부터 이송하기 위하여 예컨대 이송 아암과 같은 임의의 적합한 자동화부를 포함할 수 있다. 상기 일괄 로드 록(3400A-D)은, 자동화 모듈(2030)에 대하여 위에서 설명된 것과 유사한 자동화 정합부(automation interface)를 형성할 수 있다. 예를 들어 도 34a에는 개시된 실시예의 양상들에 따른 프로세싱 장치의 일부분이 도시된다. 상기 프로세싱 장치는 프로세스 도구 모듈들(2120A, 2020B)을 포함하며, 각각은 예컨대 그 각각에 결합된 로드 록들(3530)을 구비한다. 일괄 로드 록(3400A, 3400B, 3400C, 3400D)은 상기 로드 록들(3530) 각각에 결합될 수 있다. 하나 이상의 진공 터널들(2010A, 2010B)은 상기 일괄 로드 록(3400A, 3400B, 3400C, 3400D)에 연결될 수 있다. 예시적인 목적만으로, 진공 터널(2010A)은 일괄 로드 록(3400B)을 일괄 로드 록(3400C)과 연결할 수 있으며, 상기 일괄 로드 록(3400C)은 또한 프로세싱 도구 모듈들(2120A, 2120B)을 서로에 연결시키는 바, 이는 임의의 적합한 자동화 물자 취급 시스템(automated material handling system; AMHS)(3510) 상의 운반을 위하여, 상기 기판들이 기판 캐리어(3430)로 돌아오지 않는 채로 프로세싱 도구 모듈들(2120A, 2120B) 사이에서 기판들을 운반하기 위한 것이다. 진공 모듈(2040)은, 일괄 로드 록(3400D)(및 상기 프로세싱 장치의 나머지)을 예를 들어 EFEM 또는 다른 자동화 장비에 연결하기 위하여 상기 일괄 로드 록(3440D)에 상기 진공 터널(2010B)을 결합시킬 수 있다. 이 양상에서 상기 일괄 로드 록들(3400A, 3400B, 3400C, 3400D) 각각은 적재 포트(3420A, 3420B, 3420C, 3420D)에 실질적으로 직접 결합될 수 있으며, 상기 적재 포트는 상기 일괄 로드 록들(3400A, 3400B, 3400C, 3400D)을 상기 AHMS(3510)에 정합(interface)시킨다. 도 34b에는 개시된 실시예의 양상들에 따른, 도 34a에 도시된 것과 유사한 프로세싱 장치의 일부분이 도시된다. 그런데, 도 34b에서 상기일괄 로드 록들(3400A, 3400B, 3400C, 3400D)은 상기 프로세싱 도구 모듈들(2120A, 2120B)에 실질적으로 직접 결합되며, 상기 적재 포트들(3420A, 3420B, 3420C, 3420D)과 개별의 상기 프로세싱 도구 모듈들(2120A, 2120B) 사이에서 로드 록으로서 기능한다.Referring to Figures 34A and 34B, a bulk load lock 3400A-D is shown. The bulk load locks 3400A-D may be substantially similar to those described in U.S. Patent No. 12 / 123,391, filed May 19, 2008, the disclosure of which is incorporated herein by reference in its entirety. In one aspect, the bulk load lock 3400 may be substantially directly coupled to the loading port 3420 in any suitable manner. The bulk load lock 3400 may include any suitable automated portion, such as a transfer arm, for transferring substrates to and from the substrate carrier 3420A-3420D. The bulk load locks 3400A-D may form an automation interface similar to that described above for the automation module 2030. [ For example, in Figure 34A, a portion of a processing device according to aspects of the disclosed embodiments is shown. The processing device includes process tool modules 2120A and 2020B, each having, for example, load locks 3530 coupled thereto. Batch load locks 3400A, 3400B, 3400C, and 3400D may be coupled to the load locks 3530, respectively. One or more vacuum tunnels 2010A, 2010B may be connected to the bulk load locks 3400A, 3400B, 3400C, 3400D. The vacuum tunnel 2010A may couple the bulk load lock 3400B with the bulk load lock 3400C and the bulk load lock 3400C may also connect the processing tool modules 2120A and 2120B to each other The processing tools modules 2120A and 2120B can be used for transporting on any suitable automated material handling system (AMHS) 3510 without the substrates returning to the substrate carrier 3430. [ For transporting the substrates between. Vacuum module 2040 couples the vacuum tunnel 2010B to the bulk load lock 3440D to connect the bulk load lock 3400D (and the rest of the processing device) to, for example, EFEM or other automated equipment. . In this aspect each of the bulk load locks 3400A, 3400B, 3400C, 3400D may be substantially directly coupled to the load ports 3420A, 3420B, 3420C, 3420D and the load ports may be coupled to the bulk load locks 3400A, , 3400C, and 3400D to the AHMS 3510. [ Figure 34B illustrates a portion of a processing device similar to that shown in Figure 34A, in accordance with aspects of the disclosed embodiment. 34B, the bulk load locks 3400A, 3400B, 3400C, and 3400D are substantially directly coupled to the processing tool modules 2120A and 2120B and are coupled to the loading ports 3420A, 3420B, 3420C, and 3420D And serves as a loadlock between the respective processing tool modules 2120A and 2120B.

도 35a, 35b 및 35c를 참조하면 개시된 실시예의 양상들에 따라 프로세싱 장치의 일부분이 도시된다. 이 양상에서 상기 프로세싱 도구 모듈들(2120A, 2120B)은 진공 터널(2010B)을 통하여 서로에 연결될 수 있으며, 진공 터널들(2010A,35A, 35B, and 35C, a portion of a processing apparatus is shown in accordance with aspects of the disclosed embodiments. In this aspect, the processing tool modules 2120A and 2120B may be connected to each other through a vacuum tunnel 2010B, and the vacuum tunnels 2010A,

2010C)을 통하여 다른 프로세싱 도구 모듈들(또는 다른 적합한 자동화 장비)에 연결될 수 있다. 여기서 상기 진공 터널들(2010A, 2010B)은 일괄 로드 록들(3400A, 3400B)을 통하여 상기 프로세싱 도구 모듈에 연결된다. 도 35a에 보여질 수 있는 바와 같이 적재 포트들(3420A, 3420B)은 상기 일괄 로드 록들(3400A, 3400B) 각각에 결합된다. 상기 진공 터널들(2010B, 2010C)은 로드 록들(3500A, 3500B)을 통하여 프로세싱 도구(2120B)에 연결되는 바, 상기 로드 록들(3500A, 3500B)은 임의의 적합한 로드 록들일 수 있다. 여기서 상기 로드 록들(3500A, 3500B)은 상기 자동화 모듈(2030)에 결합되며, 상기 자동화 모듈은 상기 일괄 로드 록들(3400C, 3400D)에 결합된다. 적재 포트들(3420C, 3420D)은 임의의 적합한 방식으로 상기 일괄 로드 록들(3400C, 3400D)에 결합된다. 상기 일괄 로드 록들이 전방 개방 통합 포드들(front opening unified pods; FOUPs)과 정합되는 것으로 도시되는 반면에 다른 양상들에서 상기 일괄 로드 록들은 저면 개방 캐리어(bottom opening carrier)들 또는 상면 적재 캐리어(top loading carriers)들과 같은 임의의 적합한 기판 캐리어들과 정합되도록 구성될 수 있다는 점이 이해되어야 한다. 2010C) to other processing tool modules (or other suitable automation equipment). Where the vacuum tunnels 2010A, 2010B are connected to the processing tool module through bulk load locks 3400A, 3400B. As can be seen in FIG. 35A, stacking ports 3420A and 3420B are coupled to each of the bulk load locks 3400A and 3400B, respectively. The vacuum tunnels 2010B and 2010C are connected to the processing tool 2120B via load locks 3500A and 3500B and the load locks 3500A and 3500B may be any suitable load locks. The load locks 3500A and 3500B are coupled to the automation module 2030 and the automation module is coupled to the bulk load locks 3400C and 3400D. The load ports 3420C and 3420D are coupled to the bulk load locks 3400C and 3400D in any suitable manner. The bulk load locks are shown matched with front opening unified pods (FOUPs) while in other aspects the bulk load locks are shown as bottom opening carriers or top loading carriers and may be configured to match any suitable substrate carriers, such as loading carriers.

도 36a-36c를 참조하면, 개시된 실시예의 양상들에 따라 프로세싱 장치의 일부분이 도시된다. 프로세싱 도구 모듈들(2120A, 2120B)은 로드 록(3610)의 측방향 측부들 상에 배치된다. 이 양상에서 상기 로드 록(3610)은, 상기 프로세싱 도구 모듈들(2120A, 2120B)의 이송 챔버(2120TC)와 결합하기 위하여 쐐기 형상을 가지는 것으로 도시된다. 깨닫게 될 수 있는 바와 같이, 예컨대 2개의 기판 유지 위치들(예컨대 3620A, 3620B)에서 위치된 기판들은, 상기 쐐기 형상의 각도에 상응하는 수렴(converging)/발산(diverging) 경로를 따라 상기 프로세싱 도구 모듈들(2120A, 2120B)로 그리고 상기 프로세싱 도구 모듈들(2120A, 2120B)로부터 운반될 수 있다. 다른 양상들에서 상기 로드 록은, 상기 프로세싱 도구 모듈들(2120A’, 2120B’)과 결합함을 가능하게 하도록 구성된 직교 형상(orthogonal shape)(도 36d의 로드 록(3610’)을 참조)과 같이 임의의 적합한 형상 및/또는 구성을 가질 수 있다. 깨닫게 될 수 있는 바와 같이, 상기 직교 형상 로드 록(3610’)은, 도 36d에 도시된 바와 같이 실질적으로 평행한 경로들을 따라 상기 기판 유지 위치들(3620A, 3620B) 각각과 상기 프로세싱 도구 모듈들 사이에서의 기판들의 이송을 가능하게 할 수 있다. 깨닫게 될 수 있는 바와 같이, 쐐기 어댑터 및 직교 어댑터들(orthogonal adapters)은, 상기 자동화 모듈에 대하여 위에서 설명된 것과 실질적으로 유사한 방식으로 직교 로드 록(3610’) 및 쐐기 로드 록(3610)을 위하여 제공될 수 있으며, 그럼으로써 상기 쐐기 로드 록(3610)이 프로세싱 도구 모듈의 직교적으로 배치된 포트들에 연결될 수 있으며 상기 직교 로드 록이 프로세싱 도구 모듈의 각지도록 배치된(angularly arranged) 포트들에 연결될 수 있다. 진공 터널들(2800’)은 상기 로드 록(3610, 3610’)의 길이방향 단부들 각각에 결합될 수 있다. 위에서 설명된 바와 같이 상기 진공 터널들 각각은, 도 31c에 도시된 바와 같이 실질적으로 동일한 평면 내에서 서로 일렬로 늘어선 적어도 2개의 기판들을 유지할 수 있는 하나 이상의 이중 단부 기판 홀더들(3030S2)이 포함된 운반 카트를 포함할 수 있다. 또한 위에서 설명된 바와 같이 상기 진공 터널들(2800’) 각각은 정합 모듈(interface module; 2820)을 포함할 수 있다. 상기 정합 모듈(2820)은 기판 지지체(2820E)(도 28c)를 포함할 수 있으며, 상기 기판 지지체(2820E)는 화살표(2899)의 방향으로(예컨대 상기 기판들의 이송 평면에 실질적으로 직각인 방향으로) 이동가능하다. 깨닫게 될 수 있는 바와 같이, 상기 터널을 통하여 통행하는 이중 단부 기판 홀더들(3030S2)을 구비한 2개 이상의 운반 카트들이 있는 경우에 상기 운반 카트들 각각은 동시에 적어도 하나의 기판을 유지하고 있을 수 있다(예컨대 상기 카트들 중의 모든 카트는 개별의 진공 터널(2800’) 안에 다른 운반 카트들과 무관하게 기판들을 상기 개별의 터널(2800’)의 양 단부들로 운반 및 픽킹 또는 위치시킬 수 있다). 이 양상에서 상기 정합 모듈은, 상기 카트들 각각이 상기 진공 터널들(2800’)의 양 단부들에 기판들을 이송할 수 있게 할 수 있다. 예를 들어 운반 카트(3670)는, 상기 진공 터널(2800’)의 단부(2800E1)에서의 임의의 적합한 기판 유지 위치로부터 상기 이중 단부 기판 홀더(3030S2)의 단부(3650)로써 기판을 픽킹할 수 있다. 그 기판을 진공 터널(2800’)의 단부(2800E2)에서의 임의의 적합한 기판 유지 위치에 위치시키기 위하여, 상기 운반 카트(3670)는 상기 정합 모듈(2820)의 기판 지지체(2820E) 위에 상기 기판이 위치되도록, 위치선정될 수 있다. 상기 기판 지지체(2820E)는, 단부(3650)에서 상기 기판을 들어올리도록 화살표(2899)의 방향으로 움직일 수 있다. 상기 운반 카트(3670)는, 상기 기판 지지체(2820E) 위에 상기 이중 단부 기판 홀더(3030S2)의 단부(3651)를 위치시키도록 움직일 수 있으며, 상기 기판 지지체는, 상기 기판이 상기 진공 터널(2800’)의 단부(2800E2)에서 위치될 수 있도록, 단부(2651) 상에 상기 기판을 위치시키기 위하여 화살표(2899)의 방향으로 움직일 수 있다.Referring to Figures 36A-36C, a portion of a processing device is shown in accordance with aspects of the disclosed embodiments. Processing tool modules 2120A and 2120B are disposed on the lateral sides of load lock 3610. [ In this aspect, the load lock 3610 is shown as having a wedge shape to engage the transfer chamber 2120TC of the processing tool modules 2120A, 2120B. As can be realized, substrates positioned at, for example, two substrate holding positions (e.g., 3620A, 3620B) are moved along a converging / diverging path corresponding to the angle of the wedge shape, 2120B, and from the processing tool modules 2120A, 2120B. In other aspects, the loadlock may be configured as an orthogonal shape (see load lock 3610 'of FIG. 36D) configured to enable engagement with the processing tool modules 2120A', 2120B ' And may have any suitable shape and / or configuration. As can be realized, the orthogonal shaped load lock 3610 'is disposed between each of the substrate holding positions 3620A and 3620B along substantially parallel paths as shown in Figure 36D and between the processing tool modules Lt; RTI ID = 0.0 > a < / RTI > As can be realized, the wedge adapters and orthogonal adapters are provided for the orthogonal load lock 3610 'and the wedge load lock 3610 in a manner substantially similar to that described above for the automation module So that the wedge load lock 3610 can be connected to the orthogonally disposed ports of the processing tool module and the orthogonal load lock is connected to the angularly arranged ports of the processing tool module . Vacuum tunnels 2800 'may be coupled to each of the longitudinal ends of the load locks 3610 and 3610'. As described above, each of the vacuum tunnels includes one or more double end substrate holders 3030S2 capable of holding at least two substrates aligned in a line in substantially the same plane as shown in Figure 31C And may include a transport cart. Also, as described above, each of the vacuum tunnels 2800 'may include an interface module 2820. The registration module 2820 may include a substrate support 2820E (Fig. 28C), which is movable in the direction of arrow 2899 (e.g., in a direction substantially perpendicular to the transport plane of the substrates) ). As can be realized, if there are two or more transport carts with double end substrate holders 3030S2 that pass through the tunnel, each of the transport carts may be holding at least one substrate at the same time (E.g., all of the carts in the carts can transport and pick or place the substrates into both ends of the respective tunnel 2800 ', independent of other transport carts in the individual vacuum tunnel 2800'). In this aspect, the matching module may enable each of the carts to transfer substrates to both ends of the vacuum tunnels 2800 '. For example, the transport cart 3670 can pick up the substrate from any suitable substrate holding position at the end 2800E1 of the vacuum tunnel 2800 'with the end 3650 of the double end substrate holder 3030S2 have. To place the substrate in any suitable substrate holding position at the end 2800E2 of the vacuum tunnel 2800'that the carrying cart 3670 is mounted on a substrate support 2820E of the matching module 2820, So that it can be positioned. The substrate support 2820E can be moved in the direction of arrow 2899 to lift the substrate at the end 3650. The transfer cart 3670 can be moved to position the end 3651 of the double ended substrate holder 3030S2 on the substrate support 2820E and the substrate support can be moved to place the substrate in the vacuum tunnel 2800 ' In the direction of arrow 2899 to position the substrate on end 2651 so that it can be positioned at end 2800E2.

도 36a-36c에 보여질 수도 있는 바와 같이, 그리고 위에서 설명된 바와 같이, 진공 터널들(2800’, 3600)은 서로 겹쳐져 적층될 수 있다. 이 양상에서 상기 로드 록(3610)은 적어도 하나의 인덱서(3620A, 3620B)를 포함할 수 있으며, 상기 적어도 하나의 인덱서는, 상기 진공 터널들(2800’, 3600)의 상이한 운반 평면들 사이에서 상기 기판들을 이송하기 위하여 화살표(3899)의 방향으로 움직이도록 구성된다. 상기 인덱서(3620A, 3620B)는, 상기 진공 터널들 안에서 통행하는 운반 카트들의 기판 홀더들이 기판들을 픽킹하여 상기 인덱서에 위치시킬 수 있도록, 구성될 수 있다(여기에서 상기 인덱서는 온오프식으로(on off) 상기 기판 홀더들 상의 기판들을 올리거나 낮춘다). 상기 인덱서들(3620A, 3620B)은, 정합 모듈(2820)에 대하여 위에서 설명된 것과 실질적으로 유사한 방식으로 상기 기판들을 정렬하기 위하여 상기 기판들의 회전을 제공할 수도 있다. 일 양상에서 상기 적층된 진공 터널들 중 하나(3600)는 "급행(express)" 터널일 수 있는 바, 상기 "급행" 터널은, 가능한 중간 목적지들에서 정지함 없이 상기 프로세싱 장치의 2개의 위치들 사이에서 실질적으로 무정지인 통행(substantially non-stop travel)을 제공하는 반면, 상기 진공 터널들 중 다른 것들(2800’)에는 상기 2개의 위치들 및 중간 목적지에서의 정지가 제공될 수 있다.As may be seen in FIGS. 36A-36C, and as described above, the vacuum tunnels 2800 ', 3600 may overlap and stack together. In this aspect, the loadlock 3610 may include at least one indexer 3620A, 3620B, and the at least one indexer may be positioned between the different transport planes of the vacuum tunnels 2800 ', 3600, And is configured to move in the direction of arrow 3899 for transporting the substrates. The indexers 3620A and 3620B may be configured such that substrate holders of transport carts passing in the vacuum tunnels can pick substrates and place them in the indexer where the indexer is on- off) raise or lower substrates on the substrate holders. The indexers 3620A and 3620B may provide rotation of the substrates to align the substrates in a manner substantially similar to that described above for the matching module 2820. [ In one aspect, one of the stacked vacuum tunnels 3600 may be an " express "tunnel, the " express" tunnel, While other ones of the vacuum tunnels 2800 'may be provided with a stop at the two locations and the intermediate destination.

상기 전술한 설명은 상기 개시된 실시예의 상기 양상들을 설명하기 위한 것일 뿐이라는 점이 이해되어야 한다. 상기 개시된 실시예의 상기 양상들로부터 이탈됨이 없이 다양한 대체들 및 수정들이 당업자에 의해 고안될 수 있다. 이에 따라, 상기 개시된 실시예의 상기 양상들은 모든 그러한, 대안들, 수정들 및 변형들을 포함하는 것으로 의도된다. 더욱이, 단지, 상이한 특징들이 상호 상이한 독립항이나 종속항들에 언급되어 있다는 사실이, 본 발명의 상기 양상들의 범위 내에 속하는 조합인 경우 상기 특징들의 그러한 조합이, 유리하게 사용될 수 없다는 것을 의미하지 않는다.It is to be understood that the foregoing description is only illustrative of the aspects of the disclosed embodiments. Various alternatives and modifications may be devised by those skilled in the art without departing from the aspects of the disclosed embodiments. Accordingly, the aspects of the disclosed embodiments are intended to embrace all such alternatives, modifications, and variations. Moreover, the fact that different features are mentioned in different independent or dependent claims does not imply that such a combination of features can not be used advantageously if it is a combination that falls within the scope of the aspects of the invention.

Claims (71)

이송 챔버 안에서 기판들을 운반하기 위한 이송 장치로서, 상기 이송 챔버는 제1 단부 및 제2 단부 및 상기 단부들 사이에서 연장되는 2개의 측부들(sides)을 구비하고 각각의 측부는 적어도 2개의 선형적으로 배치된 기판 유지 스테이션(substrate holding station)들을 가지며 각각의 단부는 적어도 하나의 기판 유지 스테이션을 가지고, 상기 이송 장치는:
구동부; 및
상기 이송 챔버에 대하여 고정된 일 단부를 구비한 적어도 하나의 베이스 아암(base arm)으로서, 상기 구동부에 회전가능하게 결합된 적어도 하나의 아암 링크(arm link), 및 상기 베이스 아암의 공통 단부에 회전가능하게 결합되고 2개의 엔드 이펙터(end effector)들을 가지는 적어도 하나의 이송 아암을 포함하는, 베이스 아암;을 포함하며,
상기 구동부는, 3의 자유도를 정의하는 독립적인 회전축들을 갖는 모터들을 구비하며, 상기 구동부의 1 자유도는 상기 이송 챔버 안에서 상기 적어도 하나의 이송 아암을 운반하기 위해 상기 적어도 하나의 베이스 아암을 수평으로 이동시키며, 상기 구동부의 2 자유도는, 상기 적어도 하나의 이송 아암을 신장(extend)시키고 상기 적어도 하나의 이송 아암을 수축(retract)시키고 상기 2개의 엔드 이펙터들을 맞교환(swap)하도록, 상기 적어도 하나의 이송 아암을 구동하는,
이송 장치.
A transfer device for transferring substrates within a transfer chamber, the transfer chamber having a first end and a second end and two sides extending between the ends, each side having at least two linear Each substrate holding station having at least one substrate holding station, wherein each of the ends has at least one substrate holding station,
A driving unit; And
At least one base arm having one end fixed relative to the transfer chamber, the base arm comprising: at least one arm link rotatably coupled to the drive; and at least one arm link rotatably coupled to the common end of the base arm, The base arm including at least one transfer arm, possibly coupled and having two end effectors,
Wherein the drive portion includes motors having independent rotational axes defining a degree of freedom of three, wherein one degree of freedom of the drive portion moves the at least one base arm horizontally to transport the at least one transfer arm within the transfer chamber Wherein the two degrees of freedom of the drive are adapted to extend the at least one transfer arm and retract the at least one transfer arm and swap the two end effectors, In order to drive the arm,
Conveying device.
제1항에 있어서, 상기 이송 장치는, 상기 이송 챔버의 각각의 측부 상에 상기 적어도 2개의 선형적으로 배치된 기판 유지 스테이션들 사이에서, 그리고 상기 이송 챔버의 상기 제1 단부 및 제2 단부 각각 상에 배치된 상기 적어도 하나의 기판 유지 스테이션으로, 기판들을 이송하도록 구성되는, 이송 장치.2. The apparatus of claim 1, wherein the transfer device is configured to transfer substrates between the at least two linearly disposed substrate holding stations on each side of the transfer chamber, and between the first and second ends of the transfer chamber And to transfer the substrates to the at least one substrate holding station disposed on the at least one substrate holding station. 제2항에 있어서, 상기 이송 챔버의 상기 제1 단부 및 제2 단부 중 하나 이상 사이에 배치된 상기 적어도 하나의 기판 유지 스테이션은 3개의 일렬로 늘어선(inline) 로드 록(load lock)들 또는 4개의 일렬로 늘어선 로드 록들을 포함하는, 이송 장치.3. The apparatus of claim 2, wherein the at least one substrate holding station disposed between at least one of the first end and the second end of the transfer chamber comprises three inline load locks or 4 < RTI ID = 0.0 >Lt; RTI ID = 0.0 > of: < / RTI > 제1항에 있어서, 상기 이송 장치는 450mm 직경 웨이퍼들을 취급하도록 구성되는, 이송 장치.2. The transfer device according to claim 1, wherein the transfer device is configured to handle 450 mm diameter wafers. 제1항에 있어서, 상기 이송 장치는 평면 패널 디스플레이들, 발광 다이오드들, 유기 발광 다이오드들 또는 태양 전지판을 위한 평면 패널들, 300mm 직경 웨이퍼들, 또는 200mm 직경 웨이퍼들을 취급하도록 구성되는, 이송 장치.2. The transfer device of claim 1, wherein the transfer device is configured to handle flat panels for planar panel displays, light emitting diodes, organic light emitting diodes or solar panels, 300 mm diameter wafers, or 200 mm diameter wafers. 제1항에 있어서, 상기 구동부는 동축 구동 샤프트 구성(coaxial drive shaft arrangement)을 포함하는, 이송 장치.2. The transfer device of claim 1, wherein the drive comprises a coaxial drive shaft arrangement. 제1항에 있어서, 상기 구동부는, 상기 적어도 하나의 이송 아암의 신장 및 수축(retraction)의 축에 실질적으로 직각인 방향으로 상기 적어도 하나의 이송 아암을 선형적으로 이동시키도록 구성되는 z-축 구동기(z-axis drive)를 포함하는, 이송 장치.The apparatus of claim 1, wherein the drive comprises a z-axis configured to linearly move the at least one transfer arm in a direction substantially perpendicular to an axis of extension and retraction of the at least one transfer arm. And a z-axis drive. 제1항에 있어서, 상기 적어도 하나의 베이스 아암은, 구동 축(drive axis)에서 상기 구동부에 회전가능하게 장착된 일 단부를 구비한 적어도 하나의 아암 링크를 포함하며, 상기 적어도 하나의 이송 아암은 어깨 축에서 상기 적어도 하나의 아암 링크의 반대측 제2 단부에 회전가능하게 장착된, 이송 장치.The apparatus of claim 1, wherein the at least one base arm includes at least one arm link having an end rotatably mounted to the drive at a drive axis, And is rotatably mounted on a second end opposite the at least one arm link at the shoulder axis. 제1항에 있어서, 상기 구동부는, 상기 구동 축에 배치된 1 자유도 구동기 및 상기 어깨 축에 배치된 2 자유도 구동기를 포함하는, 이송 장치.2. The transfer device according to claim 1, wherein the drive unit includes a one-degree-of-freedom driver disposed on the drive shaft and a two-degree-of-freedom driver disposed on the shoulder axis. 제9항에 있어서, 상기 1 자유도 구동기는 하모닉 구동기(harmonic drive)를 포함하는, 이송 장치.10. The transporting device as claimed in claim 9, wherein the one-degree-of-freedom driver comprises a harmonic drive. 제9항에 있어서, 상기 2 자유도 구동기는, 내부 및 외부 구동 샤프트를 구비한 동축 구동기(coaxial drive)를 포함하고, 상기 외부 구동 샤프트는 상기 내부 구동 샤프트에 대해 독립적으로 회전가능하며 상기 내부 구동 샤프트의 지지 베어링에 의해 지지되는, 이송 장치.10. The apparatus of claim 9, wherein the two-degree-of-freedom driver includes a coaxial drive having internal and external drive shafts, the external drive shaft is independently rotatable with respect to the internal drive shaft, And is supported by a support bearing of the shaft. 제1항에 있어서, 상기 적어도 하나의 베이스 아암은, 제1 단부 및 제2 단부를 구비한 상부 아암 링크, 및 제1 단부 및 제2 단부를 구비한 전방 아암 링크(forearm link)를 포함하며, 상기 상부 아암 링크는 상기 제1 단부에서 상기 구동부에 구동 축을 중심으로 회전가능하게 장착되며, 상기 전방 아암 링크는 제1 단부에서 상기 상부 아암 링크의 상기 제2 단부에 회전가능하게 장착되는, 이송 장치.2. The apparatus of claim 1, wherein the at least one base arm includes an upper arm link having a first end and a second end, and a forearm link having a first end and a second end, Wherein the upper arm link is rotatably mounted about the drive shaft to the drive at the first end and the front arm link is rotatably mounted to the second end of the upper arm link at a first end, . 제12항에 있어서, 상기 적어도 하나의 이송 아암은 어깨 회전축에서 상기 전방 아암 링크의 상기 제2 단부에 회전가능하게 장착되는, 이송 장치.13. The transfer device of claim 12, wherein the at least one transfer arm is rotatably mounted to the second end of the front arm link at a shoulder rotational axis. 제13항에 있어서, 상기 전방 아암 링크가 상기 구동부에 종속됨으로써 상기 어깨 회전축은 실질적으로 선형인 경로를 따르도록 실질적으로 구속되는(substantially constrained), 이송 장치.14. The transfer device of claim 13, wherein the front arm link is substantially constrained to follow the substantially linear path by being subject to the drive. 이송 챔버 안에서 기판들을 운반하기 위한 이송 장치로서, 상기 이송 챔버는 제1 단부 및 제2 단부 및 상기 단부들 사이에서 연장되는 2개의 측부들(sides)을 구비하고, 각각의 측부는 적어도 2개의 선형적으로 배치된 기판 유지 스테이션들을 가지고, 상기 이송 장치는:
구동부; 및
상기 이송 챔버에 대하여 고정된 일 단부를 구비한 적어도 하나의 베이스 아암으로서, 상기 구동부에 회전가능하게 결합된 적어도 하나의 아암 링크, 및 상기 베이스 아암에 회전가능하게 결합되고 2개의 엔드 이펙터들을 가지는 적어도 하나의 이송 아암을 포함하는, 베이스 아암;을 포함하며,
상기 구동부는, 3의 자유도를 정의하는 독립적인 회전축들을 갖는 모터들을 구비하며, 상기 구동부의 1 자유도는 상기 이송 챔버 안에서 상기 이송 아암을 운반하기 위해 상기 적어도 하나의 베이스 아암을 수평으로 이동시키며, 상기 구동부의 2 자유도는, 상기 적어도 하나의 이송 아암을 신장시키고 상기 적어도 하나의 이송 아암을 수축시키고 상기 2개의 엔드 이펙터들을 맞교환하도록, 상기 적어도 하나의 이송 아암을 구동하는,
이송 장치.
A transfer device for transferring substrates within a transfer chamber, the transfer chamber having a first end and a second end and two sides extending between the ends, each side having at least two linear Wherein the transfer device comprises:
A driving unit; And
At least one base arm having one end fixed relative to the transfer chamber, at least one base arm rotatably coupled to the base arm and rotatably coupled to the base arm and having at least two end effectors And a base arm including one transfer arm,
Wherein the drive comprises motors with independent rotational axes defining a degree of freedom of 3 and wherein one degree of freedom of the drive moves the at least one base arm horizontally to carry the transfer arm within the transfer chamber, Wherein the two degrees of freedom of the drive unit drive the at least one transfer arm to elongate the at least one transfer arm and retract the at least one transfer arm and to swap the two end effectors,
Conveying device.
제15항에 있어서, 이송 장치는, 상기 이송 챔버의 각각의 측부 상의 상기 적어도 2개의 선형적으로 배치된 기판 유지 스테이션들 사이에서 기판들을 이송하도록 구성되는, 이송 장치.16. The transfer device of claim 15, wherein the transfer device is configured to transfer substrates between the at least two linearly disposed substrate holding stations on each side of the transfer chamber. 제16항에 있어서, 상기 이송 챔버는 상기 이송 챔버의 제1 단부 및 제2 단부 중 하나 이상에서 배치된 3개의 일렬로 늘어선 로드 록들 또는 4개의 일렬로 늘어선 로드 록들을 포함하며, 상기 이송 장치는, 상기 3개의 일렬로 늘어선 로드 록들 또는 4개의 일렬로 늘어선 로드 록들로, 그리고 상기 3개의 일렬로 늘어선 로드 록들 또는 4개의 일렬로 늘어선 로드 록들로부터, 기판들을 이송하도록 구성되는, 이송 장치.17. The apparatus of claim 16, wherein the transfer chamber comprises three in-line load locks or four in-line load locks disposed in at least one of a first end and a second end of the transfer chamber, The three stacked loadlocks or the four stacked loadlocks, and the three stacked loadlocks or the four stacked loadlocks. 제15항에 있어서, 상기 이송 장치는 450mm 직경 웨이퍼들을 취급하도록 구성되는, 이송 장치.16. The transfer device of claim 15, wherein the transfer device is configured to handle 450 mm diameter wafers. 제15항에 있어서, 상기 이송 장치는 평면 패널 디스플레이들, 발광 다이오드들, 유기 발광 다이오드들 또는 태양 전지판을 위한 평면 패널들, 300mm 직경 웨이퍼들, 또는 200mm 직경 웨이퍼들을 취급하도록 구성되는, 이송 장치.16. The transfer device of claim 15, wherein the transfer device is configured to handle flat panels for planar panel displays, light emitting diodes, organic light emitting diodes or solar panels, 300 mm diameter wafers, or 200 mm diameter wafers. 기판 프로세싱 장치로서, 상기 장치는:
실질적으로 밀봉된 환경을 형성하는 적어도 하나의 이송 챔버; 및
적어도 부분적으로 상기 적어도 하나의 이송 챔버 각각 내에 배치된 적어도 하나의 이송 장치;를 포함하며,
상기 적어도 하나의 이송 장치는, 구동부; 상기 이송 챔버에 대하여 고정된 일 단부를 구비한 베이스 아암으로서, 상기 구동부에 회전가능하게 결합된 적어도 하나의 아암 링크, 및 상기 베이스 아암의 공통 단부에 회전가능하게 결합되고 2개의 엔드 이펙터들을 가지는 적어도 하나의 이송 아암을 포함하는, 상기 베이스 아암;을 포함하며,
상기 구동부는, 3의 자유도를 정의하는 독립적인 회전축들을 갖는 모터들을 구비하며, 상기 구동부의 1 자유도는 상기 이송 챔버 안에서 상기 적어도 하나의 이송 아암을 수평으로 운반하기 위해 상기 베이스 아암을 이동시키며, 상기 구동부의 2 자유도는, 상기 적어도 하나의 이송 아암을 신장시키고 상기 적어도 하나의 이송 아암을 수축시키고 상기 2개의 엔드 이펙터들을 맞교환하도록, 상기 적어도 하나의 이송 아암을 구동하는,
기판 프로세싱 장치.
A substrate processing apparatus, comprising:
At least one transfer chamber forming a substantially sealed environment; And
At least one transfer device disposed at least partially within each of the at least one transfer chamber,
Wherein the at least one transfer device comprises: a driver; A base arm having one end fixed relative to the transfer chamber, the base arm comprising: at least one arm link rotatably coupled to the drive; and at least one arm link rotatably coupled to the common end of the base arm and having at least two end effectors, The base arm including one transfer arm,
Wherein the drive comprises motors having independent rotational axes defining a degree of freedom of three, wherein one degree of freedom of the drive moves the base arm to horizontally convey the at least one transfer arm within the transfer chamber, Wherein the two degrees of freedom of the drive unit drive the at least one transfer arm to elongate the at least one transfer arm and retract the at least one transfer arm and to swap the two end effectors,
Substrate processing apparatus.
제20항에 있어서, 상기 적어도 하나의 이송 챔버 각각은 제1 단부 및 제2 단부 및 상기 단부들 사이에서 연장되는 2개의 측부들(sides)을 구비하고, 각각의 측부는 적어도 2개의 선형적으로 배치된 기판 유지 스테이션들을 가지며, 각각의 단부는 적어도 하나의 기판 유지 스테이션을 가지고,
상기 이송 장치는, 상기 이송 챔버의 각각의 측부 상에 상기 적어도 2개의 선형적으로 배치된 기판 유지 스테이션들 사이에서, 그리고 상기 이송 챔버의 상기 제1 단부 및 제2 단부 각각 상에 배치된 상기 적어도 하나의 기판 유지 스테이션으로, 기판들을 이송하도록 구성되는, 기판 프로세싱 장치.
21. The apparatus of claim 20, wherein each of the at least one transfer chamber has a first end and a second end and two sides extending between the ends, each side having at least two linear Each of the substrates having at least one substrate holding station,
Wherein the transfer device is configured to transfer the substrate between the at least two linearly arranged substrate holding stations on each side of the transfer chamber and between the at least two linearly arranged substrate holding stations, Wherein the substrate processing apparatus is configured to transfer substrates to a single substrate holding station.
제21항에 있어서, 상기 이송 챔버의 제1 단부 및 제2 단부 중 하나 이상에 배치된 상기 적어도 하나의 기판 유지 스테이션은 3개의 일렬로 늘어선 로드 록들 또는 4개의 일렬로 늘어선 로드 록을 포함하는, 기판 프로세싱 장치.22. The method of claim 21, wherein the at least one substrate holding station disposed in at least one of the first end and the second end of the transfer chamber comprises three in-line load locks or four in- Substrate processing apparatus. 제20항에 있어서, 450mm 직경 웨이퍼들을 취급하도록 구성되는, 기판 프로세싱 장치.21. The apparatus of claim 20, configured to handle 450 mm diameter wafers. 제20항에 있어서, 평면 패널 디스플레이들, 발광 다이오드들, 유기 발광 다이오드들 또는 태양 전지판을 위한 평면 패널들, 300mm 직경 웨이퍼들, 또는 200mm 직경 웨이퍼들을 취급하도록 구성되는, 기판 프로세싱 장치.21. The substrate processing apparatus of claim 20, configured to handle flat panel displays, light emitting diodes, flat panels for organic light emitting diodes or solar panels, 300 mm diameter wafers, or 200 mm diameter wafers. 제20항에 있어서, 상기 적어도 하나의 이송 챔버는 클러스터링된 구성을 갖는, 기판 프로세싱 장치.21. The apparatus of claim 20, wherein the at least one transfer chamber has a clustered configuration. 제25항에 있어서, 상기 클러스터링된 구성은 이중 클러스터 이송 챔버 구성 또는 삼중 클러스터 이송 챔버 구성인, 기판 프로세싱 장치.26. The apparatus of claim 25, wherein the clustered configuration is a dual cluster transfer chamber configuration or a triple cluster transfer chamber configuration. 제20항에 있어서, 상기 적어도 하나의 이송 챔버의 적어도 일 단부는 상기 기판 프로세싱 장치에서 기판들을 제거 또는 삽입하기 위한 장비 프론트 엔드 모듈을 포함하는, 기판 프로세싱 장치.21. The apparatus of claim 20, wherein at least one end of the at least one transfer chamber comprises an equipment front end module for removing or inserting substrates in the substrate processing apparatus. 제20항에 있어서, 상기 적어도 하나의 이송 챔버는, 결합된(combined) 선형적 세장형 이송 챔버를 형성하도록 서로 소통가능하게 결합되는 적어도 2개의 선형적 세장형 이송 챔버들을 포함하는, 기판 프로세싱 장치.21. The apparatus of claim 20, wherein the at least one transfer chamber comprises at least two linear elongated transfer chambers communicatively coupled to form a combined linear elongated transfer chamber, . 제28항에 있어서, 상기 결합된 선형적 세장형 이송 챔버의 적어도 일 단부는 상기 기판 프로세싱 장치에서 기판들을 제거 또는 삽입하기 위한 장비 프론트 엔드 모듈을 포함하는, 기판 프로세싱 장치.29. The apparatus of claim 28, wherein at least one end of the combined linear elongate delivery chamber comprises an equipment front end module for removing or inserting substrates in the substrate processing apparatus. 제20항에 있어서, 상기 구동부는 동축 구동 샤프트 구성을 포함하는, 기판 프로세싱 장치.21. The apparatus of claim 20, wherein the drive comprises a coaxial drive shaft arrangement. 제20항에 있어서, 상기 베이스 아암은 구동 축에서 회전가능하게 상기 구동부에 장착된 일 단부를 구비한 적어도 하나의 아암 링크를 포함하고, 상기 적어도 하나의 이송 아암은 어깨 축에서 상기 적어도 하나의 아암 링크의 반대측 제2 단부에 회전가능하게 장착되는, 기판 프로세싱 장치.21. The apparatus of claim 20, wherein the base arm includes at least one arm link having one end mounted to the drive to be rotatable in a drive shaft, the at least one transfer arm comprising: And is rotatably mounted on a second, opposite end of the link. 제20항에 있어서, 상기 구동부는 상기 구동 축에 배치된 1 자유도 구동기 및 상기 어깨 축에 배치된 2 자유도 구동기를 포함하는, 기판 프로세싱 장치.21. The apparatus of claim 20, wherein the drive includes a one degree of freedom driver disposed on the drive shaft and a two degree of freedom driver disposed on the shoulder axis. 제32항에 있어서, 상기 1 자유도 구동기는 하모닉 구동기를 포함하는, 기판 프로세싱 장치.33. The apparatus of claim 32, wherein the one degree of freedom driver comprises a harmonic driver. 제32항에 있어서, 상기 2 자유도 구동기는, 내부 및 외부 구동 샤프트를 구비한 동축 구동기를 포함하고, 상기 외부 구동 샤프트는 상기 내부 구동 샤프트에 대해 독립적으로 회전가능하며 상기 내부 구동 샤프트의 지지 베어링에 의해 지지되는, 기판 프로세싱 장치.34. The apparatus of claim 32, wherein the two-degree-of-freedom driver includes a coaxial driver having internal and external drive shafts, the external drive shaft being independently rotatable about the internal drive shaft, Is supported by the substrate processing apparatus. 제20항에 있어서,
상기 베이스 아암은, 제1 단부 및 제2 단부를 구비한 상부 아암 링크, 및 제1 단부 및 제2 단부를 구비한 전방 아암 링크(forearm link)를 포함하며, 상기 상부 아암 링크는 상기 제1 단부에서 상기 구동부에 구동 축을 중심으로 회전가능하게 장착되며, 상기 전방 아암 링크는 제1 단부에서 상기 상부 아암 링크의 상기 제2 단부에 회전가능하게 장착되는, 기판 프로세싱 장치.
21. The method of claim 20,
The base arm includes an upper arm link having a first end and a second end, and a forearm link having a first end and a second end, the upper arm link having a first end and a second end, Wherein the front arm link is rotatably mounted to the second end of the upper arm link at a first end thereof.
제35항에 있어서, 상기 적어도 하나의 이송 아암은 상기 어깨 회전축에서 상기 전방 아암 링크의 상기 제2 단부에 회전가능하게 장착되는, 기판 프로세싱 장치.36. The apparatus of claim 35, wherein the at least one transfer arm is rotatably mounted to the second end of the front arm link at the shoulder rotational axis. 제35항에 있어서, 상기 전방 아암 링크가 상기 구동부에 종속됨으로써 상기 어깨 회전축은 상기 적어도 하나의 선형적 세장형 이송 챔버의 길이를 따라 실질적으로 선형인 경로를 따르도록 실질적으로 구속되는(substantially constrained), 기판 프로세싱 장치.36. The apparatus of claim 35, wherein the front arm link is subordinate to the drive to cause the shoulder rotational axis to be substantially constrained to follow a substantially linear path along the length of the at least one linear elongated delivery chamber. , ≪ / RTI > 기판 프로세싱 장치로서:
적어도 하나의 선형적 세장형 이송 챔버; 및
적어도 부분적으로 상기 적어도 하나의 선형적 세장형 이송 챔버 안에 배치된 이송 장치;를 포함하며,
상기 이송 장치는, 3 자유도를 정의하는 3개의 독립적인 회전축들을 갖는 구동 시스템을 구비한 구동부, 상기 구동부에 회전가능하게 결합된 베이스 아암부, 및 상기 베이스 아암부에 회전가능하게 결합된 이송 아암부를 포함하고, 상기 이송 아암부는 2개의 엔드 이펙터들을 구비하고, 상기 구동부의 1 자유도는 상기 이송 아암부를 운반하기 위해 상기 베이스 아암을 수평으로 이동시키며, 2 자유도는, 상기 이송 아암부를 신장시키고 상기 이송 아암부를 수축시키고 상기 2개의 엔드 이펙터들을 맞교환하도록, 상기 이송 아암부를 구동하는,
기판 프로세싱 장치.
1. A substrate processing apparatus comprising:
At least one linear elongated transfer chamber; And
A transfer device disposed at least partially within the at least one linear elongated transfer chamber,
The transfer device includes a drive unit having a drive system having three independent rotational axes defining three degrees of freedom, a base arm unit rotatably coupled to the drive unit, and a transfer arm unit rotatably coupled to the base arm unit Wherein the transfer arm portion comprises two end effectors, one degree of freedom of which moves the base arm horizontally to carry the transfer arm portion, the two degrees of freedom extend the transfer arm portion, The end effector is retracted to retract the end effector,
Substrate processing apparatus.
제38항에 있어서, 450mm 직경 웨이퍼들을 취급하도록 구성되는, 기판 프로세싱 장치.39. The apparatus of claim 38, configured to handle 450 mm diameter wafers. 제38항에 있어서, 평면 패널 디스플레이들, 발광 다이오드들, 유기 발광 다이오드들 또는 태양 전지판을 위한 평면 패널들, 300mm 직경 웨이퍼들, 또는 200mm 직경 웨이퍼들을 취급하도록 구성되는, 기판 프로세싱 장치.39. The apparatus of claim 38, configured to handle flat panel displays, light emitting diodes, flat panels for organic light emitting diodes or solar panels, 300 mm diameter wafers, or 200 mm diameter wafers. 기판 운반 장치로서:
3 자유도를 정의하는 3개의 독립적인 회전축들을 갖는 구동부;
상기 구동부에 연결된 베이스 아암; 및
상기 베이스 아암에 회전가능하게 장착되고 2개의 엔드 이펙터들을 구비한 이송 아암;을 포함하고,
상기 구동부의 1 자유도는 상기 이송 아암을 운반하기 위해 상기 베이스 아암을 수평으로 이동시키며, 2 자유도를 갖는 상기 구동부의 모터는 유닛(unit)으로서, 제거가능하게 상기 베이스 아암에 결합되기 위하여 구성됨으로써 상기 이송 아암이 상기 베이스 아암에 결합된 때에 상기 2 자유도를 갖는 상기 구동부의 모터에 상기 이송 아암이 결합되는, 기판 운반 장치.
A substrate transport apparatus comprising:
A driver having three independent rotational axes defining three degrees of freedom;
A base arm connected to the driving unit; And
And a transfer arm rotatably mounted on the base arm and having two end effectors,
Wherein the motor of the driving unit having two degrees of freedom is configured to be removably coupled to the base arm as a unit by moving the base arm horizontally to transport the transfer arm, Wherein the transfer arm is coupled to the motor of the drive unit having the two degrees of freedom when the transfer arm is coupled to the base arm.
제41항에 있어서, 450mm 직경 웨이퍼들을 취급하도록 구성되는, 기판 운반 장치.42. The apparatus of claim 41, configured to handle 450 mm diameter wafers. 제41항에 있어서, 평면 패널 디스플레이들, 발광 다이오드들, 유기 발광 다이오드들 또는 태양 전지판을 위한 평면 패널들, 300mm 직경 웨이퍼들, 또는 200mm 직경 웨이퍼들을 취급하도록 구성되는, 기판 운반 장치.42. The apparatus of claim 41, configured to handle flat panel displays, light emitting diodes, flat panels for organic light emitting diodes or solar panels, 300 mm diameter wafers, or 200 mm diameter wafers. 제41항에 있어서, 상기 2 자유도를 갖는 상기 구동부의 모터는, 내부 및 외부 구동 샤프트를 구비한 동축 구동기를 포함하고, 상기 외부 구동 샤프트는 상기 내부 구동 샤프트에 대해 독립적으로 회전가능하며 상기 내부 구동 샤프트의 지지 베어링에 의해 지지되는, 기판 운반 장치.42. The motor of claim 41, wherein the motor of the drive with two degrees of freedom comprises a coaxial driver having internal and external drive shafts, the external drive shaft being independently rotatable about the internal drive shaft, And is supported by a support bearing of the shaft. 기판 프로세싱 도구로서:
다각형 이송 챔버;
상기 이송 챔버의 각각의 측부 상에 배치된 적어도 2개의 기판 유지 스테이션들; 및
적어도 부분적으로 상기 이송 챔버 안에 배치된 적어도 2개의 기판 운반 장치;를 포함하며,
상기 적어도 2개의 기판 운반 장치 각각은, 구동 축에서 상기 이송 챔버 안에 회전가능하게 장착된 베이스 아암, 및 상기 베이스 아암 상에 회전가능하게 장착되고 2개의 엔드 이펙터들을 구비한 적어도 하나의 이송 아암을 포함하며, 각각의 베이스 아암은 상기 구동 축을 중심으로 독립적으로 회전가능하며, 상기 적어도 하나의 이송 아암은 개별의 베이스 아암에 대하여 독립적으로 회전가능함으로써, 각각의 이송 아암의 신장(extension) 및 수축(retraction)의 축(axis)이, 상기 기판 유지 스테이션들 중 임의의 것과 상기 이송 아암의 사이에서 기판들을 이송할 수 있게 하는,
기판 프로세싱 도구.
As substrate processing tool:
A polygonal transfer chamber;
At least two substrate holding stations disposed on each side of the transfer chamber; And
At least two substrate transport devices disposed at least partially within the transfer chamber,
Wherein each of the at least two substrate transfer devices includes a base arm rotatably mounted in the transfer chamber in a drive shaft and at least one transfer arm rotatably mounted on the base arm and having two end effectors Wherein each base arm is independently rotatable about the drive shaft and the at least one transfer arm is independently rotatable relative to the respective base arm so that the extension and retraction of each transfer arm Wherein an axis of the substrate holding stations is capable of transferring substrates between any of the substrate holding stations and the transfer arm,
Substrate processing tool.
제45항에 있어서, 450mm 직경 웨이퍼들을 취급하도록 구성되는, 기판 프로세싱 도구.46. The substrate processing tool of claim 45, configured to handle 450 mm diameter wafers. 제45항에 있어서, 평면 패널 디스플레이들, 발광 다이오드들, 유기 발광 다이오드들 또는 태양 전지판을 위한 평면 패널들, 300mm 직경 웨이퍼들, 또는 200mm 직경 웨이퍼들을 취급하도록 구성되는, 기판 프로세싱 도구.46. The substrate processing tool of claim 45, configured to handle flat panel displays, light emitting diodes, flat panels for organic light emitting diodes or solar panels, 300 mm diameter wafers, or 200 mm diameter wafers. 제45항에 있어서, 각각의 기판 운반 장치는, 1 자유도 구동 모터 및 2 자유도 구동 모터를 포함하고, 상기 1 자유도 구동 모터는 상기 베이스 아암을 회전가능하게 구동하도록 구성되고, 상기 2 자유도 구동 모터는 상기 베이스 아암에 대해 독립적으로 상기 적어도 하나의 이송 아암의 회전, 신장 및 수축을 수행(effect)하도록 구성되는, 기판 프로세싱 도구.46. The apparatus of claim 45, wherein each substrate handling apparatus comprises a one degree of freedom drive motor and a two degree of freedom drive motor, wherein the one degree of freedom drive motor is configured to rotatably drive the base arm, Wherein the drive motor is configured to effect rotation, extension and retraction of the at least one transfer arm independently of the base arm. 기판 프로세싱 장치로서, 상기 기판 프로세싱 장치는:
상호연결된 이송 챔버 모듈들의 2차원적 배열로 형성된 격자(grid)를 포함한 복합 이송 챔버로서, 각각의 이송 챔버 모듈은 상기 이송 챔버 모듈들 중 다른 것들로부터 선택적으로 밀봉가능한, 복합 이송 챔버; 및
상기 이송 챔버 모듈들 각각에 소통가능하게 결합된 하나 이상의 기판 유지 스테이션들;을 포함하고,
각각의 이송 챔버 모듈은, 상기 복합 이송 챔버에 소통가능하게 결합된 기판 유지 스테이션들과 상기 이송 챔버 모듈들 사이에서 기판들을 운반하기 위하여 상기 각각의 이송 챔버 모듈 안에 배치된 이송 아암을 포함하는,
기판 프로세싱 장치.
1. A substrate processing apparatus, comprising:
A composite transfer chamber comprising a grid formed by a two dimensional array of interconnected transfer chamber modules, each transfer chamber module comprising: a composite transfer chamber selectively sealable from the other of the transfer chamber modules; And
And one or more substrate holding stations communicatively coupled to each of the transfer chamber modules,
Each transfer chamber module comprising substrate transfer stations communicatively coupled to the composite transfer chamber and transfer arms disposed within each transfer chamber module for transferring substrates between the transfer chamber modules,
Substrate processing apparatus.
제49항에 있어서, 상기 상호연결된 이송 챔버 모듈들의 2차원적 배열은 적어도 이송 챔버 모듈들의 2x2(two-by-two) 배열을 포함하는, 기판 프로세싱 장치.50. The apparatus of claim 49, wherein the two-dimensional arrangement of interconnecting transfer chamber modules comprises at least a two-by-two arrangement of transfer chamber modules. 제49항에 있어서, 다수의 수평 레벨들(multiple horizontal levels)로 된 기판 유지 스테이션들을 포함하는, 기판 프로세싱 장치.50. The apparatus of claim 49, including substrate holding stations at multiple horizontal levels. 기판 프로세싱 장치로서:
서로 소통가능하게 결합되고 나란히(side by side) 배치된 적어도 제1 이송 챔버 모듈 및 제2 이송 챔버 모듈과, 상기 제1 이송 챔버 모듈 및 상기 제2 이송 챔버 모듈 옆에 나란히(alongside) 연장되며 상기 제1 이송 챔버 모듈 및 상기 제2 이송 챔버 모듈 모두에 소통가능하게 결합된 제3 이송 챔버 모듈을 구비한 복합 이송 챔버;
및 상기 제1 이송 챔버 모듈, 상기 제2 이송 챔버 모듈, 및 상기 제3 이송 챔버 모듈 각각에 소통가능하게 결합된 적어도 하나의 기판 유지 스테이션;을 포함하고,
상기 제1 이송 챔버 모듈, 상기 제2 이송 챔버 모듈, 및 상기 제3 이송 챔버 모듈 각각은, 상기 제1 이송 챔버 모듈, 상기 제2 이송 챔버 모듈, 및 상기 제3 이송 챔버 모듈과 상기 적어도 하나의 기판 유지 스테이션 사이에서 기판들을 운반하기 위하여, 상기 제1 이송 챔버 모듈, 상기 제2 이송 챔버 모듈 및 상기 제3 이송 챔버 모듈 각각의 안에 배치된 적어도 하나의 이송 아암을 구비하는,
기판 프로세싱 장치.
1. A substrate processing apparatus comprising:
At least a first transfer chamber module and a second transfer chamber module that are communicatively coupled to each other and disposed side by side, and a second transfer chamber module that extends alongside the first transfer chamber module and the second transfer chamber module, A composite transfer chamber having a third transfer chamber module communicatively coupled to both the first transfer chamber module and the second transfer chamber module;
And at least one substrate holding station communicatively coupled to each of the first transfer chamber module, the second transfer chamber module, and the third transfer chamber module,
Wherein each of the first transfer chamber module, the second transfer chamber module, and the third transfer chamber module has a first transfer chamber module, a second transfer chamber module, and a third transfer chamber module, And at least one transfer arm disposed within each of the first transfer chamber module, the second transfer chamber module and the third transfer chamber module for transferring substrates between the substrate holding stations.
Substrate processing apparatus.
제52항에 있어서, 상기 제3 이송 챔버 모듈은, 구동부, 및 상기 구동부에 회전가능하게 결합된 적어도 하나의 아암 링크를 포함하고 상기 제3 이송 챔버에 대하여 고정된 일 단부를 구비한 적어도 하나의 베이스 아암을 포함하고,
상기 제3 이송 챔버 모듈의 상기 적어도 하나의 이송 아암은 상기 베이스 아암의 공통 단부에 회전가능하게 결합되며, 상기 적어도 하나의 이송 아암은 2개의 엔드 이펙터들을 구비하고,
상기 구동부는, 3 자유도를 정의하는 독립적인 회전축들을 갖는 모터들을 구비하며, 상기 구동부의 1 자유도는 상기 제3 이송 챔버 모듈 안에서 상기 적어도 하나의 이송 아암을 운반하기 위해 상기 적어도 하나의 베이스 아암을 수평으로 이동시키며, 상기 구동부의 2 자유도는 상기 적어도 하나의 이송 아암을 신장시키고 상기 적어도 하나의 이송 아암을 수축시키고 상기 2개의 엔드 이펙터들을 맞교환하도록 상기 적어도 하나의 이송 아암을 구동하는, 기판 프로세싱 장치.
53. The apparatus of claim 52, wherein the third transfer chamber module comprises at least one arm link including a driver and a rotatably coupled arm link, and at least one arm link having a fixed end relative to the third transfer chamber. A base arm,
Wherein the at least one transfer arm of the third transfer chamber module is rotatably coupled to a common end of the base arm, the at least one transfer arm having two end effectors,
Wherein the drive portion includes motors having independent rotational axes defining three degrees of freedom and wherein one degree of freedom of the drive portion is selected to move the at least one base arm horizontally to transport the at least one transfer arm within the third transfer chamber module, Wherein the two degrees of freedom of the drive extend the at least one transfer arm and retract the at least one transfer arm and drive the at least one transfer arm to swap the two end effectors.
기판 프로세싱 장치로서:
운반 터널; 및
상기 운반 터널에 소통가능하게 결합된 자동화 모듈;을 포함하고, 상기 자동화 모듈은,
제1 단부 및 제2 단부와, 상기 단부들 사이에서 연장되는 2개의 측부들, 및 이송 장치를 포함하고,
각각의 측부는 적어도 2개의 연결 포트들을 구비하고, 상기 단부들 중 적어도 하나는 상기 운반 터널에 결합되며, 상기 자동화 모듈의 적어도 일 측부의 상기 적어도 2개의 연결 포트들은 클러스터 도구 모듈에의 연결을 위하여 구성되며,
상기 이송 장치는, 구동부, 상기 구동부에 회전가능하게 결합된 적어도 하나의 아암 링크를 포함하고 상기 이송 챔버에 대하여 고정된 일 단부를 구비한 적어도 하나의 베이스 아암, 및 상기 베이스 아암의 공통 단부에 회전가능하게 결합되는 적어도 하나의 이송 아암을 구비하며, 상기 적어도 하나의 이송 아암은 적어도 하나의 엔드 이펙터를 구비하는,
기판 프로세싱 장치.
1. A substrate processing apparatus comprising:
Transport tunnel; And
And an automation module communicatively coupled to the conveying tunnel, the automation module comprising:
A first end and a second end, two sides extending between the ends, and a transfer device,
Each of the sides having at least two connection ports, at least one of the ends being coupled to the transport tunnel, and the at least two connection ports of at least one side of the automation module for connection to a cluster tool module Respectively,
At least one base arm having at least one arm link rotatably coupled to the drive unit and having one end fixed relative to the transfer chamber, and at least one base arm rotatably coupled to the common end of the base arm, Wherein the at least one transfer arm comprises at least one end effector,
Substrate processing apparatus.
제54항에 있어서, 상기 적어도 하나의 이송 아암은 2개의 엔드 이펙터들을 포함하고, 상기 구동부는, 3 자유도를 정의하는 독립적인 회전축들을 갖는 모터들을 구비하며, 상기 구동부의 1 자유도는 상기 이송 챔버 안에 상기 적어도 하나의 이송 아암을 운반하기 위해 상기 적어도 하나의 베이스 아암을 수평으로 이동시키며, 상기 구동부의 2 자유도는 상기 적어도 하나의 이송 아암을 신장시키고 상기 적어도 하나의 이송 아암을 수축시키고 상기 2개의 엔드 이펙터들을 맞교환하도록 상기 적어도 하나의 이송 아암을 구동하는, 기판 프로세싱 장치.55. The apparatus of claim 54, wherein the at least one transfer arm comprises two end effectors, the drive comprising motors having independent rotational axes defining three degrees of freedom, Wherein the at least one base arm is horizontally moved to carry the at least one transfer arm, the two degrees of freedom of the drive extend the at least one transfer arm, retract the at least one transfer arm, And drives the at least one transfer arm to swap the effectors. 기판 프로세싱 장치로서:
운반 터널; 및 상기 운반 터널에 결합된 적어도 하나의 모듈;을 포함하며,
상기 운반 터널은, 상기 운반 터널의 길이방향 단부들 사이에서 통행(travel)하도록 구성된 적어도 하나의 운반 카트를 포함하며, 상기 적어도 하나의 운반 카트는, 상기 운반 카트에 고정적으로 장착된 실질적으로 강성인 기판 홀더를 포함하며, 상기 운반 카트와 상기 적어도 하나의 모듈 사이에서 기판들을 이송하기 위하여 상기 운반 카트가 상기 운반 터널의 상기 길이방향 단부들 중 적어도 하나에 인접 배치된 때에, 상기 실질적으로 강성인 기판 홀더가 상기 길이방향 단부들 중 상기 적어도 하나 너머로 연장되도록 구성되는, 기판 프로세싱 장치.
1. A substrate processing apparatus comprising:
Transport tunnel; And at least one module coupled to the conveying tunnel,
Wherein the transport tunnel comprises at least one transport cart configured to travel between longitudinal ends of the transport tunnel, the at least one transport cart comprising: a substantially rigid substrate Wherein when the transfer cart is disposed adjacent at least one of the longitudinal ends of the conveyance tunnel for transferring substrates between the transfer cart and the at least one module, the substantially rigid substrate holder And extend beyond the at least one of the longitudinal ends.
제56항에 있어서, 상기 기판 프로세싱 장치는 자동화 모듈을 더 포함하고, 상기 자동화 모듈은 제1 단부 및 제2 단부와 상기 단부들 사이에서 연장되는 2개의 측부들을 구비하며, 각각의 측부는 적어도 2개의 연결 포트들을 구비하고, 상기 단부들 중 적어도 하나는 상기 운반 터널에 결합되며, 상기 자동화 모듈은 이송 장치를 포함하며, 상기 이송 장치는, 구동부, 상기 구동부에 회전가능하게 결합된 적어도 하나의 아암 링크를 포함하고 상기 이송 챔버에 대하여 고정된 일 단부를 구비한 적어도 하나의 베이스 아암, 및 상기 베이스 아암의 공통 단부에 회전가능하게 결합된 적어도 하나의 이송 아암을 구비하며, 상기 적어도 하나의 이송 아암은 적어도 하나의 엔드 이펙터를 구비하고, 상기 이송 장치는 각각의 측부 상의 상기 적어도 2개의 연결 포트들을 통하여 상기 제1 단부 및 상기 제2 단부 중 적어도 하나 너머로 연장되도록 구성되며, 상기 자동화 모듈은 상기 제1 단부 및 상기 제2 단부 중 하나에서 상기 운반 터널에 소통가능하게 연결되는, 기판 프로세싱 장치.58. The apparatus of claim 56, wherein the substrate processing apparatus further comprises an automation module, the automation module having a first end and a second end and two sides extending between the ends, At least one of the ends is coupled to the conveying tunnel, and the automation module includes a conveying device, the conveying device including a driving part, at least one arm rotatably coupled to the driving part, At least one base arm including a link and one end fixed relative to the transfer chamber and at least one transfer arm rotatably coupled to a common end of the base arm, Wherein the transfer device comprises at least one end effector on each side, The first end and the second is adapted to be extended beyond at least one end, the automation module is the first end and the second substrate processing apparatus, which is connected to enable communication to said transport tunnel, from one of the ends through. 제56항에 있어서, 상기 자동화 모듈의 상기 측부들 중 적어도 하나 상의 상기 2개의 연결 포트들에 결합된 프로세싱 도구 모듈을 더 포함하는, 기판 프로세싱 장치.58. The apparatus of claim 56, further comprising a processing tool module coupled to the two connection ports on at least one of the sides of the automation module. 제56항에 있어서, 상기 기판 프로세싱 장치는 장비 프론트 엔드 모듈(EFEM)을 더 포함하고, 상기 운반 터널은 상기 장비 프론트 엔드 모듈 및 상기 자동화 모듈을 소통가능하게 연결하는, 기판 프로세싱 장치.57. The apparatus of claim 56, wherein the substrate processing apparatus further comprises an equipment front end module (EFEM), wherein the transport tunnel communicably connects the equipment front end module and the automation module. 제56항에 있어서, 상기 기판 프로세싱 장치는 제2 운반 터널을 더 포함하고, 상기 제2 운반 터널은 상기 자동화 모듈의 상기 제1 단부 및 상기 제2 단부 중 다른 하나에 소통가능하게 연결되고 상기 자동화 모듈을 다른 자동화 모듈과 연결하는, 기판 프로세싱 장치.58. The system of claim 56, wherein the substrate processing apparatus further comprises a second transport tunnel, wherein the second transport tunnel is communicatively coupled to the other of the first end and the second end of the automation module, And connecting the module with another automation module. 제56항에 있어서, 상기 운반 터널은 하나 이상의 터널 모듈들을 포함하는, 기판 프로세싱 장치.57. The apparatus of claim 56, wherein the transport tunnel comprises one or more tunnel modules. 제61항에 있어서, 상기 하나 이상의 터널 모듈들 중 적어도 하나는 상기 하나 이상의 터널 모듈들 중 다른 것들(other ones)로부터 밀봉가능한, 기판 프로세싱 장치.63. The apparatus of claim 61, wherein at least one of the one or more tunnel modules is sealable from the other ones of the one or more tunnel modules. 기판 프로세싱 장치로서:
자동화 모듈; 및 상기 자동화 모듈에 소통가능하게 연결된 연결 모듈;을 포함하고,
상기 자동화 모듈은, 제1 단부, 제2 단부, 상기 단부들 사이에서 연장되는 2개의 측부들, 및 이송 장치를 포함하며, 각각의 측부는 적어도 2개의 연결 포트들을 구비하고, 상기 단부들 중 적어도 하나는 상기 연결 모듈에 결합되며,
상기 이송 장치는, 구동부, 상기 구동부에 회전가능하게 결합된 적어도 하나의 아암 링크를 포함하고 상기 이송 챔버에 대하여 고정된 일 단부를 구비한 적어도 하나의 베이스 아암, 및 상기 베이스 아암의 공통 단부에 회전가능하게 결합된 적어도 하나의 이송 아암을 구비하며, 상기 적어도 하나의 이송 아암은 적어도 하나의 엔드 이펙터를 구비하고, 상기 이송 장치는 각각의 측부 상의 상기 적어도 2개의 연결 포트들을 통하여 상기 제1 단부 및 상기 제2 단부 중 적어도 하나 너머로 연장되도록 구성되는, 기판 프로세싱 장치.
1. A substrate processing apparatus comprising:
Automation module; And a connection module communicatively coupled to the automation module,
The automation module includes a first end, a second end, two sides extending between the ends, and a transfer device, each side having at least two connection ports, at least one of the ends One coupled to the connection module,
At least one base arm having at least one arm link rotatably coupled to the drive unit and having one end fixed relative to the transfer chamber, and at least one base arm rotatably coupled to the common end of the base arm, Wherein the at least one transfer arm comprises at least one end effector and wherein the transfer device is operatively connected to the first end and the second end through the at least two connection ports on each side, And extend beyond at least one of the second ends.
제63항에 있어서, 상기 자동화 모듈의 적어도 일 측부의 상기 적어도 2개의 연결 포트들은 클러스터 도구 모듈에의 연결을 위해 구성되는, 기판 프로세싱 장치.64. The apparatus of claim 63, wherein the at least two connection ports on at least one side of the automation module are configured for connection to a cluster tool module. 제63항에 있어서, 상기 기판 프로세싱 장치는, 장비 프론트 엔드 모듈을 더 포함하고, 상기 연결 모듈은 상기 장비 프론트 엔드 모듈을 상기 자동화 모듈에 소통가능하게 연결하는, 기판 프로세싱 장치.64. The apparatus of claim 63, wherein the substrate processing apparatus further comprises an equipment front end module, wherein the connection module communicably connects the equipment front end module to the automation module. 제63항에 있어서, 상기 연결 모듈은 진공 모듈 및 운반 터널 중 하나 이상을 포함하는, 기판 프로세싱 장치.64. The apparatus of claim 63, wherein the connecting module comprises at least one of a vacuum module and a transport tunnel. 제63항에 있어서, 상기 연결 모듈은 운반 터널을 포함하고, 상기 운반 터널은, 상기 운반 터널 안에 배치되고 상기 운반 터널의 길이방향 단부들 사이에서 통행하도록 구성된 적어도 하나의 운반 카트를 구비하는, 기판 프로세싱 장치.64. The apparatus of claim 63, wherein the connection module comprises a transport tunnel, the transport tunnel comprising at least one transport cart arranged in the transport tunnel and configured to pass between longitudinal ends of the transport tunnel, Processing device. 제63항에 있어서, 상기 기판 프로세싱 장치는 프로세싱 도구 모듈을 더 포함하고, 상기 프로세싱 도구 모듈은 상기 자동화 모듈의 측부들 중 적어도 하나 상에 있는 상기 적어도 2개의 연결 포트들에 결합되는, 기판 프로세싱 장치.64. The apparatus of claim 63, wherein the substrate processing apparatus further comprises a processing tool module, wherein the processing tool module is coupled to the at least two connection ports on at least one of the sides of the automation module, . 제63항에 있어서, 상기 자동화 모듈의 상기 이송 장치는, 기판에 실질적으로 한번 접촉함으로써, 상기 기판을 상기 연결 모듈로부터 상기 자동화 모듈의 측부들 상에 배치된 개별 포트들 모두를 통하여 운반하도록 구성되는, 기판 프로세싱 장치.64. The system of claim 63, wherein the transfer device of the automation module is configured to carry the substrate from the connection module through all of the individual ports disposed on the sides of the automation module, by contacting the substrate substantially once , ≪ / RTI > 기판 프로세싱 장치로서:
내부에 밀봉된 환경을 유지할 수 있는 챔버를 형성하고 기판 포트 개구들을 구비함으로써, 상기 기판 포트 개구들을 통해 상기 챔버 안팎으로 기판들이 운반되는, 하우징을 포함하고, 상기 하우징은, 프로세스 도구 조립체의 측부와의 맞결합(mating)을 위한 맞결합 정합부(mating interface)를 한정하는 측부들을 구비하며, 상기 하우징의 적어도 일 측부는 하나 초과의 기판 운반 개구들을 구비하고, 상기 프로세스 도구 조립체의 측부에 있는 기판 운반 개구들은 상기 기판 운반 개구들에서 상기 맞결합 정합부에 맞결합되며, 상기 기판 운반 개구들은, 상기 프로세스 도구 조립체의 측부에 있는 기판 운반 개구들과 공동으로, 상기 하우징과 상기 프로세스 도구 조립체 사이의 장비 경계(equipment boundary)를 한정하며, 상이한 프로세싱 도구 조립체들은 미리 결정된 상이한 특성들을 가지며 상기 하우징의 상기 맞결합 정합부에 교환가능하게 맞결합될 수 있는,
기판 프로세싱 장치.
1. A substrate processing apparatus comprising:
Wherein the substrate is transported in and out of the chamber through the substrate port openings by forming a chamber capable of maintaining a sealed environment therein and having substrate port openings, the housing comprising: Wherein at least one side of the housing has more than one substrate transfer opening and wherein the substrate on the side of the process tool assembly Wherein the substrate transfer openings are in communication with substrate transfer openings on the side of the process tool assembly and between the housing and the process tool assembly Device boundary, and the different processing tool assemblies define a pre- Having different properties, which may be fit coupled interchangeably to suit the coupling mating portion of the housing,
Substrate processing apparatus.
제70항에 있어서, 상기 기판 프로세싱 장치는, 적어도 부분적으로 상기 하우징 안에 배치된 운반 장치를 더 포함하며, 상기 운반 장치는 베이스 링크 및 상기 베이스 링크 상에 장착된 적어도 하나의 운반 아암을 포함하고, 상기 적어도 하나의 운반 아암은, 상기 프로세스 도구 조립체의 이송 장치로의 기판들의 이송을 위해, 상기 기판 포트 개구들을 통해 상기 프로세스 도구 조립체 안으로 상기 기판들을 운반하도록 작동가능한, 기판 프로세싱 장치.71. The apparatus of claim 70, wherein the substrate processing apparatus further comprises a carrier apparatus disposed at least partially within the housing, the carrier apparatus including a base link and at least one transfer arm mounted on the base link, Wherein the at least one transfer arm is operable to transfer the substrates into the process tool assembly through the substrate port openings for transfer of substrates to a transfer device of the process tool assembly.
KR1020147024510A 2012-02-10 2013-02-11 Substrate Processing Apparatus KR102359364B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227003606A KR102529273B1 (en) 2012-02-10 2013-02-11 Substrate Processing Apparatus

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201261579507P 2012-02-10 2012-02-10
US61/579,507 2012-02-10
US201261660900P 2012-06-18 2012-06-18
US61/660,900 2012-06-18
US201261662690P 2012-06-21 2012-06-21
US61/662,690 2012-06-21
PCT/US2013/025513 WO2013120054A1 (en) 2012-02-10 2013-02-11 Substrate processing apparatus

Related Child Applications (2)

Application Number Title Priority Date Filing Date
KR1020167021551A Division KR102096074B1 (en) 2012-02-10 2013-02-11 Substrate Processing Apparatus
KR1020227003606A Division KR102529273B1 (en) 2012-02-10 2013-02-11 Substrate Processing Apparatus

Publications (2)

Publication Number Publication Date
KR20140133534A true KR20140133534A (en) 2014-11-19
KR102359364B1 KR102359364B1 (en) 2022-02-07

Family

ID=52454109

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147024510A KR102359364B1 (en) 2012-02-10 2013-02-11 Substrate Processing Apparatus

Country Status (1)

Country Link
KR (1) KR102359364B1 (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160075145A (en) * 2014-12-19 2016-06-29 주식회사 테스 Substrate processing system
CN108538747A (en) * 2017-03-03 2018-09-14 应用材料公司 The controllable delivery module of environment and processing system
KR101983620B1 (en) * 2018-07-19 2019-05-29 (주)밸류테크 Robot for wafer transfer
KR20210055082A (en) * 2018-09-21 2021-05-14 도쿄엘렉트론가부시키가이샤 Vacuum processing apparatus and substrate transfer method
US20210358781A1 (en) * 2020-05-11 2021-11-18 Advanced Micro-Fabrication Equipment Inc. China Semiconductor processing system
KR20220008770A (en) * 2020-07-14 2022-01-21 도쿄엘렉트론가부시키가이샤 Substrate transport system and substrate transport method
US11232965B2 (en) 2018-10-04 2022-01-25 Applied Materials, Inc. Transport system
KR20220037657A (en) * 2020-09-18 2022-03-25 세메스 주식회사 Apparatus for treating substrate and system for treating substrate with the apparatus
KR20220042646A (en) 2020-09-28 2022-04-05 현대중공업지주 주식회사 substrate transmission system
US11521870B2 (en) 2020-07-08 2022-12-06 Applied Materials, Inc. Annealing chamber
KR20230023041A (en) * 2020-09-02 2023-02-16 어플라이드 머티어리얼스, 인코포레이티드 Systems and methods for integrating loadlocks into a factory interface footprint space
KR20230023061A (en) * 2016-10-18 2023-02-16 매슨 테크놀로지 인크 Systems and methods for workpiece processing
KR20230042966A (en) * 2021-09-23 2023-03-30 한화정밀기계 주식회사 Modularized bonding apparatus
KR102638655B1 (en) * 2023-08-07 2024-02-20 에이피티씨 주식회사 Device for transferring substrates including multi-layer efem

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11300663A (en) * 1998-04-24 1999-11-02 Mecs Corp Thin substrate conveying device
JP2002158272A (en) * 2000-11-17 2002-05-31 Tatsumo Kk Double-arm substrate transfer device
US20030011338A1 (en) * 2001-07-13 2003-01-16 Ulysses Gilchrist Substrate transport apparatus with multiple independent end effectors
WO2010080983A2 (en) * 2009-01-11 2010-07-15 Applied Materials, Inc. Robot systems, apparatus and methods for transporting substrates in electronic device manufacturing

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11300663A (en) * 1998-04-24 1999-11-02 Mecs Corp Thin substrate conveying device
JP2002158272A (en) * 2000-11-17 2002-05-31 Tatsumo Kk Double-arm substrate transfer device
US20030011338A1 (en) * 2001-07-13 2003-01-16 Ulysses Gilchrist Substrate transport apparatus with multiple independent end effectors
WO2010080983A2 (en) * 2009-01-11 2010-07-15 Applied Materials, Inc. Robot systems, apparatus and methods for transporting substrates in electronic device manufacturing

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160075145A (en) * 2014-12-19 2016-06-29 주식회사 테스 Substrate processing system
KR20230023061A (en) * 2016-10-18 2023-02-16 매슨 테크놀로지 인크 Systems and methods for workpiece processing
CN108538747A (en) * 2017-03-03 2018-09-14 应用材料公司 The controllable delivery module of environment and processing system
CN108538747B (en) * 2017-03-03 2024-01-30 应用材料公司 Environment-controllable transfer module and processing system
KR101983620B1 (en) * 2018-07-19 2019-05-29 (주)밸류테크 Robot for wafer transfer
KR20210055082A (en) * 2018-09-21 2021-05-14 도쿄엘렉트론가부시키가이샤 Vacuum processing apparatus and substrate transfer method
US11894251B2 (en) 2018-10-04 2024-02-06 Applied Materials, Inc. Transport system
US11232965B2 (en) 2018-10-04 2022-01-25 Applied Materials, Inc. Transport system
US20210358781A1 (en) * 2020-05-11 2021-11-18 Advanced Micro-Fabrication Equipment Inc. China Semiconductor processing system
KR20210138505A (en) * 2020-05-11 2021-11-19 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드. 차이나 Semiconductor processing system
US11521870B2 (en) 2020-07-08 2022-12-06 Applied Materials, Inc. Annealing chamber
KR20220008770A (en) * 2020-07-14 2022-01-21 도쿄엘렉트론가부시키가이샤 Substrate transport system and substrate transport method
KR20230023041A (en) * 2020-09-02 2023-02-16 어플라이드 머티어리얼스, 인코포레이티드 Systems and methods for integrating loadlocks into a factory interface footprint space
KR20220037657A (en) * 2020-09-18 2022-03-25 세메스 주식회사 Apparatus for treating substrate and system for treating substrate with the apparatus
KR20220042646A (en) 2020-09-28 2022-04-05 현대중공업지주 주식회사 substrate transmission system
KR20230042966A (en) * 2021-09-23 2023-03-30 한화정밀기계 주식회사 Modularized bonding apparatus
KR102638655B1 (en) * 2023-08-07 2024-02-20 에이피티씨 주식회사 Device for transferring substrates including multi-layer efem

Also Published As

Publication number Publication date
KR102359364B1 (en) 2022-02-07

Similar Documents

Publication Publication Date Title
JP7292249B2 (en) Substrate processing equipment
KR102359364B1 (en) Substrate Processing Apparatus
US10777438B2 (en) Processing apparatus
JP2020074482A (en) Substrate transfer device
CN110246793B (en) Substrate transport apparatus
US20220266460A1 (en) Substrate transport apparatus
US11894252B2 (en) Substrate transport apparatus
US20230271792A1 (en) Substrate processing apparatus
CN117637564A (en) Substrate transport apparatus with individual accessory feed-throughs
US20240096672A1 (en) Substrate transport apparatus

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant