KR20140113612A - 이중 제어 모드 - Google Patents

이중 제어 모드 Download PDF

Info

Publication number
KR20140113612A
KR20140113612A KR1020140031332A KR20140031332A KR20140113612A KR 20140113612 A KR20140113612 A KR 20140113612A KR 1020140031332 A KR1020140031332 A KR 1020140031332A KR 20140031332 A KR20140031332 A KR 20140031332A KR 20140113612 A KR20140113612 A KR 20140113612A
Authority
KR
South Korea
Prior art keywords
state
ion energy
plasma
threshold
variable
Prior art date
Application number
KR1020140031332A
Other languages
English (en)
Other versions
KR102223863B1 (ko
Inventor
주니어 존 씨. 발코어
브래드포드 제이. 린다커
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/184,639 external-priority patent/US9842725B2/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20140113612A publication Critical patent/KR20140113612A/ko
Application granted granted Critical
Publication of KR102223863B1 publication Critical patent/KR102223863B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

플라즈마 시스템과 연관된 상태에 기초한 변수를 사용하는 시스템과 방법이 제공된다. 방법은 플라즈마 시스템과 연관된 상태가 제1상태 또는 제2상태인지 결정하는 단계와, 상태가 제1상태라 판정시 제1변수를 결정하는 단계를 포함한다. 제1변수는 통신 매체에서 측정치에 기초하여 결정된다. 방법은 상태가 제2상태라 판정시 제2변수를 결정하는 단계를 더 포함한다. 제2변수는 통신 매체에서의 측정치에 기초하여 결정된다. 방법은 제2변수가 제1임계치를 초과 여부를 판정하고, 제2변수가 제1임계치를 초과한다 판정시 플라즈마 챔버에 공급되는 전력을 줄이는 인스트럭션을 제공하고, 제2변수가 제1임계치 미만이라 판정시 플라즈마 챔버에 공급되는 전력을 늘리는 인스트럭션을 제공한다.

Description

이중 제어 모드{DUAL CONTROL MODE}
본 실시예는 플라즈마 시스템 내에서 이중 제어 모드를 사용하는 것에 관한 것이다.
플라즈마 시스템에서, 생성기는 플라즈마 챔버에 전력을 제공한다. 플라즈마 챔버에 공급된 전력은 플라즈마 챔버 내의 기판의 세정이나 에칭에 사용된다. 기판을 적절하게 세정하거나 에칭을 하기위해서는 플라즈마는 제어되어야 한다.
본 명세서에 기재된 실시예들이 이러한 맥락에서 나타난 것이다.
본 개시의 실시예들은 플라즈마 시스템내에서 이중 제어 모드에 사용하기 위한 장치, 방법 및 컴퓨터 프로그램을 제공한다. 본 실시예는 다양한 방법, 예를 들어, 프로세스, 자치, 시스템, 디바이스, 또는 컴퓨터 판독 가능한 매체 상의 방법으로 구현될 수 있다는 것을 이해하여야 한다. 몇몇 실시예들은 아래에 설명된다.
실시예에서, 상이한 변수는 플라즈마 챔버에서 플라즈마의 임피던스를 제어하기 위해 사용된다. 예를 들어, 제1변수는 S1상태 동안 플라즈마의 임피던스를 제어하기 위해 사용되고 제2변수는 S0상태 동안 플라즈마의 임피던스를 제어하기 위해 사용된다. 제1변수는 제2변수와 다르다. 예를 들면, 제1변수가 RF 전력이고 제2변수는 RF 전압이다. 다른 예로, 제1변수는 RF 전력이고 제2변수는 이온 에너지이다.
일 실시예에서, 플라즈마 시스템과 연관된 서로 다른 변수를 사용하기 위한 방법이 설명되어있다. 상기 방법은 플라즈마 시스템에 관계된 상태가 제1상태인 제2상태인지 판단하는 동작과 상태가 제1단계라고 하면 제1변수를 결정하는 동작을 포함한다. 제1변수는 통신 매체에서 측정에 기초하여 결정된다. 통신 매체는 라디오 주파수 (RF) 생성기와 플라즈마 시스템의 플라즈마 챔버사이에 위치된다. 상기 방법은 상태가 제2 상태라고 결정시 제2변수를 결정하는 단계를 더 포함한다. 제2변수는 통신 매체에서 측정에 기초하여 결정된다. 제1임계값을 초과하는지 여부를 결정하는 단계를 포함하는 상기방법은 제2변수가 제2변수는 제1임계값을 초과한다는 결정에 따라 플라즈마 챔버에 공급되는 전력을 감소시키는 인스트럭션을 제공하고, 제2변수가 제2변수는 제1임계값에 미달한다는 결정에 따라 플라즈마 챔버에 공급되는 전력을 증가시키는 인스트럭션을 제공한다. 상기방법은 하나이상의 프로세서에 의해 실행된다.
실시예에서, 플라즈마 시스템과 연관된 상태에 대한 이온 에너지를 사용하는 방법이 설명한다. 상기 방법은 플라즈마 시스템에 연관된 상태가 제1상태 또는 제2상태인지 여부를 결정하는 동작, 상태가 제2상태라는 결정에 따라 플라즈마 챔버와 연관된 에너지를 결정하는 동작, 이온에너지가 제1이온 임계에너지와 일치하는지 결정하는 동작을 포함한다. 이온 에너지가 제1이온 에너지 임계치와 불일치한다는 결정시 플라즈마 챔버에 제공하는 전력을 변경하는 인스트럭션을 제공하는 동작을 더 포함한다. 상기방법은 하나이상의 프로세서에 의해 실행된다.
실시예에서, 시스템과 연관된 상태에 기초한 상이한 변수를 사용하기 위한 플라즈마 시스템이 설명된다. 플라즈마 시스템은 플라즈마 챔버를 포함한다. 플라즈마 챔버는 기판을 지지하는 척과 척의 상부에 위치하는 상부 전극을 포함한다. 플라즈마 챔버는 제1통신 매체를 통해 플라즈마 챔버에 결합 된 임피던스 매칭 회로와 제2통신 매체를 통해 임피던스 정합 회로에 결합 된 무선 주파수 (RF) 생성기를 포함한다. 플라즈마 시스템은 센서를 포함한다. 센서는 제1통신 매체를 통해 전송된 RF 신호로부터 제1측정과 제2측정을 생성하기 위한 제1통신 매체와 결합한다. 플라즈마 시스템은 RF 생성기에 결합된 프로세서를 포함한다. 프로세서는 플라즈마 시스템과 연관된 상태가 제1상태 또는 제2상태 인지를 결정하고 상태가 제1상태라면 제1변수를 결정하도록 구성된다. 제1변수는 제1측정에 기초하여 결정된다. 프로세스는 상태가 제2상태라면 제2변수를 결정하도록 또한 결정된다. 제2변수는 제2측정에 기초하여 결정된다. 프로세스는 제2변수가 제1임계치를 초과하는지 결정하고, 제2변수가 제1임계치를 초과한다 결정되면 플라즈마 챔버에 전원 공금을 차감시키는 인스트럭션을 제공하고, 제2변수가 제1임계치에 미달한다 결정되면 플라즈마 챔버에 전원 공금을 증가시키는 인스트럭션을 제공한다.
실시예서는, 시스템과 연관된 상태에 기초한 다른 변수를 사용하는 플라즈마 시스템을 설명한다. 플라즈마 시스템은 플라즈마 챔버를 포함한다. 플라즈마 챔버는 기판을 지지하는 척과 척 위의 상부전극을 포함한다. 플라즈마 시스템은 제1통신 매체를 통해 플라즈마 챔버에 결합된 임피던스 매칭 회로를 포함한다. 플라즈마 시스템은 제2통신 매체를 통해 임피던스 정합 회로에 결합된 무선 주파수 (RF) 생성기를 포함한다. 플라즈마 시스템은 센서를 포함한다. 센서는 제1통신 매체로부터 전달된 RF신호로 부터 제1측정치과 제2측정치를 생성하는데 이용된다. 플라즈마 시스템은 RF 생성기에 결합 된 프로세서를 포함한다. 프로세서는 플라즈마 시스템과 연관된 상태가 제1상태 또는 제2상태인지를 결정하고 상태가 제2상태라고 결정시 플라즈마 챔버와 연관된 이온 에너지를 결정하도록 구성된다. 이온 에너지는 제1측정치에 기초하여 결정된다. 프로세서는 이온 에너지가 제1이온 에너지 임계값을 초과하는지 여부를 결정하고, 이온 에너지가 제1이온 에너지 임계값을 초과한다면 플라즈마 챔버에 공급되는 전력을 감소시키는 인스트럭션을 제공하도록 구성된다. 프로세서는 이온 에너지가 제1이온 에너지 임계값 이하라고 결정시 플라즈마 챔버에 공급되는 전력을 증가시키는 인스트럭션을 제공하도록 구성된다.
전술 한 실시 형태의 일부 이점은 제2변수보다 제1변수를 제1상태 동안 사용하여 플라즈마를 제어하는 것에 있다. 예를 들어, 제1상태 동안, RF 전력의 측정을 기반으로보다 플라즈마 이온 에너지의 측정에 기초하여 나 RF 전력의 측정을 기반으로 플라즈마에 제공되는 전력을 제어하는 것이 덜 중요하다. 다른 예로서, 제 1 상태 동안, 고 에너지 공정, 예를 들면 높은 레이트의 에칭, 저속 레이트의 증착, 높은 레이트의 에칭과 낮은 레이트의 증착의 조합 등이 수행되고 고 에너지 프로세스를 수행하기 위해 RF 전력의 측정치에 기반으로 RF 전력을 제어하는 것이 이온 에너지 및/또는 RF 전압의 측정 측정을 기반으로 RF 전력을 제어하는 것보다 중요하다. RF 전압 및/또는 이온 에너지는 RF 전력보다 제1상태 동안 고 에너지 공정의 제어에 덜 적합하다. 또 다른 예를 들면, 제1상태 동안 고 에너지 프로세스의 성능을 촉진하는데 전류가 전압보다 더 중요하다. 제1상태에서 플라즈마 임피던스를 제어하기 위해서는 제1변수가 제2변수보다 중요하고, 전압의 사용은 플라즈마 임피던스 제어에서 덜 강조되며 전류의 사용은 플라즈마 임피던스 제어에서 강조된다.
전술한 실시예의 추가적인 장점은 제2상태 동안 제2변수를 사용하는 것이 제1변수를 사용하는 것보다 더 나은 플라즈마 임피던스 제어를 제공한다. 예를 들어, 제2상태 동안, 플라즈마 챔버에 공급하는 RF 전력을 제어하기 위해 RF전력 측정치에 기반하는 것보다 이온 에너지나 RF 전압에 기반하는 것이 더 중요하다. 다른 예로, 제2상태 동안, 저 에너지 프로세스, 예를 들어 고 레이트 증착, 저 레이트 에칭, 고 레이트 증착과 저 레이트 에칭의 조합 등을 수행되거나 저 에너지 프로세스를 수행하기 위해 RF 전력을 제어하는데 이온 에너지 및/또는 RF 전압의 측정 측정을 기반으로 RF 전력을 제어하는 것이 RF 전력을 기반으로 하는 것보다 중요하다. 또 다른 예로, 제2상태 동안 저 에너지 프로세스의 성능을 촉진하는데 전류가 전압보다 덜 중요하다. 제2상태에서 플라즈마 임피던스를 제어하기 위해서는 제2변수가 제1변수보다 중요하고, 전류의 사용은 플라즈마 임피던스 제어에서 덜 강조되며 전압의 사용은 플라즈마 임피던스 제어에서 강조된다.
또한, 전술한 실시예의 장점은, 이온에너지를 이용하여 플라즈마 임피던스의 보다 나은 제어를 제공하는 것이다. RF 전압 및 직류 (DC) 바이어스 전위 둘 다 이온 에너지를 결정하는데 사용된다. DC 바이어스 전위는 전압과 전류의 기능을 한다. 전압 및 전류 모두가 플라즈마 임피던스를 제어하는 전력 양을 결정하는 데 사용되는 경우가 전압이 플라즈마의 임피던스를 제어하기 위해 사용되는 경우보다 더 정확하게 이루어진다. DC 바이어스 및 RF 전압 모두가 플라즈마 임피던스를 제어하기 위해 사용되는 전력을 결정하는데 사용되는 경우에, DC 바이어스 또는 RF 전압만을 사용할 때와 비교하여 정확하게 제어를 실행한다. 따라서, 이온 에너지는 플라즈마 챔버 내의 플라즈마의 플라즈마 임피던스의 더 나은 제어를 제공한다.
다른 모습은 첨부된 도면과 상세한 설명으로부터 명백해질 것이다.
실시예들은 도면과 연계하여 취해진 다음의 설명을 참조하여 이해될 수 있다.
도 1a는 본 개시에서 기술된 실시예에 따른, 플라즈마 시스템과 연관된 상태에 기초한하여서 상이한 변수들을 사용하기 위한 시스템 및 방법을 설명하기 위해 사용 되는 도면이다.
도 1b는 본 개시에서 기술된 실시예에 따른, 플라즈마 시스템과 연관된 두 상태 중에 이온 에너지를 사용하기 위한 시스템 및 방법을 설명하기 위해 사용되는 도면이다.
도 2는 본 개시에서 기술된 실시예에 따른, 플라즈마 시스템의 실시예의 블록도 이다.
도 3은 본 개시에서 기술된 실시예에 따른, 도 2의 플라즈마 시스템과 연관된 상태에 기초하여 상이한 변수들을 사용하기 위한 방법의 실시예의 흐름도이다.
도 4는 본 개시에서 기술된 실시예에 따른, 시간에 따른, 플라즈마 챔버의 전극에 2 MHz RF 생성기 및 60 MHz의 RF 생성기에 의해 제공되는 무선 주파수 (RF) 전력을 나타내는 그래프이다.
도 5a는 본 개시에서 기술된 실시예에 따른, 2 MHz RF 신호 및 60 MHz RF 신호의 다양한 전력 값을 예시하는 그래프의 실시예를 나타낸다.
도 5b는 본 개시에서 기술된 실시예에 따른, 두 개의 RF 신호가 변화하는 값을 가질 수 있는 두 개의 RF 신호의 그래프를 보여준다.
도 6a는 본 개시에서 기술된 실시예에 따른, 세 개의 RF 신호들 중 하나의 신호는 고정된 값을 가지며 RF 신호들 중 다른 하나는 일정한 값 또는 변화하는 값을 갖고 있는 그래프를 나타낸다.
도 6b는 본 개시에서 기술된 실시예에 따른, 세 개의 RF 신호들 중 하나의 신호는 고정된 값을 가지며 나머지 두 RF 신호는 변화하는 값을 갖고 있는 그래프를 나타낸다.
도 6c는 본 개시에서 기술된 실시예에 따른, 세 개의 RF 신호들 중 하나의 신호는 고정된 값 또는 변화하는 값을 가지며 나머지 두 RF 신호는 변화하는 값을 갖고 있는 그래프를 나타낸다.
도 6d는 본 개시에서 기술된 실시예에 따른, 세 개의 RF 신호들 변화하는 값을 가지는 그래프를 나타낸다.
도 6e는 본 개시에서 기술된 실시예에 따른, 세 개의 RF 신호들 중 하나의 신호는 고정된 값 또는 변화하는 값을 가지며 나머지 RF 신호들은 변화하는 값을 갖고 있는 그래프를 나타낸다.
도 6f는 본 개시에서 기술된 실시예에 따른, 세 개의 RF 신호들 변화하는 값을 가지는 그래프를 나타낸다.
도 7은 본 개시에서 기술된 실시예에 따른, 도2의 플라즈마 시스템과 연관된 상태에 대한 이온 에너지를 사용하기 위한 방법의 실시예의 흐름도이다.
도 8은 본 개시에서 기술된 실시예에 따른, 도 3 및 도 7의 방법을 실행하는데 사용되는 호스트 시스템의 실시예의 블록도이다.
아래 실시예들은 플라즈마 시스템에서 이중 제어 모드를 사용하기 위한 시스템과 방법들을 설명하고 있다. 본 실시예들은 특정 세부 사항의 일부 또는 전부 없이도 실시될 수 있음은 자명할 것이다. 다른 예들에서, 잘 알려진 프로세스 동작은 불필요하게 발명의 실시예를 모호하게 하지않기 위해 상세하게 설명하지는 않았다.
도 1a는 플라즈마 시스템과 연관된 상태에 기초하여 변수들을 사용하기 위한 시스템 및 방법을 설명하기 위해 사용되는 도면이다. 플라즈마 시스템과 연관된 상태가 s1인 경우, 플라즈마 시스템은 제1변수 제어 모드 (155) 에서 동작한다. 상태 s1의 예로는 하이 상태, 1의 값을 갖는 상태 및 온 상태 등을 포함한다. 제1변수 제어 모드 (155) 동안, 플라즈마 시스템의 플라즈마와 연관된 제1변수가 측정되고 플라즈마의 임피더스가 하나 이상의 제1변수 값에 기초하여 제어된다. 제1변수의 예로는 전압, 전류, 전력, 임피던스, 이온 에너지, 바이어스 전위 및 이들의 조합을 포함한다.
또한, 플라즈마 시스템에 연관된 상태가 s0 일 때, 플라즈마 시스템은 제2변수 제어 모드 (157) 에서 동작한다. 상태 s0의 예로는 로우 상태, 0의 값을 갖는 상태 및 오프 상태를 포함한다. 로우 값은 하이 값보다 작다. 제2변수 제어모드 (157) 동안, 플라즈마 시스템의 플라즈마과 연관된 제2변수는 측정되고 플라즈마의 임피던스는 하나 이상의 제2변수에 기초하여 제어된다. 제2변수의 예로는 전압, 전류, 전력, 임피던스, 이온 에너지, 바이어스 전위 및 이들의 조합을 포함한다.
다양한 실시예에서, 전원은 공급 전력 또는 반사 전력 또는 전달 전력을 포함한다. 공급 전력은 RF생성기에 의한 임피던스 매칭 회로 및 RF 전송 라인을 통해 플라즈마 챔버에 공급된 전력이다. 반사전력은 플라즈마 챔버로 부터 임피던스 매칭 회로 및 RF전송 라인을 통해 RF 생성기에 반사된 전력이다. 전달 전력은 공급전력과 반사전력의 차이이다.
어떤 실시예에서, 제2변수는 제1변수와 다르다. 예를 들어, 제2변수는 제1변수와 동일하지 않다. 예를 들어, 제1변수가 플라즈마 시스템에서의 플라즈마와 연관된 전력이라면, 제2변수는 플라즈마와 연관된 이온 에너지이다. 다시 예를 들면 제1변수가 플라즈마 시스템의 플라즈마와 연관된 전력이라면, 제2변수는 플라즈마와 연관된 무선주파수 (RF) 전압이다. 다른 예를 들면, 제1변수가 플라즈마 시스템에서의 플라즈마와 연관된 RF 전류라면, 제2변수는 플라즈마와 연관된 RF 전압 또는 플라즈마와 연관된 이온에너지이다. 또 다른 예를 들면, 제1변수가 플라즈마 시스템의 플라즈마와 연관된 바이어스 전위라면, 제2변수는 플라즈마와 연관된 RF 전압 또는 플라즈마와 연관된 이온 에너지이다.
어떤 실시예에서, 제1변수는 제2변수와 같다. 예를 들어, 제1변수 제2변수 모두 RF전력, RF전압 또는 이온에너지이다.
도 1b는 상태 S1 및 S0 동안 이온 에너지 제어 모드 (160)(164) 의 사용에 대한 예시도이다. 각 이온 에너지 제어 모드 (160) 동안, 플라즈마 시스템에서 플라즈마와 연관된 이온 에너지는 제어된다. 예를 들어, 플라즈마 시스템 내에서 플라즈마와 연관된 이온 에너지를 측정 또는 결정되고 플라즈마의 임피던스는 이온 에너지의 하나 이상의 값에 기초하여 제어된다.
도 2는 플라즈마 시스템 (104) 의 실시예의 블럭도이다. 플라즈마 시스템 (104) 은 x MHz RF 생성기와 y MHz RF 생성기에 연결되는 호스트 시스템 (180) 을 포함한다. x MHz의 예는 2 MHz, 27 MHz 및 60 MHz 이다. y MHz의 예는 2 MHz, 27 MHz 및 60 MHz 이다. 일부 실시예에서는 x MHz는 y MHz와 다르다. 예를 들어, x MHz는 2 MHz의 경우 y ㎒는 26 또는 60 MHz이다. 다른 예로, x MHz는 27 MHz의 경우 y ㎒는 60 MHz이다. 실시예에서 호스트 시스템 (180) 은 임의의 수의 RF생성기에 연결된다. 호스트 시스템(180)의 예로는 데스크톱 컴퓨터, 노트북 컴퓨터, 스마트 폰, 태블릿 등의 컴퓨팅 디바이스를 포함한다.
플라즈마 시스템 (104) 은 임피던스 매칭 네트워크 (112) 및 플라즈마 챔버 (114) 를 더 포함한다. 임피던스 매칭 네트워크 (112) 는 이 네트워크에 연결된 소스의 임피던스와 임피던스 매칭 네트워크에 연결된 부하의 임피던스를 매칭하기 위해 인덕터, 커패시터등의 전기 회로 구성 요소를 포함한다. 예를 들어, 임피던스 매칭 네트워크 (112) 는 플라즈마 챔버 (114), RF 전송 라인 (15) 하나 이상의 파트의 임피던스와 커플링한다. 예를 들어, 임피던스 매칭 네트워크 (112) 는 일측상의 임피던스 매칭 네크워크 (112) 와 연결된 플라즈마 시스템 (104) 의 하나 이상의 예를 들어 플라즈마 챔버 (114) , RF 전송 라인 (150) 등과 같은 부분과 다른 한 측상의 임피던스 매칭 네트워크 (112) 와 연결된 플라즈마 시스템 (104) 의 하나 이상의 예를 들어 x MHz RF 생성기, y MHz RF 생성기, 통신매체 (110) 및 (196) 등과 같은 부분을 매칭한다. 일 실시예에서, 임피던스 매칭 네트워크 (112) 는 소스의 임피던스와 부하 임피던스 사이의 매칭을 실현하기 위해 조절된다. 부하와 소스 사이의 임피던스 매칭은 부하에서 소스로 전력이 반사되는 확률을 줄일 수 있다. 통신매체의 예는 RF 로드, 케이블, 와이어, RF 스트랩, 또는 RF 신호의 전송을 실현하는 임의의 다른 도전성 소자를 포함한다.
플라즈마 챔버 (114) 는 정전 척 (ESC) (146), 상부 전극 (194) 및 이 상부 전극 (194) 을 둘러싸는 상부 유전체 링, 상부 유전체 링을 둘러싸는 상부 전극 확장부, ESC (146) 의 하부 전극을 둘러싸는 하부 유전체 링, 하부 유전체 링을 둘러싸는 하부 전극 확장부, 상부 플라즈마 제거 (exclusion) 구역 (PEZ) 링, 하부 PEZ 링 등과 같은 다른 부분들 (미도시) 을 포함한다. 상부 전극 (194) 은 ESC (146) 의 상부에 있으며, 대향 하면서 마주보고 있다. 기판 등과 같은 워크 피스 (119) ESC (146)의 상부면 (127) 에 의해 지지가 된다. 이 기판의 예는 반도체 웨이퍼를 포함한다. 기판의 또 다른 예는 회로에 트랜지스터, 저항, 커패시터, 논리 게이트 등이 포함된 전기 회로 웨이퍼를 포함한다. ASIC (application specific integrated circuit), PLD (programmable logic device) 등과 같은 집적 회로들이 이 처리 대상 (119) 상에서 개발되며 이 집적 회로들은 휴대폰, 태블릿, 스마트 폰, 컴퓨터, 랩톱, 네트워킹 장치 등과 같은 다양한 전자 제품에서 사용된다. 상부 전극 (194) 및 하부 전극 각각은 예를 들어서 알루미늄, 알루미늄 합금, 구리 등과 같은 금속으로 구성된다.
일 실시예에서, 상부 전극 (194)은 중앙 가스 공급부 (미도시) 에 결합하는 구멍을 포함한다. 중앙의 가스 공급은 가스 공급부 (미도시) 에서 하나 이상의 프로세스 가스를 받는다. 처리 가스의 예는 O2, 와 같은 산소 함유 가스를 포함한다. 프로세스 가스의 다른 실례는 CF4 (tetrafluoromethane), SF6 (sulfur hexafluoride), C2F6 (hexafluoroethane) 등과 같은 불소 함유 가스를 포함한다.
일부 실시예에서, 상부 전극 (194) 은 접지된다.
ESC (146) 는 임피던스 매칭 네트워크 (112), RF 전송 라인 (150), 및 통신 매체 (110) 및 (196) 를 통해 X MHz RF 생성기와 Y MHz RF 생성기에 연결된다. RF 전송 라인 (150) 은 임피던스 매칭 네트워크 (112) 와 플라즈마 챔버 (114)간에 RF 신호를 전달하기 위해 RF 로브, RF 스트랩, 케이블, 와이어, 커넥터, 또는 이들의 조합 등인 하나 이상의 통신 매체를 포함한다.
프로세스 가스가 상부 전극 (194) 와 ESC (146) 사이에 공급되고 x MHz RF 생성기 및/또는 Y MHz RF 생성기가 ESC (146)에 임피던스 매칭 네트워크 (112)를 통해 전력을 공급하는 경우, 프로세스 가스는 플라즈마 챔버 (114) 내에 플라즈마를 생성하기 위해 점화된다.
호스트 시스템 (180) 은 S1과 S0 두 가지 상태를 갖는 디지털 펄스 신호 (122) 를 생성한다. 일 실시예에서, 플라즈마 시스템 (104) 과 연관된 상태는 디지털 펄스 신호 (122)의 상태와 동일하다. 예를 들어, 디지털 펄스 신호 (122) 는 50%의 듀티 사이클 상태를 갖는다. 다른 예로서, 디지털 펄스 신호 (122) 는 50% 이외의 듀티 사이클을 갖는다. 이 예에서, 하나의 상태는 하이 듀티 사이클이고 다른 하나의 상태는 로우 듀티 사이클이다. 일 실시예에서, 호스트 시스템 (180) 을 사용하여 디지털 펄스 신호 (112) 를 생성하는 것을 대신하여 오실로 스코프와 같은 클럭 소스를 디지털 펄스 신호 (122) 의 예인 클럭 시그널을 생성하는데 이용한다.
디지털 펄스 신호 (122) 는 호스트 시스템 (180)에 의해 X MHz의 RF 생성기의 디지털 신호 프로세서 (DSP) (166) 및 Y MHz의 RF 생성기의 DSP (178)에 전송된다. 프로세서의 예는 ASIC, PLD, 마이크로 프로세서, 마이크로 컨트롤러, 중앙 처리 장치 (CPU) 등을 포함한다.
디지털 펄스 신호 (112)를 수신하면, 각각의 DSP (166) 및 (178) 는 디지털 펄스 신호 (112) 의 상태가 S1 또는 S0 인지 여부를 판정한다. 예를 들어, DSP (166) 는 상태 S0와 S1를 구별한다. 다른 예로서, DSP (166)는 상기 디지털 펄스 신호 (122) 는 제1세트 기간 동안 1값, 하이 값 등과 같은 제1크기를 가지고 있으며, 제2세트 기간 동안 0 값, 로우 값 등의 제2크기를 가지고 있는 지를 판단한다. DSP (166)는 디지털 펄스 신호 (122) 가 제1주기 동안 상태 S1 마련되어 제2주기 동안 상태 S0를 가지고 있는지를 판단한다. 또 다른 예로, 시간주기의 첫 번째 구간 동안 디지털 펄스 신호 (122)의 값이 미리 저장된 값보다 큰지 판정하고 시간 주기의 두 번째 구간동안 디지털 펄스 신호 (122) 의 상태 S0의 값이 미리 저장된 값보다 크지 않다는 것을 판정하기 위해 DSP (166) 은 디지털 펄스 신호 (122) 의 값을 미리 저장된 값과 비교한다. 클록 발진기가 사용되는 실시예에서, DSP (166) 는 클록 발진기로부터 아날로그 클록 신호를 수신하여 디지털 형태로 아날로그 신호를 변환 한 다음 두 상태 S0 및 S1을 식별한다.
플라즈마 시스템 (102) 과 연관된 상태가 S1 인 경우, DSP (166) 는 파라미터 값 Px1 생성하고, 파라미터 값 Px1을 x MHz RF 생성기의 파라미터 제어부 (168) 에 제공한다. 파라미터의 예로는 주파수, 전력 등을 포함한다. 추가로, 플라즈마 시스템 (102) 과 연관된 상태가 S1 인 경우, DSP (178) 는 파라미터 값 Py1 생성하고, 파라미터 값 Py1을 y MHz RF 생성기의 파라미터 제어부 (182) 에 제공한다.
유사하게, 플라즈마 시스템 (102) 과 연관된 상태가 S0 인 경우, DSP (166) 는 파라미터 값 Px0 생성하고, 파라미터 값 Px0을 x MHz RF 생성기의 파라미터 제어부 (170) 에 제공한다. 또, 플라즈마 시스템 (102) 과 연관된 상태가 S0 인 경우, DSP (178) 는 파라미터 값 Py0 생성하고, 파라미터 값 Py0을 y MHz RF 생성기의 파라미터 제어부 (184) 에 제공한다.
이 실시예에서, RF 생성기의 파라미터 제어는 컴퓨터 판독 가능 매체 내에 구현 된고 RF 생성기의 DSP에 의해 실행되는 컴퓨터 프로그램의 일부인 것을 주의해야한다. 예를 들어, 파라미터 제어부 (168) 및 파라미터 제어부 (170)는 튜닝 루프와 같은 로직 블럭이며, 이것은 DSP (166) 에 의해 실행되는 컴퓨터 프로그램의 일부이다. 다른 예로서, 파라미터 제어부 (182) 및 파라미터 제어부 (184)는 DSP (178) 에 의해 실행되는 컴퓨터 프로그램의 논리 블록이다.
일부 실시예에서, 컴퓨터 프로그램은 저장장치와 같은 비 일시적 컴퓨터 판독 가능 매체 내에 구현된다. 저장장치의 예는 판독 전용 메모리 (ROM), 랜덤 액세스 메모리 (RAM), 또는 이들의 조합을 포함한다. 설명하자면, 저장 장치 등의 하드 디스크, 플래시 메모리, RAID (a redundant array of independent disks), 등을 포함한다.
일 실시예에서, 마이크로 컨트롤러, ASIC, PLD 등과 같은 하드웨어 제어기가 파라미터 제어부 대신 사용된다. 예를 들어 어떤 제어기는 파라미터 제어부 (176)를 대신하고, 다른 제어기는 파라미터 제어부 (178)를 대신하고, 또 다른 제어기는 파라미터 제어부 (182)를 대신하고, 또 다른 제어기는 파라미터 제어부 (184)를 대신한다. 일부 실시예에서, 하드웨어 제어기는 프로세서 및 저장 장치를 포함한다.
파라미터 제어부 (168) , 파라미터 제어부 (170) , 및 DSP (166)는 제어 시스템 (171) 의 부분이고, 파라미터 제어부 (182), 파라미터 제어부 (184) , 및 DSP (178) 는 제어 시스템 (181) 의 부분이다.
플라즈마 시스템 (104) 와 연관된 상태 S1 동안, DSP (166) 와 x MHz RF 생성기의 DAS (172)에 결합하는 제어 파라미터부 (168)는 DSP (166) 로부터 파라미터 값 Px1을 수신한다. 파라미터 값 Px1에 기초하여, x MHz RF 생성기는 통신 매체 (110) 상의 RF 신호를 생성하고, RF 신호는 통신 매체 (110)를 통해 x MHz의 RF 생성기로부터 임피던스 매칭 네트워크 (112)로 보내진다. 예를 들어, 파라미터 제어부 (168) 는 구동 파라미터 값과 파라미터 Px1 값을 DAS (172) 의 드라이버 (174)에 제공하기 위해 대조한다. 통신 매체 (110)는 x MHz RF 생성기와 임피던스 매칭 네트워크 (112) 를 연결한다.
드라이버 (174) 는 구동 파라미터 값을 갖는 RF 신호를 생성하고, DAS (172) 의 증폭기 (176) 에 RF 신호를 제공한다. 일 실시예에서, 구동 파라미터 값은 파라미터 값 Px1과 동일하다. 증폭기 (176) 는 증폭된 파라미터 값을 갖는 RF 신호를 생성하도록 구동 파라미터 값을 증폭하기도 하며, 이는 드라이버 (174) 로부터 증폭기 (176) 에 의해 수신되는 RF 신호의 구동 파라미터 값과 동일 할 수 있다. 증폭된 파라미터 값을 갖는 RF 신호는 통신 매체 (110)를 통해 DAS (172)에서 임피던스 매칭 네트워크 (112)로 공급된다.
마찬가지로, 플라즈마 시스템 (104)과 연관된 상태 S1 동안, 드라이버 (190) 및 증폭기 (192)를 포함하는 DAS (188) 는 통신 매체 (196)를 통해 임피던스 매칭 네트워크 (112)로 전송되는 RF신호를 생성한다. 통신매체 (196) 는 임피던스 매칭 네트워크 (112) 를 y MHz RF 생성기와 연결한다.
통신 매체 (110) 를 통해 x MHz RF 생성기와 통신 매체 (196)를 통해 y MHz RF 생성기로부터 수신된 RF 신호는 상태 S1 동안 RF 신호 (154) 를 생성하기 위하여 임피던스 매칭 네트워크 (112)에서 가산, 합산 등과 같은 결합이 된다. 일부 실시예에서, 임피던스 매칭 네트워크 (112) 는 부하 임피던스를 매칭하기 위해 x 및 y MHz RF 생성기로부터 수신된 RF 신호들과 RF 신호 생성을 위한 소스와 결합한다. RF 신호 (154) 는 RF 전송 라인 (150)을 통해 ESC (146) 에 ESC (146) 하부 전극에 RF전력을 공급하기 위해 전송된다.
또한, 플라즈마 시스템 (102)에 연관된 상태 S0동안, DSP (166) 및 DAS (172) 에 연결되는 파라미터 제어부 (170) 는 DSP (166) 로부터 파라미터 값 Px0를 수신한다. 파라미터 값 Px0에 기초하여, x MHz RF 생성기는 통신 매체 (110)를 통해 x MHz RF 생성기로부터 임피던스 매칭 네트워크 (112) 에 전송되는 RF 신호를 생성한다. 예를 들어, 파라미터 제어부 (170) 는 구동 파라미터 값과 파라미터 Px0 값을 드라이버 (174)에 제공하기 위해 대조한다. 드라이버 (174) 는 구동 파라미터 값을 갖는 RF 신호를 생성하고, 증폭기 (176) 에 RF 신호를 제공한다. 일 실시예에서, 구동 파라미터 값은 파라미터 값 Px0과 동일하다. 증폭기 (176) 는 증폭 된 파라미터 값을 갖는 RF 신호를 생성하도록 구동 파라미터 값을 증폭하기도 하며, 이는 드라이버 (174) 로부터 증폭기 (176) 에 의해 수신되는 RF 신호의 구동 파라미터 값과 동일 할 수 있다. 증폭된 파라미터 값을 갖는 RF 신호는 통신 매체 (196)를 통해 DAS (172)에서 임피던스 매칭 네트워크 (112)로 공급된다.
마찬가지로, 플라즈마 시스템 (104)과 연관된 상태 S0 동안, DAS (188) 는 통신 매체 (196) 를 통해 임피던스 매칭 네트워크 (112) 로 전송되는 RF신호를 생성한다. 상태 S0 동안 x MHz RF 생성기와 y MHz RF 생성기로부터 수신된 RF 신호는 RF 신호 (156) 를 생성하기 위하여 임피던스 매칭 네트워크 (112) 에서 결합한다. RF 신호 (156) 는 플라즈마 챔버 (114) 의 ESC (146) 의 하부 전극으로 RF 전송 라인 (150) 을 통해 제공된다.
RF 신호 (154) 및 RF 신호 (156) 에서 공급되는 전력에 대한 응답으로, 전력은 x MHz RF 생성기와 y MHz RF 생성기를 향해 플라즈마 챔버 (114) 로부터 반사된다는 것에 주의해야 한다. 예를 들어, RF 신호 (154) 및 (156) 내에서 공급되는 순방향 전극에 대한 응답으로, 전력은 RF 전송 라인(150), 임피던스 매칭 네트워크 (112), 및 통신 매체 (110)을 통해 플라즈마 챔버 (114)에서 x MHz RF 생성기로 반사된다. 예를 들어, RF 신호 (154) 및 (156) 내에서 공급되는 공급 전력에 대한 응답으로, 전력은 RF 전송 라인(150), 임피던스 매칭 네트워크 (112), 및 통신 매체 (196)을 통해 플라즈마 챔버 (114)에서 y MHz RF 생성기로 반사된다.
일부 실시예에서, 순방향 전력 및 공급 전력은 본원에서 상호 교환적으로 사용된다.
x MHz RF 생성기의 전압 및 전류 (VI) 프로브 (152)는 통신 매체 (110)를 통해 공급전력, 반사전력, 전달전력 등의 전력을 감지한다. 일부 실시예에서, 프로브 및 센서는 상호 교환적 용어로 사용된다. 마찬가지로, y MHz RF 생성기의 전압 및 전류 프로브 (186) 는 통신 매체 (196)를 통해 전력을 감지한다.
전압 및 전류 프로브 (152) 및 (186) 각각의 실례는 사전 설정된 공식에 따르는 전압 및 전류 프로브를 포함한다. 사전 설정된 공식의 실례는 센서들에 대한 표준을 개발하는 협회 (Assocication) 가 따르는 표준을 포함한다. 사전 설정된 공식의 다른 실례는 NIST (National Institute of Standards and Technology) 표준을 포함한다. 예로, 전압 및 전류 프로브 (152) 또는 (186) 는 NIST 표준에 따라 보정된다. 본 예시에서, 전압 및 전류 프로브 (152 또는 186) 각각은 이 NIST 표준에 따르도록 전압 및 전류 프로브 (152,186) 를 캘리브레이션하는 개방 회로, 쇼트 회로 (short circuit) 또는 알려진 부하에 접속된다. 전압 및 전류 프로브 (152 또는 186) 각각은 이 NIST 표준에 기초하여서 전압 및 전류 프로브 (158) 또는 (186) 가 캘리브레이션되도록 먼저 개방 회로에 접속되고, 이어서 쇼트 회로에 접속되고 이어서 알려진 부하에 접속될 수도 있다. 전압 및 전류 프로브 (152) 또는 (186) 는 NIST 규격에 따른 전압 및 전류 프로브 (152) 또는 (186) 를 보정하기 위해 임의의 순서로, 공지된 저항에 결합 될 수 있다. 공지된 부하의 실례는 50옴 저항, 100옴 저항, 200옴 저항, 고정저항, 직류 (DC) 저항, 저항기 등을 포함한다. 예시에서, 각 전압 및 전류 프로브 (152 또는 186) 는 NIST-추적(traceable) 표준에 따라 캘리브레이션된다.
두 개의 RF 생성기는 도 2에 도시되어 있지만, 일부 실시예에서, RF 생성기의 다른 수의 생성기가 사용될 수 있다. 예를 들어, 2 MHz RF 생성기, 27 MHz RF 생성기와 60 MHz RF 생성기를 더하여 사용될 수 있다. 다른 예로서, x MHz RF 생성기는 다른 RF 생성기를 사용하지 않고 사용된다.
상태 S1 중에 생성된 RF 신호 (154) 와 상태 S0 중에 생성된 RF 신호 (156) 는 RF 전송 라인 (150) 을 통해 전송되는 결합 된 RF 신호의 일부라는 것에 주의해야 한다. 예를 들어, RF 신호 (154) 는 결합 된 RF 신호의 일부이다. 이 예에서, RF 신호 (154) 는 결합 된 RF 신호의 다른 일부가 RF 신호 (156) 보다 높은 전력 양을 갖는다.
일부 실시예에서, 각각의 제1변수 및 제2변수는 모델링 된 변수이다. 예를 들어, 호스트 시스템 (180) 의 프로세서는 예를 들어 통신 매체 (110) , 통신 매체 (196) , 임피던스 매칭 네트워크 (112) , RF 전송 라인 (150) , ESC (146), 등과 같은 하나 이상의 플라즈마 시스템 (104) 의 부분의 모델을 생성한다. 예를 들어, 호스트 시스템 (180) 의 프로세서는 예를 들어 커패시터, 인덕터, 저항, 등과 같은 플라즈마 시스템 (104) 의 전기부품 모델을 생성한다. 다른 예를 들면, 임피던스 매칭 네트워크 (112) 의 인덕터가 임피던스 매칭 네트워크 (112) 의 커패시터와 직렬로 연결되었을 때, 임피던스 매칭 모델의 인덕터는 임피던스 매칭 네트워크 (112) 의 커패시터와 직렬로 접속된다.
제1변수 및 제2변수가 모델링된 변수인 실시예에서, 모델은 전기 엘리먼트 - 예를 들어, 인덕터, 커패시터, 저항 등 - 을 포함한다. 전기적 소자는 플라즈마 시스템 (104) 부품의 전기적 엘리먼트와 유사한 특성을 갖는다. 예를 들어, 모델은 플라즈마 시스템 (104) 의 부품과 동일한 인덕턴스 및/또는 커패시턴스 및/또는 저항을 갖는다. 다른 예로서, 임피던스 매칭 모델은 임피던스 매칭 네트워크 (112) 와 동일한 임피던스 및/또는 커패시턴스를 갖는다.
또한, 제1변수 및 제2변수가 모델링된 변수인 실시예에서, 전압 및 전류 프로브는 RF 생성기의 출력부에서 복소 전압 및 전류를 측정한다. 예를 들어, 전압 및 전류 프로브 (152)는 x MHz RF 생성기의 출력부에서 복소 전압 및 전류를 측정하고 전압 및 전류 프로브 (186)는 y MHz RF 생성기의 출력부에서 복소 전압 및 전류를 측정한다. RF 생성기의 출력은 RF 케이블에 연결된다. 예를 들면, x MHz RF 생성기의 출력부은 통신 매체 (110)에 결합되고 y MHz RF 생성기의 출력부은 통신 매체 (196)에 결합한다. 예로서, 복소 전압 및 전류는 전압 크기 및 전류 크기, 전압과 전류 사이의 위상 차를 포함한다. 다양한 실시예에서 크기는 RMS(root mean square) 크기, 0에서 피크까지의 크기, 피크에서 피크까지의 크기를 의미한다. 복소 전압이나 전류는 케이블 - 예로, 직렬 케이블, 병렬 케이블, USB케이블 등 - 을 통해 전압 및 전류 프로브로 부터 호스트 시스템 (180)의 프로세서에 공급된다.
또한, 제1변수 및 제2변수가 가변하는 실시예에서, 호스트 시스템 (180)의 프로세서에 의한 전압 및 전류 프로브로부터 수신된 복소 전압 및 전류는 플라즈마 시스템 (104) 의 하나 이상의 부품의 하나 이상의 모델의 요소를 통해 전파된다. 예를 들어, 전압 및 전류 프로브로 수신된 복소 전압 및 전류의 방향 합 과 모델의 소자에 의해 소비된 복소 접압 및 전류는 모델 노드에서 복소 전압 및 전류를 생성하기 위해 계산된다. 모델 노드의 예는 모델 입력부 또는 도델 출력부, 또는 모델 내부 노드를 포함한다. 예를 들어, 모델의 노드는 RF 전송 라인 (150) 의 RF 스트랩 모델의 출력부이다. 이 예에서, RF 스트랩의 모델은 RF 전송 라인 (150) 의 RF로드의 모델에 접속된다. 다른 예로서, 모델 노드는 임피던스 매칭 네트워크 (112) 모델의 출력에서의 노드이다. 또 다른 예로서, 모델 노드가 ESC (146)의 모델의 입력부 혹은 ESC (146)의 출력부에서의 노드이다.
도 3은 플라즈마 시스템 (104) (도 2)와 연결된 상태에 따라 서로 다른 변수를 사용하기 위한 방법 (102) 의 실시예의 흐름도이다. 방법 (102) 은 하나 이상의 프로세서들 - 예를 들면, 호스트 시스템 (180) (도 2) 의 프로세서, DSP (166), DSP (178)(도 2) , 호스트 시스템 (180)의 프로세서 및 DSP (166) 의 조합 및 호스트 시스템 (180)의 프로세서 및 DSP (178)의 조합 등- 에 의해 실행된다.
동작에서 (106), 플라즈마 시스템 (104)과 연관된 상태가 상태 S1 또는 제2상태는, 상태 S0인지를 판단한다. 예를 들어, 전술 한 바와 같이, 플라즈마 시스템 (104) 은 상기 디지털 펄스 신호 (122) 가 상태 S1을 가질 때 제1상태 와 연관된다. 다른 예로서, 전술 한 바와 같이, 플라즈마 시스템 (104) 은 디지털 펄스 신호 (122) 가 상태 S0를 갖는 경우 제2상태와 연관된다.
동작 (108) 에서 플라즈마 시스템 (104) 과 연관된 상태가 제1상태 인 것으로 판단되면 제1변수가 결정된다. 통신 매체 (110) 가 상태 S1 동안 RF 시그널이 전송중이라면 통신매체 (110)(도2) 에서 측정에 기초하여 제1변수를 결정한다. 예를 들어 통신매체 (110) 가 상태 S1동안 RF신호를 전송할 때 전압 및 전류 프로브 (152) 가 통신매체 (110) 의 복소 전압과 전류를 측정하고, 측정된 복소 전압 및 전류를 DSP (166)(도 2) 를 통해 호스트 시스템 (180)(도 2) 의 프로세서에 제공한다. 다른 예를 들어 RF 신호 라인 (150) 이 RF 신호 (154) 를 전송할 때 전압 및 전류 프로브 (152) 와 유사한 센서가 RF 전송 라인 (150)의 복소 전압과 전류를 측정하고, 측정된 전압과 전류를 호스트 시스템 (180) 의 프로세스에 복소 DSP (166) 을 통해 전달한다. 전압 및 전류 프로브 (152) 또는 RF 전송라인 (150)에 연결된 전압 및 전류 프로브 등과 같은 전압 및 전류 프로브에서 측정된 복소 전압 및 전류를 수신하면, 호스트 시스템의 프로세스는 복소 전압이나 전류에 의해 제공되는 RF 전력을 결정한다. 결정된 RF 전력은 제1변수의 일례이다. 또 다른 예로서, 모델 노드에서 제1변수가 결정된다.
일 실시예에서, RF 전송 라인 (150) 은 통신 매체의 일례이다.
한편, 플라즈마 시스템 (104)과 연관된 상태가 동작 (116) 에서 제2상태이라고 판정되면, 제2변수가 결정된다. 예를 들어, RF 신호 (156) 가 플라즈마 챔버 (114) 로 전달될 때, 전압 및 전류 프로브 (152)는 통신 매체 (110) 의 복소 전압 및 전류를 측정하고 측정된 복소 전압 및 전류를 DSP (166)(도 2) 를 통해 호스트 시스템 (180)(도 2)에 제공한다. 또 다른 예로, RF 신호 (156) 가 플라즈마 챔버 (114) 로 전달될 때, 전압 및 전류 프로브 (152)(도 2)와 유사한 센서는 통신 매체 (110) 의 복소 전압 및 전류를 측정하고 측정된 복소 전압 및 전류를 DSP (166)(도 2) 를 통해 호스트 시스템 (180)(도 2)에 제공한다. 전압 및 전류 프로브 (152) 또는 통신 라인 (150)과 연결된 전압 전류 프로브와 같은 전압 및 전류 프로브에서 측정된 복소 전압 및 전류를 수신하면, 호스트 시스템 (180) 의 프로세서는 측정된 복소 전압과 동일한 RF 전압을 결정한다. 일부 실시예에서, 호스트 시스템 (180) 의 프로세서는 제2상태 동안 측정된 복소 전류 및 전압을 수신할 때 수신된 전류를 무시한다. 다른 예로서, 전압 및 전류 프로브에서 측정된 복소 전압 및 전류를 수신하면, 호스트 시스템 (180)의 프로세서는 RF 전압 및 직류 (DC) 바이어스 전위의 함수인 이온 에너지를 결정한다.
다양한 실시예에서, 이온 에너지의 결정은 플라즈마 시스템 (104)의 프로세서에 의해 수행된다. 예를 들어, 이온 에너지는 모델 노드에서 모델 바이어스 등과 같은 웨이퍼 바이어스로 곱해진 계수 "C1" 과 전압의 피크 진폭에서 곱해진 계수 "C2"의 합으로 계산된다. 계수 "C1"의 예는 음의 실수를 포함하고 계수 "C2"의 예는 양의 실수를 포함한다.
다양한 실시예에서, 웨이퍼 바이어스와 DC 바이어스 전위는 본 명세서에서 상호 교환적으로 사용된다.
다양한 실시예에서, 계수 "C1"은 양의 실수이다. 다양한 실시예에서, 계수 "C2"는 음의 실수이다. 계수 "C1" 및 "C2", 웨이퍼 바이어스 및 이온 에너지를 결정하는 데 사용된 피크 크기는 플라즈마 시스템 (104) 의 저장 장치에 저장된다. 이온 에너지를 결정하는 데 사용되는 피크값의 예는 피크-투-피크 값 및 제로-투-피크 값을 포함한다.
일부 실시예에서, 이온 에너지를 결정하는데 사용된 피크 크기는 모델 노드에서 결정된 복소 전압 및 전류로부터 플라즈마 시스템 (104) 의 프로세서에 의해 추출된다.
다양한 실시예에서, 이온 에너지를 계산하는데 사용되는 피크 크기는 일 단에서의 플라즈마 시스템 (104) 의 부품의 입력부 또는 출력부와 다른 일 단에서의 플라즈마 시스템 (104) 의 프로세서와 커플링된 전압 및 전류 프로브에 의해 측정된다. 일 단의 입력부 또는 출력부 와 다른 일 단의 플라즈마 시스템 (104) 의 프로세스와 커플링된 전압 및 전류 프로브는 2개 이상의 RF 생성기의 주파수를 구별할 수 있다.
일부 실시예에서 이온에너지를 결정하는데 사용되는 피크 크기와 웨이퍼 바이어스 모두는 모델 노드에 있다. 예를 들어, 이온 에너지를 결정하는데 사용되는 피크 크기는 모델 노드에서 복소 전압 및 전류로부터 추출되고, 이온 에너지를 결정하는데 사용되는 웨이퍼 바이어스는 모델 노드에서 계산된다. 다른 예로서, 이온 에너지를 결정하는데 사용되는 피크 크기는 ESC (146) 의 모델의 모델 노드에서 복소 전압 및 전류로부터 추출되고, 이온 에너지를 결정하는데 사용되는 웨이퍼 바이어스는 모델 노드에서 계산된다.
다양한 실시예에서, 이온에너지를 결정하는데 사용되는 피크 크기는 제1 모델 노드의 복소 전압 및 전류로부터 추출되고 이온에너지를 결정하는데 사용되는 웨이퍼 바이어스는 제1모델 노드가 아닌 제2모델 노드에서 결정된다. 예를 들어, 이온에너지를 결정하는데 사용되는 피크 크기는 ESC (146) 의 출력부의 모델 노드의 복소 전압 및 전류로부터 추출되고 이온에너지를 결정하는데 사용되는 웨이퍼 바이어스는 ESC (146) 의 입력부의 모델 노드에서 계산된다.
모델의 노드를 이용하여 계산된 이온 에너지는 피크 크기를 측정하기 위해 고가의 전압 및 전류 프로브를 사용해야할 필요를 제거하고 또한 웨이퍼 바이어스를 측정하는 바이어스 보상 회로를 사용할 필요성을 제거한다. 바이어스 보상 회로의 예는 탄화 규소(silicon carbide) 핀 (pin) 을 포함한다.
몇몇 실시예들에서, 이온에너지를 결정하는데 사용된 피크 크기 대신 RMS (root mean square) 크기가 이용된다.
다양한 실시예에서, 다중 RF 생성기가 동작하면, 이온 에너지를 결정하는데 사용되는 피크 크기는 작동하는 RF 생성기의 피크 RF 전압의 중앙값이나 평균 등의 통계적 값의 피크 전압이다. 예를 들어, Vpeak는 x 및 y MHz RF 생성기의 출력부에서 전압 및 전류 프로브 (152 및 186) 에 의해 측정되는 피크 크기의 평균이다.
일 실시예에서, 식(1)의 DC 바이어스 전위는 DC센서 등과 같은 바이어스 보상 회로를 이용하여 측정된다. 예를 들어, 바이어스 보상 회로는 DC 바이어스 전위를 측정하는 플라즈마 챔버 (114) (도 2) 의 플라즈마에 이머징 (immersed) 될 수 있다. 다양한 실시예에서, DC 센서는 통신 매체 (110) 또는 DC 바이어스 전위를 측정하는 RF 전송 라인 (150) 과 커플링된다.
x MHz RF 생성기가 켜져 있거나, 고장이 아니거나, 기능하는 등과 같이 동작하고 y MHz RF 생성기가 꺼져있거나, 고장이거나, 기능하지 않는 등과 같이 동작하지 않는 실시예에서, 식(1)의 DC 바이어스 전위는 아래 식에 따라 플라즈마 시스템 (104) 의 프로세서에 의해 결정된다.
ax*Vx + bx*Ix + +cx*sqrt(Px) + dx ...(2)
여기서, "*"는 곱셈을 나타내고, "sqrt"는 제곱근이고, "Vx"는 모델 노드에서의 전압 크기를 나타내고, "Ix"는 모델 노드에서의 전류 크기를 나타내고, "Px"는 모델 노드에서의 전력 크기를 나타내며, "ax"는 계수이고, "bx"는 계수이고, "cx"는 계수이고, "Dx"는 상수 값을 나타낸다. 일부 실시예에서, 모델 노드에서의 웨이퍼 바이어스를 결정하는데 사용되는 전력 크기는 모델 노드에서의 전압 크기, 모델 노드에서의 전류 크기, 전압 크기와 전류 크기 사이의 위상의 코사인의 곱 (product) 이다. 다양한 실시예에서, 전력 크기는 순방향 전력과 반사 전력 간의 차이를 포함하는 전달 전력의 크기이다. 계수 ax, bx, cx 및 상수 dx 는 호스트 시스템 (180)(도 2) 의 저장 장치 내에 저장된다.
x MHz RF 생성기가 동작하고 y MHz RF 생성기가 동작하는 실시예에서, 식(1)의 DC 바이어스 전위는 아래 식에 따르는 플라즈마 시스템 (104) 의 프로세서에 의해 결정된다.
axy*Vx + bxy*Ix + cxy*sqrt(Px) + dxy*Vy + exy*Iy + fxy*sqrt(Py) + gxy ....(3)
여기서 "*"곱셈을 나타내고, "Vx"는 모델 노드에서의 전압 크기를 나타내고, "Ix"는 모델 노드에서의 전력 크기를 나타내고, "Px"는 모델 노드에서의 전류 크기를 나타내고, "Vy"는 모델 노드에서 전압 크기를 나타내고, "Iy"는 모델 노드에서의 전류 크기를 나타내고, "Py"는 모델 노드에서의 전력 크기를 나타내고 각각의 "axy", "bxy", "cxy", "dxy", "exy", "fxy"는 계수이며, "gxy"는 상수이다. 일부 실시예에서, 모델 노드의 전압 Vy는 통신 매체 (196) 의 모델 및 통신매체 (196) 와 커플링된 임피던스 매칭 네트워크 (112) 의 브랜치의 모델을 통해 y MHz RF 생성기의 전압 및 전류 프로브 (186) 에 의해 측정된 복소 전압 및 전류를 전파하는 것의 결과이다. 다양한 실시예에서 Py는 Vy, Iy 및 Vy과 Iy 간의 위상의 코사인의 의 곱이라는 것을 주의하여야 한다. 계수 axy, bxy, cxy, dxy, exy, fxy 와 상수 gxy는 호스트 시스템 (180)(도 2) 의 저장 매체 내에 저장된다.
x MHz RF 생성기, y MHz RF 생성기, z MHz RF 생성기가 동작하는 실시예에서, 식(1)의 DC 바이어스 전위는 아래 식에 따른 플라즈마 시스템 (104) 의 프로세서에 의해 결정된다.
axyz*Vx + bxyz*Ix + cxyz*sqrt(Px) + dxyz*Vy + exyz*Iy + fxyz*sqrt(Py) + gxyz*Vz + hxyz*Iz + ixyz*sqrt(Pz) + jxyz ….(4)
여기서 "*"곱셈을 나타내고, "Vx"는 모델 노드에서의 전압 크기를 나타내고, "Ix"는 모델 노드에서의 전류 크기를 나타내고, "Px"는 모델 노드에서 전력 크기를 나타내고, "Vy"는 모델 노드에서의 전압 크기를 나타내고, "Iy"는 모델 노드에서의 전류 크기를 나타내고, "Py"는 모델 노드에서의 전력 크기를 나타내고, "Vz"는 모델 노드에서의 전압 크기를 나타내고, "Iz"는 모델 노드에서의 전류 크기를 나타내고, "Pz"는 모델 노드에서 전력 값을 나타내고, 각각의 "axyz" "bxyz", "cxyz", "dxyz", "exyz", "fxyz", "gxyz", "hxyz", "ixyz "는 계수이며, "jxyz"는 상수이다. 일부 실시예에서, 모델 노드의 전압 Vz는 z MHz RF 생성기에 커플링된 RF케이블의 모델 및 RF케이블과 연결된 임피던스 매칭 네트워크 (112) 의 브랜치의 모델을 통해 z MHz RF 생성기의 전압 및 전류 프로브 (186)에 의해 측정된 복소 전압 및 전류를 전파하는 것의 결과이다. 다양한 실시예에서, Pz는 Vz, Iz, 및 Vz와 Iz사이의 위상 코사인의 곱이라는 것에 주의해야 한다. 계수 axyz, bxyz, cxyz, dxyz, exyz, fxyz, gxyz, hxyz, ixyz 및 상수 jxyz는 호스트 시스템 (180)(도 2)의 저장 매체 내에 저장된다.
다수의 실시예에서, 웨이퍼 바이어스를 결정하기 위한 Vx, Ix, Px, Vy, Iy, Py, Vz, Iz, Pz 등과 같은 전압 크기, 전류 크기, 및 전력 값은, 플라즈마 시스템 (104) 내의 노드에서의 웨이퍼 바이어스를 결정하기 위해 임피던스 매칭 네트워크 (112)(도2)의 출력부, RF 전송 라인 (150)(도2) 의 출력부, RF 전송 라인 (150) 내의 지점, ESC (146)(도 2) 등과 같은 플라즈마 시스템 (104)(도 2) 의 노드에서 다수의 전압 및 전류 프로브에 의해 모델링되지 않고, 대신 측정된다. 예를 들어, 전압 및 전류 프로브는 출력부의 전류 및 전압을 측정하기 위해 RF 전송 라인의 출력부와 커플링되고, 지점에서 전류 및 전압을 측정하기 위해 RF 전송 라인의 지점 또는 ESC (146) 에서의 전류 및 전압을 측정하기 위해 ESC (146) 와 커플링된다.
동작 (118) 에서, 제2변수가 제1임계치에 도달하는지 여부가 결정된다. 예를 들어, 제2변수가 제1임계치를 초과 또는 미달하는지 여부가 결정된다. 제1임계치는 호스트 시스템 (180)(도2) 의 저장 디바이스와 같은 저장디바이스 또는 플라즈마 시스템 (104) 의 다른 저장 디바이스와 같은 저장 디바이스에 저장된다. 제2변수가 이온 에너지인 경우 제1임계치는 이온 에너지 임계치이고, 제2변수가 RF 전압인 경우 제1임계치는 RF 전압 임계치이다.
동작 (127) 에서, 제2변수가 제1임계치를 초과하는 것으로 판단시, 인스트럭션이 RF 신호 (156) (도 2)의 전력을 변경하도록 제공된다다. 예를 들어, 동작 (120) 에서, 제2변수가 제1임계치를 초과한다고 판정시, 인스트럭션이 RF 신호 (156) 의 전력을 감소시키도록 제공된다. 예로, 호스트 시스템 (180) 의 프로세서는 전력 값 Px0로부터 제2변수가 제1임계치를 초과하지 않는 전력 값으로 전력을 감소시키도록 DSP (166) 에 명령을 제공한다. 전력을 감소시키도록 명령을 수신하는 경우, DSP (166) 는 전력 값 Px0 보다 낮은 전력 값 "Px0-1"로 전력 값 Px0와 같은 파라미터 값 Px0를 감소시키고 매개 변수 제어부 (170)(도2) 에 낮춰진 전력 값을 제공한다. 일부 실시예에서, 호스트 시스템 (180) 의 프로세서는 낮춰진 전력 값 "Px0-1"로 전력 값 Px0를 감소하는 작업을 수행한다.
파라미터 제어부 (170)는 파라미터 값 Px0에서 구동 파라미터 값을 생성하는 상술된 것과 유사한 방식으로 낮춰진 전력 값 "Px0-1"에 기초하여 구동 전력 값을 생성한다. 파라미터 제어부 (170) 는 DAS (172) 로 수신된 전력 값 "Px0-1"에 기초하여 생성된 구동 전력 값을 제공한다. DAS (172) 는 전력 값 Px0에 기초하는 구동 전력 값으로부터 RF 신소를 생성하는 전술된 것과 유사한 방식으로 낮춰진 전력 값 "Px0-1"에 기초하는 구동 전력 값에 기초하여 RF 신호를 생성하고, ESC (146)(도 2) 의 하부 전극에 임피던스 매칭 네트워크 (112)(도2)를 통해 RF 신호를 제공한다.
전력 값 Px0가 제2변수가 제1임계치를 초과하지 않는 값으로 감소될 때까지 동작 (106), (116), (118), 및 (127) 은 되풀이하여 반복된다. 예로, 전력 값이 Px0으로부터 전력 값 "Px0-1"로 감소 후에, 제2변수는 모델 노드의 전압 또는 통신 매체 (110) 또는 RF 전송 라인 (150) 에서 측정되는 RF 전압에 기초하여 결정된다. 전력 값 "Px0-1"에 대응하는 제2변수가 제1임계치를 초과하는지 여부가 결정된다. 전력 값 "Px0-1"에 대응하는 제2변수가 제1임계치를 초과한다고 판단되면, 전력 값 "Px0-1"는 전력 값 "Px0-2"로 감소되고, 동작 (106), (116) 및 (118) 이 반복된다.
실시예에서, 제2변수가 제1임계치를 초과하지 않는 전력 값을 반복적으로 결정하기 위해 동작 (106) 이 반복되지 않는다는 점에 주의해야 한다. 대신 동작 (116), (118), 및 (127)이 되풀이하여 반복된다.
반면, 제2변수가 제1임계치를 초과하지 않는다는 판정시, 동작 (118) 에서 제2변수가 제1임계치 미만이라는 결정을 더 한다. 제2변수가 제1임계치 보다 작은 것으로 판단되면, 동작 (127) 에서, 인스트럭션은 호스트 시스템 (180)의 프로세서에 의해 전력 값 Px0을 변경, 예컨대 증가시키도록 제공된다. 예를 들어, 호스트 시스템 (180) 의 프로세서는 DSP (166) 에 전력 값 Px0으로부터 전력을 증가시키기 위해 인스트럭션을 제공하고, DSP (166) 는 전력 값 Px0을 전력 값 "Px0+1"로 증가시킨다. 일 실시예에서, 호스트 시스템 (180)의 프로세서는 전력 값 Px0를 전력 값 "Px0+1"로 증가시키고 전력 값 "Px0+1"을 DSP (166) 에 제공한다.
DSP (166) 는 전력 값 "Px0+1"을 파라미터 제어부 (170) 에 제공한다. 파라미터 제어부 (170)는 전력 값 Px0으로부터 구동 전력 값을 생성하는 전술한 것과 유사한 방식으로 전력 값 "Px0+1"에 기초하여 구동 전력 값을 생성한다. 파라미터 제어부 (170) 는 DAS (172) 에 구동 전력을 공급한다. 구동 전력 값을 수신하면, DSP (172) 는 전력 값 Px0에 기초한 구동 전력 값으로부터 RF 신호 (156)을 생성하는 상술한 것과 유사한 방식으로 구동 전력에 기초하여 RF 신호를 생성하고, RF신호를 임피던스 매칭 네트워크 (112)(도2) 를 통해 ESC (146) 의 하부 전극으로 제공한다.
전력 값 Px0는 제2변수가 제1임계치보다 작지 않은 값으로 증가 될 때까지 동작 (106) , (116) , (118) , 및 (127) 을 반복된다. 예로, 전력 값을 Px0으로부터 전력 값 "PX0 +1"로 증가시킨 후에, 제2변수는 통신 매체 (110) 또는 RF 전송 라인 (150) 에서 측정되는 RF 전압에 기초하여 결정된다. 그것은 전력 값 "Px0+1"에 대응하는 제2변수가 제1임계치 보다 작은지 여부를 판단한다. 전력 값 "Px0 +1"에 대응하는 제2변수가 제1임계치 미만이라고 판정되면, 전력 값은 "Px0+1"은 전력 값 "Px0+2"로 증가하고 동작 (106), (116), (118) 및 (127) 이 반복된다.
실시예에서, 제2변수가 제1임계치 보다 작지 않게 되는 전력 값을 반복적으로 결정하기 위하여, 동작 (106) 은 반복되지 않는다는 것을 주의해야한다. 오히려, 동작 (116) , (118) , 및 (127) 이 반복된다. 방법 (102) 은 동작 (127) 이후에 반복된다.
반면에 동작 (131) 에서, 제2변수가 예컨대 제1임계치보다 크지도 않고, 작지도 않은 것과 같이 제1임계치에 매칭되면, 인스트럭션은 전력 값 Px0을 유지하도록 제공된다. 예를 들어, 호스트 시스템 (180) 의 프로세서는 파라미터 제어부 (170) 에 전력 값 Px0를 계속 제공하기 위해 DSP (166)에 인스트럭션을 제공한다. 일 실시예에서, 호스트 시스템 (180) 의 프로세서는 DSP (166)에 전력 값 Px0을 제공하고 DSP (166) 은 파라미터 제어부 (170) 에 전력 값 Px0을 제공한다. 방법 (102) 는 동작 (131) 이후에 반복된다.
동작 (124) 에서, 제1변수가 제2임계치를 달성하는지를 판정한다. 예를 들어, 제1변수가 제2임계치 초과 여부를 판정한다. 제2임계치는 호스트 시스템 (180)의 저장 디바이스나 플라즈마 시스템 (104)의 임의의 저장 디바이스와 같은 저장 디바이스에 저장된다. 제2임계치는 전력 임계치임을 주의해야 한다. 제2임계치는 제1임계치와는 상이한 변수이다. 예를 들어, 제2임계치가 전력 값이고 제1임계치는 RF 전압 값이나 이온 에너지값이다.
동작 (126) 에서 제1변수가 제2임계치를 초과한다고 결정되면, 인스트럭션은 RF 시그널 (154)(도2) 의 전력을 변경하도록 제공된다. 예를 들어, 제1변수가 제2임계치를 초과한다 판정시, 동작 (126) 에서, 인스트럭션은 RF 신호 (154) 의 전력을 줄이도록 제공된다. 예로, 호스트 시스템 (180)의 프로세서는 전력을 전력 값 Px1 등과 같은 파라미터 값 Px1으로부터 제1변수가 제2임계치를 넘지 않는 전력값으로 감소시키도록 인스트럭션을 DSP (166)에 제공한다. 전력 값을 감소시키도록 하는 인스트럭션을 수신하면, DSP (166) 은 전력 값 Px1 보다 더 작은 "Px1-1"으로 전력 값 Px1을 감소시키고 파라미터 제어부 (168)(도1) 에 낮춰진 전력을 제공한다. 일부 실시예에서, 호스트 시스템 (180) 의 프로세서는 전력 값 Px1을 낮춰진 전력 값 "Px1-1"로 감소시키는 작업을 수행한다. 파라미터 제어부 (168)는 전력 값 Px1로부터 구동 전력 값을 생성하는 상술한 것과 유사한 방식으로 낮춰진 전력 값 "Px1-1"에 기초하여 구동 전력 값을 생성한다. 파라미터 제어부 (168)는 DAS (172) 에 수신된 전력 값 "Px1-1"에 기초하여 생성된 구동 전력 값을 제공한다. 전력 값 Px1에 기초한 구동 전력 값으로부터 RF 신호를 생성하는 상술한 것과 유사한 방식으로 DAS (172) 는 낮춰진 전력 값 "Px1-1"에 기초한 구동 전력 값에 기초하여 RF 신호를 생성하고 RF 신호를 임피던스 매칭 네트워크 (112)(도2) 를 통해 ESC (146)(도2) 의 하부 전극에 제공한다.
동작 (106), (108), (124) 및 (126) 은 전력값 Px1이 제1변수가 제2임계치를 초과하지않는 값으로 감소될 때까지 반복된다. 예로, 전력 값을 Px1에서 전력 값 "Px1-1"로 줄인 후에, 통신 매체 (110) 나 RF 전송 라인 (150) 에서 측정된 RF 전압에 기초하여 제1변수가 결정된다. 전력 값 "Px1-1"에 대응하는 제1변수가 제2임계치를 초과하는지가 판정된다. 전력 값 "Px1-1"에 대응하는 제1변수가 제2임계치를 초과한다 판정시, 전력 값 "Px1-1"은 전력 값 "Px1-2"로 줄어들고 동작 (106) , (108) , 및 (124) 가 반복된다.
실시예에서, 제1 변수는 제2임계치를 초과하지 않는 전력 값을 반복적으로 결정하기 위하여, 동작 (106)은 반복하지 않는다는 것을 주의하여야 한다. 대신, 동작 (108) , (124) , 및 (126) 이 되풀이하여 반복된다.
반면에, 제1변수가 제2임계치를 넘지 않는다는 결정시, 동작 (124) 에서, 제1변수가 제2임계치보다 작은지를 결정한다. 제1변수가 제2임계치 보다 작다는 결정시 동작 (126) 에서 전력 Px1을 증가시키기 위해 호스트 시스템 (180) 의 프로세스에 의해 인스트럭션이 제공된다. 예를 들어, 호스트 시스템 (180)의 프로세서는 전력을 전력 값 Px1에서 전력 값을 증가시키기 위해 인스트럭션을 제공하고, DSP (166)은 전력 값 Px1을 전력 값 "Px1+1"으로 증가시킨다. 일 실시예에서, 호스트 시스템 (180) 의 프로세서는 전력 값 Px1을 전력 값 "Px1+1"으로 증가시키고 DSP (166) 에 전력 값 "Px1+1"을 제공한다.
DSP (166) 는 파라미터 제어부 (168)에 전력 값 "Px1+1"을 제공한다. 파라미터 값 Px1로부터 구동 파라미터 값을 생성하는 상술한 것과 유사한 방식으로 파라미터 제어부 (168) 은 전력 값 "Px1+1"에 기초하여 구동 전력 값을 생성한다. 파라미터 제어부 (168) 은 DAS (172)에 구동 전력 값을 제공한다. 구동 전력을 받는다면, DAS (172) 는 파라미터 전력 값 Px1에 기초하여 구동 전력 값으로 RF 신호를 생성하는 상술한 것과 유사한 방식으로 구동 전력 값에 기초하여 RF 신호를 생성하고, ESC (146)(도2) 의 하부 전극에 임피던스 매칭 네트워크 (112)(도2)를 통해 RF 신호를 제공한다.
동작 (106), (108), (124) 및 (126) 은 전력 Px1 이 제1변수가 제2임계치보다 작지 않은 값으로 증가될 때까지 반복된다. 예로, 전력 값이 Px1에서 전력 값 "Px1+1"으로 증가한 후에, 제1변수는 모델 노드의 전류나 RF 전송 라인 (150) 이나 통신 매체 (110) 에서 측정된 RF 전류에 기초하여 결정된다. 전력 값 "Px1+1"에 대응하는 제1변수는 제2 임계치보다 작은 지 여부를 판정한다. 전력 값 "Px1+1"에 대응하는 제1변수가 제2임계치보다 작다는 판정시, 전력값 "Px1+1"은 전력 값 "Px1+1"까지 증가하고, 동작 (106) , (108) , (124) 및 (126) 은 반복된다.
실시예에서, 제1변수는 제2임계치 작지 않은 전력 값을 반복적으로 결정하기 위해, 동작 (106) 은 반복되지 않는다는 것을 주의해야한다. 대신, 동작 (108) , (124) 및 (126) 은 반복된다. 방법 (102) 는 동작 (126) 이후에 반복된다.
반면, 동작 (129) 에서 제1변수가 제2임계치에 작거나 크지않다는 것과 같은 매칭시에, 인스트럭션은 전력 값 Px1을 유지한다. 예를 들어, 호스트 시스템 (180)의 프로세서는 계속 전력 값 Px1을 파라미터 제어부 (168)에 제공하는 명령을 하는 인스트럭션을 DSP (166)을 제공한다. 일 실시예에서, 호스트 시스템 (180)의 프로세스 DSP (166) 에 전력 값 Px1을 제공하고, DSP (166) 은 전력 값 (Px1)을 파라미터 제어부 (168) 에 제공한다. 방법 (102) 는 동작 (129) 이후에 반복한다.
제1상태는 플라즈마 시스템 (104)의 제1사용에 연관되고, 제2상태는 플라즈마 시스템 (104) 의 제2사용에 연관된다는 것을 주의해야한다. 예를 들어, 기판 (119) 이 에칭될 때, 동작 (108), (124) 및 (126) 또는 동작 (108), (124) 및 동작 (129) 은 기판 (119) 의 에칭을 용이하게 하기 위해 상태 S1동안 수행된다. 기판 (119) 이 에칭되어 있는지 여부를 판정하는 것은 호스트 시스템 (180)의 입력 디바이스를 통해 사용자에 의해 이루어진다. 입력 디바이스는 아래에서 설명된다. 다른 예로, 기판 (119) 이 상태 S0에서 에칭될 때보다 더 빨리 에칭될 경우, 동작 (108), (124) 및 (126) 또는 동작 (108), (124), (129) 는 기판 (119) 은 더 빠른 속도로 기판의 에칭을 용이하게 하도록 수행된다. 다른 예로, 중합체, 반도체, 도체 등과 같은 재료가 상태 S0 동안 기판 상에 재료가 증착되는 속도 보다 더 느린 속도로 증착되는 경우, 동작 (116), (118) 및 (127) 또는 동작 (116), (118) 및 (131) 이 더 낮은 속도로 기판 (119) 상에서 증착을 용이하게 하도록 상태 S0 동안 수행된다.
일부 실시예에서, 증착은 수동적인 증착임을 주의해야 한다. 예를 들어, 수동적 증착은 에칭의 부산물이다. 보다 구체적으로 설명하면, 반도체 웨이퍼 등과 같은 기판이 에칭될 때, 에칭되는 재료는 기판의 표면 상에 스스로 증착한다.
다수의 실시예에서, 증착은 능동적 증착이다. 예를 들어, 프로세스 가스는 기판 표면에 증착되기 위해 플라즈마 챔버 (114)에 공급된다. 다른 예로, 금속은 기판 표면 상에 증착을 위해 이온으로 충격이 가해진다.
또 다른 예로, 플라즈마 챔버 (114) 내의 이온 에너지가 상태 S0 동안의 이온 에너지에 비해 향상될 때, 동작 (108), (124) 및 (126) 또는 동작 (108), (124) 및 (129) 이 S1상태 동안 수행된다.
다른 예로, 재료의 레이어가 기판 (119)에 증착될 때, 동작 (116), (118), 및 (131) 또는 동작 (116), (118), 및 (127)이 기판 (119) 에서 용이하게 증착되기 위해 상태 S0 동안 수행된다. 다른 예로, 기판 (119) 이 더 낮은 속도로 에칭될 때, 동작 (116), (118), 및 (131) 또는 동작 (116), (118), 및 (127) 이 더 낮은 속도에서 기판 (119) 의 에칭을 용이하게 하도록 상태 S0 동안 에칭을 위해 수행된다. 다른 예로, 재료가 상태 S1 동안 기판 (119) 상에서 증착되는 속도보다 더 빠른 속도로 기판 (119) 상에서 증착될 경우, 동작 (116), (118), 및 (131) 또는 동작 (116), (118), 및 (127) 이 더 빠른 속도로 상기 기판 (119) 상에 증착을 용이하게 하도록 상태 S0동안 수행된다. 다른 예로, 플라즈마 챔버 (114) 내의 이온 에너지가 감소 등과 같은 중화될 때, 동작 (116), (118), 및 (131) 또는 동작 (116), (118), 및 (127) 이 상태 S0 동안 수행된다.
도 4 는 플라즈마 챔버 (114)(도2)와 같은 플라즈마 챔버의 하부 전극, 상부 전극과 같은 전극에 2 MHz RF 생성기 및 60 MHz RF 생성기에 의해 제공되는 RF 전력 시간에 따라 나타내는 그래프이다. 상태 S1과 같은 상태 1에서 2 MHz RF 생성기에 의해 생성된 RF 신호의 RF 전력은 60 MHz RF 생성기에 의해 생성된 RF 신호의 RF 전력보다 크다. 예를 들어, 2 MHz RF 생성기에 의해 생성된 RF 신호의 RF 전력이 7 kW (kilowatts) 이고 60 MHz RF 생성기에 의해 생성된 RF 신호의 RF 전력은 1 kW이다. 또, 상태 1 동안, 두 RF 생성기는 제1변수 제어 모드 (155)(도1a)의 실례인 전력 제어 모드에서 동작한다. 또한, 상태 1 동안, 에칭이 기판 (119)(도 2) 와 같은 기판 상에서 수행되고, 방법 동작 (108), (124), 및 (126) 또는 동작 (108), (124), 및 (129) 이 상태 S1 동안 플라즈마 챔버 (114) 의 이온 에너지와 플라즈마 밀도를 증가시키는 등의 최적화를 위해 수행된다. 최적화는 에칭을 용이하게 한다.
상태 S0와 같은 상태 0에서 2 MHz RF 생성기에 의해 생성된 RF 신호의 RF 전력은 60 MHz RF 생성기에 의해 생성된 RF 신호의 RF 전력보다 작다. 예를 들어, 2 MHz RF 생성기에 의해 생성된 RF 신호의 RF 전력이 0 kW 보다 크고 60 MHz RF 생성기에 의해 생성된 RF 신호의 RF 전력은 15 kW보다 작다. 또한, 상태 0 동안, 두 RF 생성기는 제2가변 제어 모드 (157)(도 1a)에서 작동된다. 또한, 상태 0 동안, 증착은 기판 (119)(도2)와 같은 기판에서 수행되고, 방법 동작 (116), (118), 및 (131) 또는 동작 (116), (118), 및 (127) 이 상태 S0 동안 플라즈마 챔버의 이온 에너지와 플라즈마 밀도를 중화와 같은 제한을 하기 위해 수행된다. 상태 1은 상태 0 이후에 반복된다.
도 5a는 2 MHz RF 신호와 60 MHz RF 신호의 다양한 전력 값을 도시하는 그래프 (202), (204), (206), (208) 의 실시예를 나타낸다. 각각의 그래프 (202), (204), (206), (208) 는 시간 t의 함수로 전력 값을 kW단위로 보여준다. 그래프 (202) 에 나타낸 바와 같이, 2 MHz DAS에 의해 생성된 2 MHz의 전력 신호는 상태 S1 동안에 a1의 전력 값을 가지고 있으며, 상태 S0 동안 0의 전력 값을 갖는다. 또, 60MHz DAS에 의해 공급된 60MHz 전력 신호는 상태 S1동안 a2의 전력 값을 가지고 상태 S0동안 a3의 전력 값을 갖는다.
그래프 (204) 에 나타낸 바와 같이, 60 MHz 전력 신호는 상태 S1 및 S0 동안에 a2의 전력 값을 갖는다. 또한, 그래프 (206) 에 나타낸 바와 같이, 2 MHz 전력 신호는 상태 S0 동안에 a4의 전력 값을 가지고 있다. 그래프 (208) 에 나타낸 바와 같이, 2 MHz 전력 신호가 a4의 전력 값을 가질 때, 60 MHz 전력 신호는 a2의 전력 값을 갖는다.
도 5b는 그래프 (210), (212), (214), 및 (216) 의 그래프의 실시예이다. 각각의 그래프 (210), (212), (214), 및 (216)는 시간 t의 함수로 전력값을 kW 단위로 도시한다. 그래프 (210) 에 나타낸 바와 같이, 60 MHz RF 신호가 전력 값 a2 에서 전력 값 a3로 전이하는 것 (도 5a) 대신에 60 MHz RF 신호가 전력 값 a2 에서 전력 값 0으로 전이한다.
또한, 그래프 (212) 에 나타낸 바와 같이, 60 MHz RF 신호가 전력 값 a2 에서 전력 값 a5로 전이한다. 또한, 그래프 (214) 에 나타낸 바와 같이, 2 MHz RF 신호가 0이 아닌 a4의 전력값 일 때 상태 S0 동안 60 MHz RF 신호가 전력 값 0을 갖는다. 그래프 (216) 에 나타낸 바와 같이, 2 MHz RF 신호가 0이 아닌 전력 값 a4 를 갖는 경우, 상태 0 동안 60 MHz RF 신호는 0이 아닌 전력 값 a5를 가진다.
도 6a는 그래프 (218), (220), (222), 및 (224) 의 그래프의 실시예이다. 각각의 그래프 (218), (220), (222), 및 (224) 는 시간 t의 함수로 전력값을 kW 단위로 도시한다. 그래프 (218), (220), (222), 및 (224) 가 27 MHz RF 신호의 도시를 포함한다는 점을 제외하고, 그래프 (218) 은 그래프 (202)(도 5a)와 유사하고, 그래프 (220) 은 그래프 (204)(도 5a)와 유사하고, 그래프 (222) 은 그래프 (206)(도 5a)와 유사하고, 그래프 (224) 은 그래프 (208)(도 5a)와 유사하다. 27 MHz RF 생성기의 27 MHz DAS로부터 27 MHz RF 신호는 생성된다. 27 MHz RF 신호는 두 상태 S0 및 S0 동안 전력 값 a6을 갖는 RF 신호이다.
도 6b는 그래프 (226), (228), (230), 및 (232) 의 그래프의 실시예이다. 각각의 그래프 (226), (228), (230), 및 (232) 는 시간 t 의 함수로 전력값을 kW 단위로 도시한다. 그래프 (226), (228), (230), 및 (232) 는 27 MHz RF 신호에 대한 그래프라는 점을 제외하고 그래프 (226) 은 그래프 (210)(도 5b)와 유사하고, 그래프 (228) 은 그래프 (212)(도 5b)와 유사하고, 그래프 (230) 은 그래프 (214)(도 5b)와 유사하고, 그래프 (232) 은 그래프 (216)(도 5b)와 유사하다.
도 6c는 그래프 (234), (236), (238), 및 (240) 의 그래프의 실시예이다. 각각의 그래프 (234), (236), (238), 및 (240) 는 시간 t의 함수로 전력값을 kW 단위로 도시한다. 그래프 (234), (236), (238), 및 (240) 는 27 MHz RF 신호에 대한 그래프라는 점을 제외하고, 그래프 (234) 은 그래프 (202)(도 5a)와 유사하고, 그래프 (236) 은 그래프 (204)(도 5a)와 유사하고, 그래프 (238) 은 그래프 (206)(도 5a)와 유사하고, 그래프 (240) 은 그래프 (208)(도 5a)와 유사하다. 27 MHz RF 신호는 상태 S1동안 전력 값 a7을 갖는 것에서 상태 S0동안 전력 값 a8을 갖는 것으로 전이한다. 전력 값 a7은 전력 값 a8보다 작다.
도 6d는 그래프 (242), (244), (246), 및 (248) 의 그래프의 실시예이다. 각각의 그래프 (242), (244), (246), 및 (248) 는 시간 t의 함수로 전력값을 kW 단위로 도시한다. 그래프 (242), (244), (246), 및 (248) 는 전력 값 a7 및 a8을 갖는 27 MHz RF 신호에 대한 그래프라는 점을 제외하고, 그래프 (242) 은 그래프 (210)(도 5b)와 유사하고, 그래프 (244) 은 그래프 (212)(도 5b)와 유사하고, 그래프 (246) 은 그래프 (214)(도 5b)와 유사하고, 그래프 (248) 은 그래프 (216)(도 5b)와 유사하다.
도 6e는 그래프 (250), (252), (254), 및 (256) 의 그래프의 실시예이다. 각각의 그래프 (250), (252), (254), 및 (256) 는 시간 t의 함수로 전력값을 kW 단위로 도시한다. 그래프 (250), (252), (254), 및 (256) 는 27 MHz RF 신호에 대한 그래프라는 점을 제외하고, 그래프 (250) 은 그래프 (202)(도 5a)와 유사하고, 그래프 (252) 은 그래프 (204)(도 5a)와 유사하고, 그래프 (254) 은 그래프 (206)(도 5a)와 유사하고, 그래프 (256) 은 그래프 (208)(도 5a)와 유사하다. 27 MHz RF 신호는 상태 S1동안 전력 값 a9을 갖는 것에서 상태 S0동안 전력 값 a10을 갖는 것으로 전이한다. 전력 값 a9은 전력 값 a10보다 크다.
도 6f는 그래프 (258), (260), (262), 및 (264) 의 그래프의 실시예이다. 각각의 그래프 (258), (260), (262), 및 (264) 는 시간 t의 함수로 전력값을 kW 단위로 도시한다. 그래프 (258), (260), (262), 및 (264) 는 전력 값 a9 및 a10을 갖는 27 MHz RF 신호에 대한 그래프라는 점을 제외하고, 그래프 (258) 은 그래프 (210)(도 5b)와 유사하고, 그래프 (260) 은 그래프 (212)(도 5b)와 유사하고, 그래프 (262) 은 그래프 (214)(도 5b)와 유사하고, 그래프 (264) 은 그래프 (216)(도 5b)와 유사하다.
위에서 나타낸 그래프 (202), (204), (206), (208), (210), (212), (214), (216), (218), (220), (222), (224), (226), (228), (230), (232), (234), (236), (238), (240), (242), (244), (246), (248), (250), (252), (254), (256), (258), (260), (262), 및 (264) 에서 2 MHz 신호는 실선으로, 60 MHz 신호가 대쉬 (-) 된 선으로 도시되고, 27 MHz의 신호는 점선으로 도시되어있다는 것을 주의하여야한다.
일부 실시예에서, 각각 도 (4), (5a), (5b), (5c), (6a), (6b), (6c), (6d), (6e), 및 (6f) 의 상태 S0 및 상태 S1의 RF 신호의 전력 값은 RMS (root mean square) 값이다. 상태 S0 및 S1은 주기적으로 발생한다. 각 상태는 RF 생성기의 전력의 RMS 값, RF 생성기의 주파수, RF 생성기의 전류, RF 생성기의 전압, 플라즈마 챔버 (114) 의 압력, 상부 전극 (194) 및 플라즈마 챔버 (114)의 ESC (146) 사이의 간극, 플라즈마 챔버 (114) 내의 하나 이상의 프로세스 가스의 유속의 조합과 연관되어 있다. 예를 들어, 주파수, 전력의 RMS 값, 압력, 간극, 및 화학물질의 유속의 제1 조합은 상태 S0동안 사용되고, 주파수, 전력의 RMS 값, 압력, 간극, 및 화학물질의 유속의 제2조합은 상태 S1 동안 사용된다. 일부 실시예에서, 화학물질은 하나 이상의 프로세스 가스를 포함한다. 예로, 제1조합에서, 제1주파수 값, 전력의 RMS 값, 압력, 간극, 화학물질의 유속이 사용되고, 제2조합에는, 제2주파수 값, 동일한 전력의 RMS 값, 동일한 압력, 동일한 간극, 동일한 화학물질의 유속이 제1조합에서 사용한 값이 사용된다. 다른 예로, 제1조합에서는 제1주파수 값, 제1 RMS 전력 값, 압력, 간극, 및 화학물질의 유속이 사용되고, 제2조합에서는 제2주파수 값, 제2RMS 전력 값, 동일한 압력, 동일한 간극, 동일한 동일 화학물질의 유속이 제1조합에서 사용되었던 값이 사용된다. 일부 실시예에서, 플라즈마 챔버 (114) 내의 압력은 웨이퍼 영역 압력 (WAP; wafer area pressure) 이다.
일부 실시예에서, RF 신호의 전력의 RMS 값 대신, 평균값, 피크-투-피크 진폭, 제로-투-피크 진폭, 중앙값 등과 같은 전력의 다른 통계적 측정값이 시간에 관한 그래프에서 사용된다.
도 7 은 플라즈마 시스템 (104)(도 2) 와 연관된 상태에 대한 이온 에너지를 사용하기 위한 방법 (191) 의 실시예의 흐름도이다. 방법 (191) 은 도 2 를 참조하여 설명한다. 방법 (191) 은 하나 이상의 프로세스, 예를 들어 호스트 시스템 (180)(도2), 또는 DSP (166) 또는 DSP (178)(도2), 또는 호스트 시스템 (180) 및 DSP (166) 의 조합, 또는 호스트 시스템 (180) 의 프로세서와 DSP (178) 의 조합에 의해 실행된다.
동작 (106) 이 수행된다. 동작 (131) 에서, 플라즈마 시스템 (104) 와 연관된 상태가 제1상태라는 판정시, 플라즈마 챔버 (114) 내의 이온 에너지가 결정된다. 제1상태 동안 플라즈마 챔버 (114) 내의 이온 에너지는 제2상태 동안 플라즈마 챔버 (114) 내의 이온 에너지가 결정되는 것과 유사한 방식으로 결정된다. 예를 들어, RF신호 (154)(도2) 가 플라즈마 챔버 (114) 로 전송되면, 전압 및 전류 프로브 (152)(도2)는 통신 매체 (110) 의 복소 전압 및 전력을 측정하고, 통신 매체 (110) 에서 측정된 복소 전압과 전류를 DSP (166)(도2) 를 통해 호스트 시스템 (180)(도2) 의 프로세스로 제공한다. 하나 이상의 모델의 출력부, 모델의 입력부, 모델 내부 등과 같은 모델 노드에서 복소 전압 및 전류는 하나 이상의 플라즈마 시스템 (104)의 부분의 하나 이상의 모델을 통해 복소 전압 및 전류를 계산하기 위해 프로게이트 된다. 모델 노드에서 복소 전압 과 전류에 기초하여, 호스트 시스템 (180) 의 프로세스는 이온 에너지를 결정한다. 이러한 예에서, 프로세스는 식 (1) 을 이용하여 이온 에너지를 결정한다.
반면에, 동작 (139) 에서 플라즈마 시스템 (104) 과 연관된 상태가 제2상태라 판명하면, 이온 에너지가 결정된다. 제2변수가 이온 에너지인 경우를 제외하고 동작 (116) 동안 제2변수가 결정되는 것과 유사한 방법으로 이온 에너지는 동작 (139) 에서 결정된다. 예를 들어 식 (1)은 이온 에너지를 결정하기 위해 사용된다.
또한, 동작 (140) 에서, 동작 (139) 동한 결정된 이온 에너지가 제1이온 에너지 임계치를 도달하는지 판정한다. 예를 들어, 동작 (139) 동안 결정된 이온 에너지가 제1이온 에너지 임계치를 초과하는지 판정한다. 제2변수가 이온 에너지이고 동작 (118)(도3)의 제1임계치가 제1이온 임계치인 것을 제외하고 동작 (140)은 동작 (118) 과 유사하다. 예를 들어, 동작 (139) 동안 결정된 이온 에너지가 제1이온 에너지 임계치를 초과하는지 판정한다. 제1이온 에너지 임계치는 호스트 시스템 (180)의 저장 디바이스 또는 임의의 플라즈마 시스템 (104)의 저장 디바이스와 같은 저장 디바이스에 저장된다.
동작 (139) 동안 결정된 이온 에너지가 제1이온 임계치를 초과한다고 판정시, 동작 (142) 에서, 인스트럭션은 RF 신호 (156)(도2)의 전력을 변동시키도록 제공된다. 예를 들어, 동작 (139) 동안 결정된 이온 에너지가 제1이론 에너지 임계치를 초과한다 판정시, 동작 (142) 에서, 인스트럭션은 RF 신호 (156) 의 전력을 줄이도록 제공된다. 동작 (142) 는 이온 에너지 및 제1 이온 에너지 임계치에 의해 수행 되어진다는 것을 제외하고 동작 (142) 는 동작 (127)(도 3) 과 유사하다. 예를 들어, 호스트 시스템 (180) 의 프로세서는 전력 값 Px0 을 동작 (139) 동안 결정된 이온 에너지가 제1이온 에너지 임계치를 초과하지 않을 전력값을 가질 때까지 전력을 감소시키는 인스트럭션을 DSP (166)(도2) 에 제공한다. 다른 예로, 동작 (106), (139), (140), 및 (142) 은 전력 값 Px0 가 동작 (139) 동안 결정된 이온 에너지가 제1이온 에너지 임계치를 초과하지 않는 값을 가질 정도로 작아 질 때까지 되풀이하여 반복된다. 다른 예로 동작 (139) 동안 결정된 이온 에너지가 제1이온 임계치를 넘지 않는지 반복적으로 판정하기 위해 동작 (106) 은 반복되지 않는다. 다만, 동작 (139), (140), 및 (142) 은 지속적으로 반복된다.
반면에, 동작 (139) 동안 결정된 이온 에너지가 제1이온 에너지 임계치를 넘지 않는다 판정시, 동작 (140)에서, 동작 (139) 동안 결정된 이온 에너지가 제1이온 에너지 임계치보다 작은지 판정한다. 동작 (139) 동안 결정된 이온 에너지가 제1이온 에너지 임계치보다 작다고 판정시, 동작 (142) 에서, 인스트럭션은 호스트 시스템 (180) 의 프로세서에 의해 전력 값 Px0를 증가시키기 위해 공급된다. 예를 들어, 호스트 시스템 (180) 의 프로세서는 전력값을 전력값 Px0에서 증가시키기 위해 DSP (166)에 인스트럭션을 제공하고 DSP (166)는 전력 값 Px0를 전력 값 "Px0+1"로 증가 시킨다. 다른 예로, 동작 (106), (139), (140), 및 (142)는 전력 값 Px0 가 동작 (139) 동안 결정된 이온 에너지가 제1이온 에너지 임계치보다 작지 않을 값을 갖도록 증가 될 때까지 되풀이하여 반복된다. 다른 예로, 동작 (139) 동안 결정된 이온 에너지가 제1이온 에너지 임계치보다 작지 않도록 하는 전력 값인지를 반복적으로 판단하기 위해, 동작 (106) 은 반복되지 않는다. 다만 동작 (139), (140), 및 (142) 가 반복된다. 방법 (191) 는 동작 (142) 이후에 반복된다.
반면에, 동작 (139) 동안 결정된 이온 에너지가 제1이온 에너지 임계치보다 작지 않고 제1이온 에너지 임계치보다 크기 않는다는 판정시, 동작 (145)에서, 동작 (131)(도3) 을 참조하여 상술 한 것과 유사한 방식으로 인스트럭션은 전력 값 Px0 가 유지되도록 공급된다. 방법 (191) 은 동작 (145) 이후에 반복된다.
동작 (132) 에서, 동작 (131) 동안 결정된 이온 에너지가 제2이온 에너지 임계치를 만족하는지 판정된다. 예를 들어, 동작 (131) 동안 결정된 이온 에너지가 제2이온 에너지 임계치를 초과하는지 판정한다. 제2이온 에너지 임계치는 호스트 시스템 (180)의 저장 디바이스 또는 임의의 플라즈마 시스템 (104)의 저장 디바이스 같은 저장 디바이스에 저장된다.
동작 (131) 동안 결정된 이온 에너지가 제2이온 에너지 임계치를 초과하는지 판정되면, 동작 (134) 에서, 인스트럭션은 RF 신호 (154)(도2) 의 전력을 변동시키도록 제공된다. 예를 들어, 동작 (131) 동안 결정된 이온 에너지가 제2이온 에너지 임계치를 초과된다 판정시, 동작 (131) 동안 인스트럭션은 RF 신호 (154) 의 전력을 줄이도록 제공된다. 동작 (134) 는 제2이온 에너지 임계치와 관련하여 동작하고 동작 (131) 의 결정된 이온 에너지와 관련하여 동작하는 것을 제외하고 동작 (134)는 동작 (126)(도3) 과 유사하다. 예를 들어, 호스트 시스템 (180) 의 프로세서는 동작 (131)에서 결정된 이온 에너지가 제2이온 에너지 임계치를 넘지 않도록 하는 전력 값까지 전력을 전력 값 Px1에서 줄이도록 DSP (166)(도2) 로 인스트럭션을 제공한다. 다른 예로 동작 (106), (131), (132), 및 (134) 는 전력 값 Px1 이 동작 (131) 동안 결정된 이온 에너지가 제2이온 에너지 임계치를 넘지 않은 값으로 전력 값 Px1이 감소될 때까지 지속적으로 반복된다. 다른 예로, 동작 (131) 동안 결정된 이온 에너지가 제2이온 에너지 임계치보다 크지 않도록 하는 전력 값인지를 지속적으로 판단하기 위해, 동작 (106) 은 반복되지 않는다. 다만 동작 (131), (132), 및 (134) 가 반복된다.
반면에, 동작 (131) 동안 결정된 이온 에너지가 제2이온 에너지 임계치를 넘지 않는다 판정시, 동작 (132)에서, 동작 (131) 동안 결정된 이온 에너지가 제2이온 에너지 임계치보다 작은지 판정한다. 동작 (131) 동안 결정된 이온 에너지가 제1이온 에너지 임계치보다 작다고 판정시, 동작 (134) 에서, 인스트럭션은 호스트 시스템 (180) 의 프로세서에 의해 전력 값 Px1를 증가시키기 위해 공급된다. 동작 (134) 는 제2이온 에너지 임계치와 관련하여 동작하고 동작 (131) 의 결정된 이온 에너지와 관련하여 동작하는 것을 제외하고 동작 (134)는 동작 (126)(도3) 과 유사하다. 예를 들어, 호스트 시스템 (180) 의 프로세서는 전력값을 전력값 Px1에서 증가시키기 위해 DSP (166)에 인스트럭션을 제공하고 DSP (166)는 전력 값 Px1를 전력 값 "Px1+1"로 증가 시킨다. 다른 예로, 동작 (106), (131), (132), 및 (134)는 전력 값 Px1 가 동작 (131) 동안 결정된 이온 에너지가 제2이온 에너지 임계치보다 작지 않을 값을 갖도록 증가 될 때까지 되풀이하여 반복된다. 다른 예로, 동작 (131) 동안 결정된 이온 에너지가 제2이온 에너지 임계치보다 작지 않도록 하는 전력 값인지를 반복적으로 판단하기 위해, 동작 (106) 은 반복되지 않는다. 다만 동작 (131), (132), 및 (134) 가 반복된다. 방법 (191) 는 동작 (134) 이후에 반복된다.
반면에, 동작 (131) 동안 결정된 이온 에너지가 제2이온 에너지 임계치보다 작지 않고 제2이온 에너지 임계치보다 크기 않는다는 판정시, 동작 (137)에서, 인스트럭션은 전력 값 Px1이 유지되도록 공급된다. 예를 들어, 호스트 시스템 (180) 의 프로세서는 파라미터 제어부 (168)에 전력 값 Px1 을 계속 제공하기 위해 DSP (166)에 인스트럭션을 제공한다. 일 실시예에서, 호스트 시스템 (180) 의 프로세서는 DSP (166) 에 전력 값 Px1을 제공하고, DSP (166)는 파라미터 제어부 (168) 에 전력 값 Px1을 제공한다. 방법 (191) 은 동작 (137) 이후에 반복된다.
본 개시의 다양한 실시예에서, 이온 에너지의 사용은 낮은 MTBF (measured time between failures) 에서의 결과이다.
도 8 은 호스트 시스템 (180)(도1)의 실례인 호스트 시스템 (276)의 실시예의 불록 다이어그램이다. 호스트 시스템 (276) 은 프로세서 (280), 저장 장치 (278), 입력 장치 (266), 출력 장치 (268), 입/출력 (I/O) 인터페이스 (270), I/O 인터페이스 (272), 네트워크 인터페이스 컨트롤러 (NIC)(274), 및 버스 (275) 를 포함한다. 프로세서 (280), 저장 장치 (278), 입력 장치 (266), 출력 장치 (268), I/O 인터페이스 (270), I/O 인터페이스 (272), 네트워크 인터페이스 제어기 (274) 는 버스 (275) 를 통해 서로 연결된다. 입력 장치 (266) 의 예는 마우스, 키보드, 스타일러스 등을 포함한다. 출력 장치 (268) 의 예로는 디스플레이, 스피커, 또는 이들의 조합을 포함한다. 디스플레이는 액정 디스플레이, 발광 다이오드 디스플레이, 음극선 관, 플라즈마 디스플레이 등 일 수 있다. NIC (274) 의 예는 네트워크 인터페이스 카드, 네트워크 어댑터 등을 포함한다.
I/O 인터페이스의 예는 인터페이스에 결합 된 하드웨어의 조각들 사이의 호환성을 제공하는 인터페이스를 포함한다. 예를 들어, I/O 인터페이스 (270) 는 입력 장치 (266)로부터 수신된 신호를 버스 (275)와 호환되는 형태, 진폭 및/또는 속도로 변환한다. 다른 예로서, I/O 인터페이스 (272) 는 출력 장치 (268) 와 호환되는 형태, 진폭 및/또는 속도로 버스 (275) 로부터 수신된 신호를 변환한다.
비록 전술 한 실시예는 ESC (146)(도2) 의 하부 전극에 RF 신호를 제공하고, 상부 전극 (194) (도2) 을 접지하는 것에 연관되었지만, 다양한 실시예에서, ESC (146) 의 하부 전극이 접지되어있는 동안 RF 신호는 상부 전극 (194)에 제공된다는 것을 주의해야 한다.
전술 한 실시예는 평행 평판 플라즈마 챔버를 참조하여 설명되었지만, 일 실시예에서, 상술한 실시예에서 예를 들면, ICP 리액터 (inductively coupled plasma reactor) 를 포함하는 플라즈마 챔버, ECR 리액터 (electron-cyclotron resonance reactor) 등을 포함한 플라즈마 챔버 등과 같은 다른 유형의 플라즈마 챔버에도 적용된다. 예를 들어, x 및/또는 y MHz RF 생성기 (도2) 는 ICP 플라즈마 챔버 내의 인덕터에 결합된다.
더욱이, 상기 도 3 및 7의 흐름도의 작업 방법들이 특정 순서로 설명되었더라도 다른 하우스키핑 동작은 동작 사이에서 수행될 수 있고, 약간 다른 시간에 발생하도록 동작은 조절될 수 있고, 시스템에서 프로세싱과 연관된 다양한 간격으로 프로세싱 동작 발생을 허용될 수 있고, 오버레이 연산의 처리는 원하는 방식으로 수행되는 것을 이해해야 한다.
본 명세서에서 기술된 실시예들은 휴대용 하드웨어 유닛, 마이크로프로세서 시스템, 마이크로프로세서 기반 또는 프로그래밍 가능한 소비자 전자 장치, 미니컴퓨터, 메인프레임 컴퓨터 등을 포함하는 다양한 컴퓨터 시스템 구성들에서 실시될 수 있다. 본 발명은 또한 네트워크를 통해서 링크된 원격 프로세싱 장치들에 의해서 태스크들이 수행되는 분산형 컴퓨팅 환경에서 실시될 수도 있다.
상술한 실시들을 염두에 두면서, 본 발명은 컴퓨터 시스템들 내에 저장된 데이터를 참여케 하는 다양한 컴퓨터 구현 동작들을 사용할 수 있다. 이러한 동작들은 물리적 정량들의 물리적 조작을 요구하는 동작들이다. 본 발명의 일부를 형성하면서 본 명세서에서 개시된 동작들 중 임의의 것은 유용한 머신 동작들이다. 또한, 본 발명은 이러한 동작들을 수행하기 위한 디바이스 또는 장치에 대한 것이다. 이 장치는 특정 목적용 컴퓨터와 같이 요구된 목적을 위해서 특정하게 구성될 수 있다. 특정 목적용 컴퓨터로서 규정될 때에, 컴퓨터는 여전히 이 특정 목적을 위해서 동작하면서 이 특정 목적이 일부가 아닌 다른 프로세싱, 프로그램 실행 또는 루틴들을 수행할 수 있다. 이와 달리, 동작들은 컴퓨터 메모리, 캐시 내에 저장되거나 네트워크를 통해서 획득된 하나 이상의 컴퓨터 프로그램들에 의해서 선택적으로 활성화 또는 구성되는 범용 컴퓨터에 의해서 처리될 수 있다. 데이터가 네트워크를 통해서 획득될 때에, 데이터는 컴퓨팅 리소스들의 클라우드와 같은 네트워크상의 다른 컴퓨터들에 의해서 처리될 수 있다.
본 발명의 하나 이상의 실시예들은 컴퓨터 판독 가능한 매체 상의 컴퓨터 판독 가능한 코드로서 가공될 수 있다. 컴퓨터 판독 가능한 매체는 이후에 컴퓨터 시스템에 의해서 판독될 수 있는 데이터를 저장할 수 있는 임의의 데이터 저장 장치이다. 컴퓨터 판독 가능한 매체의 실례는 하드 드라이브, NAS (network attached storage), ROM, RAM, CD-ROM, CD-R, CD-RW, 자기 테이프 및 임의의 다른 광학적 데이터 저장 장치 및 비광학적 데이터 저장 장치를 포함한다. 컴퓨터 판독 가능한 매체는 컴퓨터 판독가능한 코드가 배포되는 방식으로 저장 및 실행되도록 네트워크-결합된 컴퓨터 시스템을 통해서 배포되는 컴퓨터 판독 가능한 유형의 매체를 포함할 수 있다.
어떤 실시예에서 하나 이상의 특징들은 본 개시에 기재된 다양한 실시예에 기재된 범위를 벗어나지 않으면서 다른 실시예의 하나 이상의 특징과 조합될 수 있다는 것을 주의해야한다.
몇몇 실시예들에서, ESC 대신에, 자기 척 사용될 수 있다.
전술한 발명은 이해의 명료성을 위해서 어느 정도 세부적으로 기술되었지만, 소정의 변경 및 수정이 첨부된 청구 범위 내에서 가능하다. 따라서, 본 실시예들은 한정적인 아닌 예시적으로 해석되어야 하며 본 발명은 본 명세서에서 제공된 세부 사항들로 한정되는 것이 아니라 첨부된 청구 범위 및 이의 균등 범위 내에서 수정될 수 있다.

Claims (20)

  1. 플라즈마 시스템과 연관된 상태에 기초하여서 상이한 변수들을 사용하기 위한 방법으로서,
    상기 플라즈마 시스템과 연관된 상기 상태가 제1상태 또는 제2상태인지의 여부를 결정하는 동작;
    상기 상태가 상기 제1상태인 것으로 결정시, 통신매체에서의 측정치에 기초하여 제1변수를 결정하는 동작으로서, 상기 통신 매체가 상기 플라즈마 시스템의 무선 주파수 (RF) 생성기와 플라즈마 챔버 사이에 위치되는, 상기 제 1 변수를 결정하는 동작;
    상기 상태가 상기 제2상태인 것으로 결정시, 상기 통신매체에서의 측정치에 기초하여 제2변수를 결정하는 동작;
    상기 제2변수가 제1임계치를 초과하는지 여부를 결정하는 동작;
    상기 제2변수가 상기 제1임계치를 초과하는 것으로 결정시 상기 플라즈마 챔버에 공급되는 전력을 감소시키는 인스트럭션을 제공하는 동작; 및
    상기 제2변수가 상기 제1임계치보다 작다고 결정시 상기 플라즈마 챔버에 공급되는 전력을 증가시키는 인스트럭션을 제공하는 동작을 포함하고,
    상기 방법은 하나 이상의 프로세서에 의해 실행되는,
    플라즈마 시스템과 연관된 상태에 기초하여서 상이한 변수들을 사용하기 위한 방법.
  2. 제 1항에 있어서,
    상기 제1변수는 전력이고, 상기 제2변수는 이온 에너지이고, 상기 제1임계치는 이온 에너지 임계치인,
    플라즈마 시스템과 연관된 상태에 기초하여서 상이한 변수들을 사용하기 위한 방법.
  3. 제 2항에 있어서,
    상기 이온 에너지는 RF 전압과 바이어스 전위의 함수인,
    플라즈마 시스템과 연관된 상태에 기초하여서 상이한 변수들을 사용하기 위한 방법.
  4. 제 1항에 있어서,
    상기 제1변수는 전력이고, 제2변수는 RF 전압인,
    플라즈마 시스템과 연관된 상태에 기초하여서 상이한 변수들을 사용하기 위한 방법.
  5. 제 1항에 있어서,
    상기 제1변수가 제2임계치를 초과하는지 여부를 결정하는 동작;
    상기 제1변수가 상기 제2임계치를 초과한다는 결정시 상기 플라즈마 챔버에 공급되는 전력을 감소시키는 인스트럭션을 제공하는 동작; 및
    상기 제1변수가 상기 제2임계치보다 작다고 결정시 상기 플라즈마 챔버에 공급되는 전력을 증가시키는 인스트럭션을 제공하는 동작을 더 포함하는,
    플라즈마 시스템과 연관된 상태에 기초하여서 상이한 변수들을 사용하기 위한 방법.
  6. 제 5항에 있어서,
    상기 제2임계치는 전력인,
    플라즈마 시스템과 연관된 상태에 기초하여서 상이한 변수들을 사용하기 위한 방법.
  7. 제 5항에 있어서,
    상기 제1변수가 상기 제2임계치를 초과한다는 결정시 상기 플라즈마 챔버에 공급되는 전력을 감소시키는 인스트럭션을 제공하는 동작은 상기 제2임계치를 달성하도록 상기 전력을 감소시키는 인스트럭션을 제공하는 동작을 포함하는,
    플라즈마 시스템과 연관된 상태에 기초하여서 상이한 변수들을 사용하기 위한 방법.
  8. 제 5항에 있어서,
    상기 전력을 증가시키는 인스트럭션을 제공하는 동작은 상기 제2임계치를 달성하도록 상기 전력을 증가시키는 인스트럭션을 제공하는 동작을 포함하는,
    플라즈마 시스템과 연관된 상태에 기초하여서 상이한 변수들을 사용하기 위한 방법.
  9. 제 1항에 있어서,
    상기 제1상태는 상기 플라즈마 시스템의 제1사용와 연관 있고 상기 제2상태는 상기 플라즈마 시스템의 제2사용과 연관 있는,
    플라즈마 시스템과 연관된 상태에 기초하여서 상이한 변수들을 사용하기 위한 방법.
  10. 제 9항에 있어서,
    상기 제1사용은 기판을 에칭하는 바를 포함하고,
    상기 제2사용은 기판상에 하나 이상의 층을 증착시키는 바를 포함하는,
    플라즈마 시스템과 연관된 상태에 기초하여서 상이한 변수들을 사용하기 위한 방법.
  11. 제 9항에 있어서,
    상기 제 1 사용은 상기 제 2 사용과 연관된 에칭 레이트보다 높은 에칭 레이트로 기판을 에칭하는 바를 포함하는,
    플라즈마 시스템에서 변수 사용 방법.
  12. 플라즈마 시스템과 연관된 상태에 대한 이온 에너지를 사용하기 위한 방법으로서,
    상기 플라즈마 시스템과 연관된 상태가 제1상태 또는 제2상태인지 여부를 결정하는 동작;
    상기 상태가 상기 제2상태라고 결정시 플라즈마 챔버와 연관된 이온 에너지를 결정하는 동작;
    상기 이온 에너지가 제1이온 에너지 임계치와 일치하는지 여부를 결정하는 동작; 및
    상기 이온 에너지가 제1이온 에너지 임계치를 초과한다는 결정시 상기 플라즈마 챔버에 공급되는 전력을 변화시키는 인스트럭션을 제공하는 동작를 포함하며,
    상기 방법은 하나 또는 그 이상의 프로세서에 의해 실행되는,
    플라즈마 시스템과 연관된 상태에 대한 이온 에너지를 사용하기 위한 방법.
  13. 제 12항에 있어서,
    상기 이온 에너지는 RF 전압과 바이어스 전위의 함수인,
    플라즈마 시스템과 연관된 상태에 대한 이온 에너지를 사용하기 위한 방법.
  14. 제 12항에 있어서,
    상기 상태가 제1상태라고 결정시 플라즈마 챔버와 연관된 이온 에너지를 결정하는 동작;
    상기 제1상태에 대한 이온 에너지가 제2이온 에너지 임계치와 일치하는지 여부를 결정하는 동작; 및
    상기 제1상태에 대한 이온 에너지가 상기 제2이온 에너지 임계치와 일치하지 않는다고 결정시 상기 플라즈마 챔버에 공급되는 전력을 변화시키는 인스트럭션을 제공하는 동작을 더 포함하는,
    플라즈마 시스템과 연관된 상태에 대한 이온 에너지를 사용하기 위한 방법.
  15. 제 14항에 있어서,
    상기 제1이온 에너지 임계치는 상기 제2 이온 에너지 임계치와 연관된 에칭 레이트보다 더 높은 에칭 레이트와 연관된,
    플라즈마 시스템과 연관된 상태에 대한 이온 에너지를 사용하기 위한 방법.
  16. 플라즈마 시스템과 연관된 상태에 기초하여서 상이한 변수들을 사용하기 위한 플라즈마 시스템으로서,
    기판을 지지하는 척 및 상기 척 위에 위치된 상부 전극을 포함하는 플라즈마 챔버;
    제1통신 매체를 통해 상기 플라즈마 챔버에 연결된 임피던스 매칭 회로;
    제2통신 매체를 통해 상기 임피던스 매칭 회로에 연결된 무선 주파수 (RF) 생성기;
    상기 제1통신 매체에 연결되어서 상기 제 1 통신 매체를 통해서 전송된 RF 신호들로부터 제1측정치 및 제2측정치를 생성하기 위해 상기 제1통신 매체에 연결되는 센서; 및
    상기 RF 생성기와 연결된 프로세서를 포함하며,
    상기 프로세서는,
    상기 플라즈마 시스템과 연관된 상기 상태가 제1상태 또는 제2상태인지의 여부를 결정하고,
    상기 상태가 상기 제1상태라 결정시, 상기 제 1 측정치에 기초하여 제1변수를 결정하며,
    상기 상태가 상기 제2상태라 결정시, 상기 제 2 측정치에 기초하여 제2변수를 결정하고,
    상기 제2변수가 제1임계치를 초과하는지 여부를 결정하며,
    상기 제2변수가 상기 제1임계치를 초과하는 것으로 결정시 상기 플라즈마 챔버에 공급되는 전력을 감소시키는 인스트럭션을 제공하며,
    상기 제2변수가 상기 제1임계치보다 작다고 결정시 상기 플라즈마 챔버에 공급되는 전력을 증가시키는 인스트럭션을 제공하도록 구성된,
    플라즈마 시스템.
  17. 제 16항에서,
    상기 제1변수는 전력이고 상기 제2변수는 전압인,
    플라즈마 시스템
  18. 플라즈마 시스템과 연관된 상태에 기초하여서 상이한 변수들을 사용하기 위한 플라즈마 시스템으로서,
    기판을 지지하는 척 및 상기 척 위에 위치된 상부 전극을 포함하는 플라즈마 챔버;
    제1통신 매체를 통해 상기 플라즈마 챔버에 연결된 임피던스 매칭 회로;
    제2통신 매체를 통해 상기 임피던스 매칭 회로에 연결된 무선 주파수 (RF) 생성기;
    상기 제 1 통신 매체를 통해서 전송된 RF 신호들로부터 제1측정치 및 제2측정치를 생성하기 위한 센서; 및
    상기 RF 생성기와 연결된 프로세서를 포함하며,
    상기 프로세서는,
    상기 플라즈마 시스템과 연관된 상태가 제1상태 또는 제2상태인지 여부를 결정하며,
    상기 상태가 상기 제2상태라고 결정시, 상기 제 1 측정치에 기초하여서 상기 플라즈마 챔버와 연관된 이온 에너지를 결정하고,
    상기 이온 에너지가 제1이온 에너지 임계치를 초과하는지의 여부를 결정하며,
    상기 이온 에너지가 상기 제1이온 에너지 임계치를 초과한다는 결정시 상기 플라즈마 챔버에 공급되는 전력을 감소시키는 인스트럭션을 제공하며,
    상기 이온 에너지가 상기 제1이온 에너지 임계치보다 작다고 결정시 상기 플라즈마 챔버에 공급되는 전력을 증가시키는 인스트럭션을 제공하도록 구성된,
    플라즈마 시스템.
  19. 제 18항에 있어서,
    상기 이온 에너지는 RF 전압과 바이어스 전위의 함수인,
    플라즈마 시스템.
  20. 제 19항에 있어서,
    상기 프로세서는,
    상기 상태가 제1상태라고 결정시 상기 플라즈마 챔버와 연관된 이온 에너지를 결정하며,
    상기 제 1 상태에 대한 이온 에너지가 제2이온 에너지 임계치를 초과하는지의 여부를 결정하며,
    상기 이온 에너지가 상기 제2이온 에너지 임계치를 초과한다는 결정시 상기 플라즈마 챔버에 공급되는 전력을 감소시키는 인스트럭션을 제공하며,
    상기 이온 에너지가 제2이온 에너지 임계치보다 작다고 결정시 상기 플라즈마 챔버에 공급되는 전력을 증가시키는 인스트럭션을 제공하도록 더 구성된,
    플라즈마 시스템.
KR1020140031332A 2013-03-15 2014-03-17 이중 제어 모드 KR102223863B1 (ko)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US201361799969P 2013-03-15 2013-03-15
US201361799594P 2013-03-15 2013-03-15
US61/799,969 2013-03-15
US61/799,594 2013-03-15
US14/184,654 US9530620B2 (en) 2013-03-15 2014-02-19 Dual control modes
US14/184,654 2014-02-19
US14/184,639 2014-02-19
US14/184,639 US9842725B2 (en) 2013-01-31 2014-02-19 Using modeling to determine ion energy associated with a plasma system

Publications (2)

Publication Number Publication Date
KR20140113612A true KR20140113612A (ko) 2014-09-24
KR102223863B1 KR102223863B1 (ko) 2021-03-05

Family

ID=51524565

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140031332A KR102223863B1 (ko) 2013-03-15 2014-03-17 이중 제어 모드

Country Status (4)

Country Link
US (1) US9530620B2 (ko)
KR (1) KR102223863B1 (ko)
SG (1) SG10201400582RA (ko)
TW (1) TW201448675A (ko)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9299574B2 (en) 2013-01-25 2016-03-29 Applied Materials, Inc. Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US9627186B2 (en) * 2014-08-29 2017-04-18 Lam Research Corporation System, method and apparatus for using optical data to monitor RF generator operations
WO2017003339A1 (en) * 2015-07-02 2017-01-05 Styervoyedov Mykola Pulse generation device and method for a magnetron sputtering system
US9788405B2 (en) 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US9754767B2 (en) 2015-10-13 2017-09-05 Applied Materials, Inc. RF pulse reflection reduction for processing substrates
US9614524B1 (en) 2015-11-28 2017-04-04 Applied Materials, Inc. Automatic impedance tuning with RF dual level pulsing
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
CN109148250B (zh) * 2017-06-15 2020-07-17 北京北方华创微电子装备有限公司 阻抗匹配装置和阻抗匹配方法
KR102003942B1 (ko) * 2017-11-07 2019-07-25 한국원자력연구원 정합 장치를 포함하는 플라즈마 발생 장치 및 임피던스 정합 방법
US10896808B2 (en) 2018-07-25 2021-01-19 Lam Research Corporation Maintenance mode power supply system
JP2022102688A (ja) 2020-12-25 2022-07-07 株式会社ダイヘン 高周波電源システム
JP2023097863A (ja) 2021-12-28 2023-07-10 株式会社ダイヘン 高周波電源システム

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040007326A1 (en) * 2002-07-12 2004-01-15 Roche Gregory A. Wafer probe for measuring plasma and surface characteristics in plasma processing enviroments
US20050151479A1 (en) * 2002-09-26 2005-07-14 Lam Research Corporation, A Delaware Corporation Method for toolmatching and troubleshooting a plasma processing system
US20130213934A1 (en) * 2012-02-22 2013-08-22 John C. Valcore, JR. Methods and apparatus for controlling plasma in a plasma processing system

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
AU2002354459A1 (en) 2001-12-10 2003-07-09 Tokyo Electron Limited High-frequency power source and its control method, and plasma processor
US20050011611A1 (en) * 2002-07-12 2005-01-20 Mahoney Leonard J. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US20040060660A1 (en) 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
US7435926B2 (en) * 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US20060065631A1 (en) * 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US7602127B2 (en) * 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
JP5319150B2 (ja) * 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
JP2012503342A (ja) 2008-09-22 2012-02-02 アプライド マテリアルズ インコーポレイテッド 高アスペクト比構造のエッチングに適したエッチングリアクタ
KR20120004502A (ko) * 2009-04-03 2012-01-12 어플라이드 머티어리얼스, 인코포레이티드 고압 rf-dc 스퍼터링과 이 프로세스의 단차 도포성 및 막 균일성을 개선하기 위한 방법
US9767988B2 (en) * 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US8501631B2 (en) * 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8901935B2 (en) * 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
TWI531023B (zh) 2009-11-19 2016-04-21 蘭姆研究公司 電漿處理系統之控制方法及設備
US9362089B2 (en) * 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US10157729B2 (en) * 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9210790B2 (en) * 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040007326A1 (en) * 2002-07-12 2004-01-15 Roche Gregory A. Wafer probe for measuring plasma and surface characteristics in plasma processing enviroments
US20050151479A1 (en) * 2002-09-26 2005-07-14 Lam Research Corporation, A Delaware Corporation Method for toolmatching and troubleshooting a plasma processing system
US20130213934A1 (en) * 2012-02-22 2013-08-22 John C. Valcore, JR. Methods and apparatus for controlling plasma in a plasma processing system

Also Published As

Publication number Publication date
TW201448675A (zh) 2014-12-16
US9530620B2 (en) 2016-12-27
KR102223863B1 (ko) 2021-03-05
SG10201400582RA (en) 2014-10-30
US20140265852A1 (en) 2014-09-18

Similar Documents

Publication Publication Date Title
KR102223863B1 (ko) 이중 제어 모드
US11361942B2 (en) Adjustment of power and frequency based on three or more states
US10707056B2 (en) Using modeling to determine ion energy associated with a plasma system
US10748748B2 (en) RF impedance model based fault detection
US10381201B2 (en) Control of etch rate using modeling, feedback and impedance match
US10325759B2 (en) Multiple control modes
US10008371B2 (en) Determining a value of a variable on an RF transmission model
US10340127B2 (en) Using modeling to determine wafer bias associated with a plasma system
KR102313223B1 (ko) 모델링, 피드백 및 임피던스 매칭을 사용하는 에칭 레이트 제어
US20190318919A1 (en) Control of etch rate using modeling, feedback and impedance match
JP6465579B2 (ja) チャンバ内整合及びチャンバ間整合のための、複数パラメータを使用したエッチング速度モデル化及びその使用
US9627182B2 (en) Tuning a parameter associated with plasma impedance
TWI599272B (zh) 根據三個或更多狀態之功率及頻率調整
KR102339317B1 (ko) Rf 임피던스 모델 기반 폴트 검출
KR102452835B1 (ko) 다중 제어 모드

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant