KR20140108347A - Etching process - Google Patents

Etching process Download PDF

Info

Publication number
KR20140108347A
KR20140108347A KR1020147023150A KR20147023150A KR20140108347A KR 20140108347 A KR20140108347 A KR 20140108347A KR 1020147023150 A KR1020147023150 A KR 1020147023150A KR 20147023150 A KR20147023150 A KR 20147023150A KR 20140108347 A KR20140108347 A KR 20140108347A
Authority
KR
South Korea
Prior art keywords
fluorine
argon
nitrogen
mixtures
mixture
Prior art date
Application number
KR1020147023150A
Other languages
Korean (ko)
Inventor
안야 피쉬티악
토마스 슈바르체
미카엘 피트로프
Original Assignee
솔베이 플루오르 게엠베하
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 솔베이 플루오르 게엠베하 filed Critical 솔베이 플루오르 게엠베하
Priority claimed from PCT/EP2007/053421 external-priority patent/WO2007116033A1/en
Publication of KR20140108347A publication Critical patent/KR20140108347A/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

불소와 질소 및/또는 아르곤과 같은 비활성 기체들의 혼합물들은 반도체, 태양 전지판 및 평판 (TFT 및 LCD)의 에칭, 및 반도체 표면 및 플라즈마 챔버의 세정에 사용될 수 있다. 바람직하게, 불소는 2원 혼합물들에서 15 내지 25 부피%의 양으로 포함된다. 기체 혼합물들은 NF3를 포함하는 각각의 혼합물들에 대한 대체물 또는 드롭인으로서 사용될 수 있고 플라즈마 장치의 매우 탄력적인 작동을 허락한다. 예를 들어, NF3/Ar 혼합물들에 대하여 조정된 장치는 임의적으로 질소와 함께, 불소 및 아르곤을 사용하여 더 이상의 조정없이 작동될 수 있다. 만약 불소, 질소 및 아르곤의 3원 혼합물들이 사용된다면, 불소 함량은 바람직하게 1 내지 5 부피%의 범위 내이다.
[색인어]
에칭, 세정, 불소, 질소, 아르곤, 비활성 기체, 증착
Fluorine and mixtures of inert gases such as nitrogen and / or argon can be used to etch semiconductors, solar panels and flat panels (TFT and LCD), and clean semiconductor surfaces and plasma chambers. Preferably, the fluorine is included in the amount of 15 to 25% by volume in the binary mixtures. The gas mixtures can be used as alternatives or drop-in for each of the mixtures containing NF 3 and allow very flexible operation of the plasma apparatus. For example, a regulated device for NF 3 / Ar mixtures can be operated with no further adjustment using fluorine and argon, optionally with nitrogen. If ternary mixtures of fluorine, nitrogen and argon are used, the fluorine content is preferably in the range of from 1 to 5% by volume.
[Index]
Etching, cleaning, fluorine, nitrogen, argon, inert gas, deposition

Description

에칭 방법{ETCHING PROCESS}Etching Process {ETCHING PROCESS}

본 발명은 F2를 포함하는 기체들을 이용하여 기판(substrate)을 에칭하는 방법에 관한 것이다.The present invention relates to a method of etching a substrate using gases comprising F 2 .

화학기상성장법(Chemical vapor deposition, CVD), 물리기상성장법(physical vapor deposition, PVD) 및 원자층증착(atomic layer deposition, ALD) 방법은 반도체를 제조하는데 널리 사용된다. 이러한 방법에 의하여, 예를 들어 SiOxNy(간단히 SiON으로 칭함) 층은 소위 TEOS/오존 CVD 방법으로 제조될 수 있으며, 여기에서 테트라에톡시실란(tetraethoxysilane)은 오존의 존재하 플라즈마 장치에서 처리된다. SiO2는 열성장(thermal growth)에 의해 증착될 수 있다. W, TiN 및 TaN의 증착(deposition)은 PVD 방법을 이용하는 것이 가능하다.Methods such as chemical vapor deposition (CVD), physical vapor deposition (PVD), and atomic layer deposition (ALD) are widely used in the manufacture of semiconductors. By this method, for example, a layer of SiO x N y (simply referred to as SiON) can be produced by a so-called TEOS / ozone CVD process, wherein tetraethoxysilane is treated in a plasma apparatus in the presence of ozone do. SiO 2 can be deposited by thermal growth. Deposition of W, TiN and TaN is possible using the PVD method.

각각의 장치의 작동 동안, 증착은 기판에서뿐만 아니라, 리액터 챔버(reactor chamber)의 내부 벽에서도 일어난다. 허용가능한 입자 수준에서 균일한 표면을 가진 안정하고 반복가능한 증착 결과를 얻기 위해 이러한 증착의 정기적인 제거가 바람직하다. 안정한 과정을 지속하기 위한 높은 요구는 자주 챔버를 세정하게 한다. 주된 세정 기체로서 알려진 NF3는 다소 고가이다.During operation of each device, deposition takes place not only in the substrate but also in the inner walls of the reactor chamber. Periodic removal of such a deposition is desirable to obtain stable and repeatable deposition results with a uniform surface at acceptable particle levels. High demands to maintain a stable process often cause the chamber to be cleaned. NF 3 , known as the main cleaning gas, is somewhat expensive.

미국 특허출원 제2003/0056388호는 SF6 및 F2 및/또는 NF3를 포함하는 챔버 세정용 세정 기체를 개시한다. 미국 특허 제5425842호는 F2 또는 불소 화합물 및 산소 또는 산소 화합물을 이용하여 챔버를 세정하는 방법을 개시한다. 이러한 기체 혼합물들을 사용하여, 폴리머성 플루오르화탄소(polymeric fluorocarbon) 오염물을 제거할 수 있다. 유사한 방법으로, 반도체 표면의 이러한 오염물을 제거할 수 있다. 플라즈마 챔버에서 에칭 기체로서 CF4, C2F6 및 CHF3와 같은, 종종 수소와 혼합된 플루오르화탄소(fluorocarbon) 또는 수소화플루오르화탄소(hydrofluorocarbon)를 사용하여 반도체를 에칭할 때 오염물이 종종 형성된다.U.S. Patent Application No. 2003/0056388 discloses a cleaning gas for chamber cleaning comprising SF 6 and F 2 and / or NF 3 . U.S. Patent No. 5,425,842 discloses a method of cleaning a chamber using F 2 or a fluorine compound and an oxygen or oxygen compound. These gas mixtures can be used to remove polymeric fluorocarbon contaminants. In a similar manner, these contaminants on the semiconductor surface can be removed. Contaminants are often formed when etching semiconductors using fluorocarbons or hydrofluorocarbons, often mixed with hydrogen, such as CF 4 , C 2 F 6, and CHF 3 as an etch gas in the plasma chamber.

NF3는 종종 반도체 제조에서 에칭기체로서 사용된다. 그것은 SiON, 비정질(amorphous) Si, SiO2, TiN, TaN 또는 W (텅스텐) 같은 무기 코팅을 에칭하는데 사용될 수 있다.NF 3 is often used as an etching gas in semiconductor manufacturing. It can be used to etch the inorganic coating such as SiON, amorphous (amorphous) Si, SiO 2, TiN, TaN or W (tungsten).

본 발명의 과제는 챔버 세정 기체로서 및 환경 파괴적인 지구 온난화 방출물을 유의하게 감소시키는 반도체 제조 분야에서의 다른 목적을 위하여 효과적인 에칭 기체 조성물을 확인하는 것이었다. 본 발명의 특수한 과제는 혼합물의 형태에서, 무기 물질을 에칭하는데 유용한, 특히 챔버 세정의 틀 내에서 무기 오염물을 에칭하는데 사용될 수 있는, 에칭 기체 조성물을 확인하는 것이다. It was an object of the present invention to identify an effective etching gas composition as a chamber cleaning gas and for other purposes in the field of semiconductor fabrication that significantly reduces environmental destructive global warming emissions. It is a particular object of the present invention to identify etching gas compositions useful in etching inorganic materials, especially in the form of mixtures, which can be used to etch inorganic contaminants, particularly in the framework of chamber cleaning.

본 발명의 이들 목적 및 다른 목적은 청구항에 따른 방법에 의해 이루어진다.These and other objects of the invention are achieved by a method according to the claims.

본 발명에 따른 방법은 반도체 물질의 에칭(예를 들어, 반응이온에칭(Reactive Ion Etching), 간단히: RIE), 반도체, 태양 전지판(solar panel) 및 평판(flat panel) (박막트랜지스터(Thin Film Transistor)), 액정디스플레이((Liquid Crystal Display), 간단히: TFT/LCD 적용)의 표면 세정 및 표면 제조 및 반도체 제조(TFT/LCD 적용)를 위한 챔버의 세정을 제공하고 불소; 및 질소와 0족 기체(He, Ar, Xe 및 Kr)로 이루어진 군으로부터 선택된 1종 이상의 비활성 기체의 혼합물의 적용을 특징으로 한다. 일반적으로, 혼합물의 플루오르 함량은 바람직하게 1 내지 35 부피%이고 더 바람직하게 15 내지 25 부피%이다. 특히 바람직한 구현예에서, 불소의 함량은 18 내지 22 부피%의 범위 내이다. 혼합물은 리액터(reactor) 내에서 형성될 수 있고, 또는 바람직하게, 불소 및 비활성 기체 또는 기체들의 혼합물을 리액터 내로 도입하기 전에 혼합물이 형성된다. 만약 기체가 이러한 미리 혼합된 형태로 리액터 내로 도입되면, 균질한 혼합물이 리액터 챔버 도처에 제공된다. 불소를 함유하는 혼합물들이 NF3에 대하여 드롭인 방식(drop-in manner)으로 사용되는 경우에, 불소 함량은 더 낮아질 수 있다. 이것은 하기에 설명된다.The method according to the present invention can be used for etching semiconductor materials (e.g., reactive ion etching, simply: RIE), semiconductors, solar panels, and flat panels (Thin Film Transistors ), Liquid crystal display (Liquid Crystal Display, briefly: TFT / LCD application) and cleaning of the chamber for surface preparation and semiconductor manufacturing (TFT / LCD application); And at least one inert gas selected from the group consisting of nitrogen and Group 0 gases (He, Ar, Xe and Kr). In general, the fluorine content of the mixture is preferably from 1 to 35% by volume and more preferably from 15 to 25% by volume. In a particularly preferred embodiment, the content of fluorine is in the range of 18 to 22% by volume. The mixture can be formed in a reactor or, preferably, a mixture is formed before introducing a mixture of fluorine and an inert gas or gases into the reactor. If gas is introduced into the reactor in this premixed form, a homogeneous mixture is provided throughout the reactor chamber. When fluorine-containing mixtures are used in a drop-in manner for NF 3 , the fluorine content may be lower. This is described below.

본 발명의 바람직한 구현예에 따르면, 기체 혼합물은 불소 및 비활성 기체 또는 비활성 기체들로 이루어지며, 불소는 상기에서 주어진 범위 내에 존재하고, 비활성 기체 또는 기체들은 100 부피%까지의 잔량이다. 이러한 기체 혼합물들은 유리하게 SiON, 비정질 Si, SiO2, TiN, TaN 또는 W 같은 반도체 물질을 에칭하는데, 또는 반도체 표면을 세정하는데, 또는 상기에서 언급한 것과 같은 무기 물질, 또는 유기 물질에 의해 오염된 챔버를 세정하는데 사용될 수 있다. 미리 설명된 바와 같이, 이러한 유기 물질은 폴리- 또는 과플루오르화탄소(perfluorocarbon) 화합물을 이용하여 반도체 물질을 에칭할 때 형성될 수 있다. 기체 혼합물을 이용한 처리는 플라즈마의 존재 하에서 실행될 수 있고, 또는 플라즈마 없이(plasma-free) 실행될 수 있다. 후자의 경우에, 온도는 편의상 400℃ 이상이고, 바람직하게는 450℃ 보다 높고 650℃까지 또는 그 이상이다. 양호한 결과를 가지는 범위는 400 내지 800℃이고, 바람직하게는 400 내지 650℃, 특히 450 내지 650℃의 범위이다.According to a preferred embodiment of the present invention, the gas mixture consists of fluorine and inert gases or inert gases, fluorine being within the range given above, and inert gases or gases remaining in up to 100 vol%. This gas mixture are an advantageously for etching a semiconductor material such as SiON, amorphous Si, SiO 2, TiN, TaN or W, or to clean the semiconductor surface, or contamination by inorganic materials, or organic materials such as those mentioned in the May be used to clean the chamber. As previously explained, this organic material may be formed when etching a semiconductor material using poly- or perfluorocarbon compounds. The treatment with the gas mixture can be carried out in the presence of plasma or plasma-free. In the latter case, the temperature is conveniently 400 占 폚 or higher, preferably higher than 450 占 폚 and up to 650 占 폚 or higher. The range having good results is 400 to 800 캜, preferably 400 to 650 캜, particularly 450 to 650 캜.

불소와 1종의 비활성 기체와의 혼합물들, 예를 들어 불소와 아르곤의 혼합물들 또는 불소와 질소의 혼합물들은 상기에서 언급한 목적에 대해 매우 효과적인 것으로 확인되었다. 그것들은 상업적 장치에서 실행되는 CVD, PVD 또는 ALD 방법에 사용될 수 있다. 이들 장치 중 일부는 상기에서 언급된 처리에 일반적으로 종종 사용되는 기체들로 작동하도록 조정된다. 예를 들어, 이러한 장치들은 어떤 비활성 기체 및 NF3의 혼합물로 작동되도록 조정될 수 있다. 만약, 대신에, 이러한 장치가 불소 및 각각의 비활성 기체의 혼합물들로 작동된다면, 장치는 조정되고, 아무런 문제도 일어나지 않을 것이다. 불소 및 비활성 기체들은 혼합물로서 운반될 수 있고, 또는 서로 개별적으로 운반될 수 있다. 하기에서, 이 구현예에 대하여, 용어 "드롭인(drop-in)"이 사용될 것이다. 이 용어는 예를 들어 장치의 조정 관점에서, 또는 종종 리액터 내 기체의 물리적인 조건, 예를 들어 리액터 내에 적용된 압력 및 온도의 관점에서 실질적으로 동일한 조건 하에서 방법이 전형적으로 NF3/비활성 기체로 실행되었음을 의미한다. 원칙적으로, 드롭인 방법은 장치가 NF3/비활성 기체 및 F2/비활성 기체를 교대로 하여 작동되는 상황을 포함한다. 바람직하게, 용어 "드롭인"은 처음에 NF3/비활성 기체 혼합물들에 대하여 조정되고 NF3/비활성 기체 혼합물들로 작동될 수 있는 장치가 영구적으로 F2/비활성 기체 혼합물들로 작동되는 상황을 의미한다.It has been found that mixtures of fluorine with one inert gas, for example mixtures of fluorine and argon or mixtures of fluorine and nitrogen, are highly effective for the purposes mentioned above. They can be used in CVD, PVD or ALD processes running on commercial devices. Some of these devices are tuned to operate with gases that are commonly used in the processes described above. For example, these devices can be adjusted to operate with a mixture of some inert gas and NF 3 . If, instead, the apparatus is operated with mixtures of fluorine and each inert gas, the apparatus will be calibrated and no problems will occur. The fluorine and inert gases may be carried as a mixture, or may be carried separately from each other. In the following, for this embodiment, the term "drop-in" will be used. This term is for example running in the adjustment point of view of the device, and often a physical condition of the gas in the reactor, for a example, a method under substantially the same conditions in terms of pressure and temperature applied in the reactor typically NF 3 / inert gas . In principle, the drop-in method involves a situation where the apparatus is operated alternately with NF 3 / inert gas and F 2 / inert gas. Preferably, the context in which the term "drop-in" is adjusted for the first NF 3 / inert gas mixture, and the operation with permanently F 2 / inert gas mixture apparatus which can be operated in the NF 3 / inert gas mixture it means.

본 발명의 이런 양태는 이러한 플라즈마 장치에서 질소, 불소 및 아르곤의 적용을 위해 제공하는 바람직한 구현예를 위해 더 설명될 것이다.This aspect of the invention will be further described for a preferred embodiment that provides for the application of nitrogen, fluorine and argon in such a plasma apparatus.

불소 및 아르곤의 혼합물들은 예를 들어 NF3를 포함하는 혼합물들, 특히 NF3 및 Ar을 포함하는 혼합물들에 대한 우수한 드롭인 대체물이다. 유사하게, 불소와 질소 또는 불소와 헬륨의 혼합물들은 상기 비활성 기체들 및 NF3를 포함하는 혼합물들로 작동되는 장치에 대한 우수한 드롭인 대체물들이다. 만약, 장치가 조정되는 특정 비활성 기체가 불소 및 다른 종류의 비활성 기체의 기체 혼합물에서 어떤 최소량에 포함되면, 보통, NF3 및 예를 들어, 아르곤과 같은 특정 비활성 기체에 대하여 조정된 장치는 불소와 어떤 다른 비활성 기체의 기체 혼합물들로도 작동될 수 있다. 예를 들어, 약간의 아르곤이 챔버 내로 부가적으로 도입된다면, 아르곤 및 NF3의 혼합물들에 대하여 조정된 장치는 불소 및 질소의 혼합물들로 작동될 수 있다. 종종, 아르곤의 50 부피% 최소량은 장치의 어떠한 조정없이 작동가능한 플라즈마를 유지하기에 충분하다. 이 최소량은 특정 장치에서 때때로 의존하지만, 플라즈마의 안정성을 점검함으로써 간단히 확인될 수 있다. 물론, 조정의 관점에서 필요한 부가적인 비활성 기체는 불소 및 다른 비활성 기체의 혼합물에 포함될 수 있거나, 또는 장치 내로 개별적으로 공급될 수 있다. 바람직한 0족 기체는 아르곤이다. 상기로부터, 이러한 바람직한 드롭인 방법에서, 비활성 기체를 더 포함하는 기체 혼합물들의 구성요소로서 F2 기체가 NF3 대신에 선택될 수 있음은 명확하다.Mixtures of fluorine and argon are excellent drop-in alternatives for mixtures including, for example, NF 3 , especially mixtures comprising NF 3 and Ar. Similarly, mixtures of fluorine with nitrogen or fluorine with helium are excellent drop-in substitutes for such inert gas and devices operating with mixtures comprising NF 3 . If a certain inert gas to which the device is to be adjusted is included in a certain minimum amount in the gas mixture of fluorine and other types of inert gases, then the device adjusted for NF 3 and certain inert gases such as, for example, argon, It can be operated as gas mixtures of any other inert gas. For example, if some argon is additionally introduced into the chamber, the regulated device for mixtures of argon and NF 3 can be operated with mixtures of fluorine and nitrogen. Often, a minimum volume of 50% by volume of argon is sufficient to maintain an operable plasma without any adjustment of the apparatus. This minimum amount can be easily ascertained by checking the stability of the plasma, although it is sometimes dependent on the particular device. Of course, the additional inert gas required in terms of regulation may be included in a mixture of fluorine and other inert gases, or may be fed individually into the apparatus. A preferred Group 0 gas is argon. It is clear from the above that, in this preferred drop-in method, F 2 gas can be selected instead of NF 3 as a component of gas mixtures further comprising an inert gas.

불소, 질소 및 아르곤을 이용하는 것이 바람직하며, 그것에 의하여 적어도 불소 및 질소는 기체 혼합물로서 공급된다. 만약 불소 및 질소가 아르곤과 개별적으로 혼합물로서 공급된다면, 상기에서 나타낸 바와 같이 질소/불소 혼합물 내의 불소의 함량은 바람직하게 15 내지 25 부피%의 범위 내이다. 만약 아르곤이 불소/질소 혼합물과 개별적으로 공급된다면, 질소/불소 및 아르곤의 총량에서 아르곤의 함량이 바람직하게 50 부피% 이상인 방식으로 질소/불소 혼합물 및 아르곤의 부피가 조절된다. 원칙적으로, 리액터 내의 혼합물을 형성하는 아르곤, 질소 및 불소 기체의 총량에서 불소의 함량은 탄력적이며, 1 내지 25 부피%의 범위 내일 수 있다. 리액터 내의 질소, 불소 및 아르곤 기체의 총량에서 질소의 함량도 또한 탄력적이며; 4 내지 50 부피%의 범위 내일 수 있다. 아르곤은 바람직하게 100 부피%까지의 잔량이다. 상기에서 언급한 바와 같이, 불소 및 질소는 바람직하게 질소에 대한 불소의 부피비가 바람직하게 15:85 내지 25:75의 범위 내인 혼합물로서 공급된다. 그 결과, 불소 및 질소의 함량이 더 낮은 범위 내이다. 특히 리액터 챔버로 이 기체 공급의 총량이 불소, 질소 및 아르곤으로 이루어진 것에 의한 질소/불소 혼합물 및 아르곤을 운반하는 것이 바람직하고 여기에서 불소의 함량은 1 내지 5 부피%의 범위 내이고, 질소에 대한 불소의 부피비는 15:85 내지 25:75의 범위 내이며, 아르곤은 100 부피%까지의 잔량이다. 불소의 가장 바람직한 범위는 1 내지 4 부피%이다. 질소에 대한 불소의 바람직한 부피비는 18:82 내지 22:78이고, 아르곤은 100 부피%까지의 잔량이다.It is preferable to use fluorine, nitrogen and argon, whereby at least fluorine and nitrogen are supplied as a gas mixture. If fluorine and nitrogen are supplied as separate mixtures with argon, the content of fluorine in the nitrogen / fluorine mixture is preferably in the range of 15 to 25% by volume, as indicated above. If argon is separately supplied with the fluorine / nitrogen mixture, the volume of the nitrogen / fluorine mixture and argon is controlled in such a manner that the content of argon in the total amount of nitrogen / fluorine and argon is preferably at least 50 vol%. In principle, the content of fluorine in the total amount of argon, nitrogen and fluorine gas forming the mixture in the reactor is elastic and may be in the range of 1 to 25% by volume. The content of nitrogen in the total amount of nitrogen, fluorine and argon gas in the reactor is also elastic; And may be in the range of 4 to 50% by volume. The argon preferably has a residual amount of up to 100% by volume. As mentioned above, fluorine and nitrogen are preferably supplied as a mixture wherein the volume ratio of fluorine to nitrogen is preferably within the range of 15:85 to 25:75. As a result, the content of fluorine and nitrogen is in the lower range. In particular, it is preferred to transport a nitrogen / fluorine mixture and argon in a reactor chamber wherein the total amount of this gas feed consists of fluorine, nitrogen and argon, wherein the fluorine content is in the range of 1 to 5 vol% The volume ratio of fluorine is in the range of 15:85 to 25:75, and the amount of argon is up to 100% by volume. The most preferred range of fluorine is 1 to 4% by volume. The preferred volume ratio of fluorine to nitrogen is 18:82 to 22:78, and argon is up to 100% by volume.

2개의 다른 라인에 의해 개별적으로 운반되는 대신에, 질소/불소 혼합물과 아르곤은 또한 하나의 라인으로 운반될 수도 있으며, 여기에서 질소/불소 혼합물과 아르곤은 리액터 챔버로 들어가기 전에 미리 혼합되거나, 또는 3원(ternary) 혼합물의 형태로 미리 혼합되어 제공될 수 있다. 이러한 3원 혼합물은 불소, 아르곤 및 질소의 바람직한 양을 압력통(pressure bottle) 내에서 압축(condensing)함으로써 용이하게 제조될 수 있다.Instead of being transported separately by two different lines, the nitrogen / fluorine mixture and argon may also be carried in one line, where the nitrogen / fluorine mixture and argon are premixed before entering the reactor chamber, or 3 They may be preliminarily mixed and supplied in the form of a ternary mixture. Such a ternary mixture can be easily prepared by condensing a desired amount of fluorine, argon and nitrogen in a pressure bottle.

표면 세정 및 챔버 세정에서 예를 들어, 반도체, 태양 전지판(solar panel), 평판(flat panel)의 처리를 위한 플라즈마-작동(plasma-operated) 장치에서 NF3 및 비활성 기체에 대한 드롭인으로서 불소 및 비활성 기제의 혼합물들의 용도는 본 발명의 다른 양태이다.Fluorine as a drop-in for NF 3 and inert gases in a plasma-operated apparatus for the treatment of semiconductor, solar panel, flat panel, for example in surface cleaning and chamber cleaning, The use of mixtures of inert bases is another aspect of the invention.

대부분의 목적에 대하여, 아르곤(Ar)은 바람직한 비활성 기체이다. 텅스텐 에칭에 대해, N2/F2는 Ar/F2과 비교하여 더 효과적이다.For most purposes, argon (Ar) is the preferred inert gas. For tungsten etching, N 2 / F 2 is more effective than Ar / F 2 .

본 발명에 따른 방법은 반도체, 태양 전지판(solar panel) 및 평판(flat panel) (TFT/LCD) 제조에 대해 신청된 것에 널리 적용될 수 있다. The method according to the present invention is widely applicable to applications for semiconductor, solar panel and flat panel (TFT / LCD) fabrication.

본 발명의 하나의 양태는 반도체 제조에 또는 반도체 제조의 결과인 것에 사용되는 물질의 에칭에 관한 것이다. 예를 들어, 기재된 불소 및 비활성 기체 또는 비활성 기체들의 혼합물은 무기 물질, 예를 들어 비정질 Si, 및 특히 SiON, TaN, TiN, W 및 SiO2를 에칭하는데 사용될 수 있다. 이들 물질은 종종 반도체 제조 동안 CVD, PVD 또는 ALD 방법을 통해 제조된다. 혼합물은 또한 포토레지스트(photo resist)와 같은 유기 물질을 에칭하는 데에도 사용될 수 있다. 여기에서, 유리하게 혼합물은 산소와 함께 사용된다.One aspect of the invention relates to the etching of materials used in semiconductor manufacturing or as a result of semiconductor manufacturing. For example, the fluorine and inert gases or mixtures of inert gases described can be used to etch inorganic materials, such as amorphous Si, and in particular SiON, TaN, TiN, W and SiO 2 . These materials are often fabricated through CVD, PVD or ALD methods during semiconductor manufacturing. The mixture can also be used to etch organic materials such as photo resist. Here, the mixture is advantageously used with oxygen.

본 발명의 다른 양태에서, 상기에서 약술된 기체 혼합물은 챔버 세정 또는 반도체 기판, 평판 (TFT/LCD) 등의 표면을 세정하는데 사용된다. 상기에서 언급한 바와 같이, CVD, PVD 또는 ALD 방법 동안, 무기 또는 유기 오염이 사용되는 챔버 내에서 또는 챔버내에서 처리된 반도체 물질에서도 일어날 수 있다. In another aspect of the present invention, the gas mixture outlined above is used to clean a chamber clean or a surface of a semiconductor substrate, a flat panel (TFT / LCD), and the like. As mentioned above, during the CVD, PVD or ALD process, inorganic or organic contamination can also occur in the semiconductor material processed in the chamber or in the chamber in which it is used.

텅스텐의 에칭에 대하여, 바람직한 혼합물은 불소와 질소로 이루어진다. SiON, TaN, TiN, SiO2 및 비정질 Si와 같은 다른 무기 물질의 에칭에 대하여, 바람직한 혼합물은 불소와 아르곤으로 이루어진다. 만약, 2원(binary) 혼합물이 사용된다면, 특히 바람직한 것은 불소를 18 내지 22 부피% 포함하고 잔량이 각각 질소 또는 아르곤인 혼합물이다. 만약 때때로 유리할 수 있는 3원 혼합물이 사용된다면, 불소의 함량은 다시 한번 1 내지 5 부피%의 범위 내인 것이 바람직하다.For the etching of tungsten, the preferred mixture consists of fluorine and nitrogen. With respect to the etching of other inorganic materials, a preferred mixture, such as SiON, TaN, TiN, SiO 2 and an amorphous Si is formed of fluorine and argon. If a binary mixture is used, particularly preferred is a mixture comprising 18 to 22% by volume of fluorine and the balance being nitrogen or argon, respectively. If a ternary mixture, which may sometimes be advantageous, is used, it is preferred that the content of fluorine is again in the range of 1 to 5% by volume.

일반적으로, 에칭 또는 챔버 세정 동안 압력은 대기압(1 bar)보다 낮으며, 즉, 진공에서 실행된다. 에칭은 바람직하게 100 내지 2000 Pa의 범위 내의 압력에서 실행된다. 매우 자주, 압력은 바람직하게 100 내지 1000 Pa의 범위 내이고, 특히 바람직하게 압력은 200 내지 800 Pa의 범위 내이며, 보다 더 바람직하게 300 내지 600 Pa의 범위 내이다. 바람직하다면, 에칭은 표시된 것 보다 더 낮은 또는 더 높은 압력에서 실행될 수 있지만, 에칭 속도는 낮다.In general, the pressure during the etching or chamber cleaning is lower than the atmospheric pressure (1 bar), i.e., in vacuum. The etching is preferably carried out at a pressure in the range of 100 to 2000 Pa. Very often, the pressure is preferably in the range of 100 to 1000 Pa, particularly preferably the pressure is in the range of 200 to 800 Pa, and more preferably in the range of 300 to 600 Pa. If desired, etching may be performed at lower or higher pressures than indicated, but the etch rate is low.

만약 에칭이 플라즈마의 존재 하에서 실행된다면, 온도는 바람직하게 대기 온도(약 20℃) 내지 400℃의 범위 내이다. 특히 바람직하게, 온도는 100℃ 내지 400℃의 범위 내이다.If the etching is carried out in the presence of a plasma, the temperature is preferably within the range of ambient temperature (about 20 캜) to 400 캜. Particularly preferably, the temperature is in the range of 100 占 폚 to 400 占 폚.

만약 에칭이 플라즈마 없이 실행된다면, 바람직한 온도는 상기에 주어져 있다.If etching is performed without plasma, the preferred temperature is given above.

다른 구현예에 따라서, 불소 및 비활성 기체의 혼합물은 챔버 세정에 사용된다. 만약 챔버의 내부가 W로 오염된다면, 불소 및 질소의 혼합물은 매우 적절하다. 다른 오염물에 대하여, 불소 및 아르곤의 혼합물들이 바람직하다. 온도 및 압력의 바람직한 범위는 에칭에 대하여 상기 주어진 것에 일치한다.According to another embodiment, a mixture of fluorine and an inert gas is used for chamber cleaning. If the interior of the chamber is contaminated with W, a mixture of fluorine and nitrogen is very suitable. For other contaminants, mixtures of fluorine and argon are preferred. The preferred ranges of temperature and pressure correspond to those given above for etching.

또한 이 구현예에서, 혼합물들은 플라즈마 없이 실행되는 방법에 사용될 수 있고, 또는 플라즈마에 의해 지지되는 방법에 사용될 수 있다. 만약 플루오르화 폴리카본 물질(fluorinated polycarbon material)과 같은 유기물이 제거된다면, 산소의 부가가 유리하다.Also in this embodiment, the mixtures may be used in a method which is carried out without plasma or in a method which is supported by a plasma. If organic matter such as a fluorinated polycarbon material is removed, the addition of oxygen is advantageous.

본 발명에 따른 방법은 반도체, TFTs, LCDs, 태양 전지판 및 평판을 제조하는데 일반적으로 사용되는 장치에서 실행될 수 있다. 그것은 예를 들어, CVD 장치, PVD 장치, 또는 ALD 장치에서 플라즈마로 또는 플라즈마 없이 작동하여 사용될 수 있다. 방법은 원거리 플라즈마를 사용하는 장치, 및 플라즈마가 챔버 내에서 직접적으로 제조되는 장치에 적합하며, 무선주파수 에너지(radio-frequency energy) 또는 마이크로파 에너지(microwave energy)에 의해 유도된다.The method according to the present invention can be practiced in devices commonly used for manufacturing semiconductors, TFTs, LCDs, solar panels and flat plates. It may be used, for example, in a CVD device, a PVD device, or an ALD device, operating with or without a plasma. The method is suitable for devices using remote plasma and for devices in which the plasma is directly manufactured in the chamber and is induced by radio-frequency energy or microwave energy.

바람직한 구현예에서, 불소 및 비활성 기체는 챔버 내로 개별적이 아닌, 미리 혼합된 균질한 혼합물로서 도입된다. 그것에 의하여 불소와 비활성 기체의 미리 조절된 비가 리액터 도처에 균질하게 제공됨이 보증된다. 만약 하나가 3원 혼합물들을 사용하면, 3원 혼합물들은 미리 혼합된 형태로 제공될 수 있거나, 리액터 내로 부분적으로 혼합된 형태로 제공될 수 있다. 바람직한 구현예는 이미 미리 혼합된 불소와 아르곤 또는 불소와 질소의 공급, 및 후자의 경우에, 만약 바람직하다면 개별적으로 또는 불소 및 질소와의 3원 혼합물의 형태로도 실행될 수 있는 아르곤의 부가적인 공급을 제공한다.In a preferred embodiment, the fluorine and inert gases are introduced as homogeneous premixed mixtures, not individually into the chamber. Whereby it is ensured that the pre-adjusted ratio of fluorine and inert gas is homogeneously provided throughout the reactor. If one uses ternary mixtures, the ternary mixtures may be provided in a premixed form or may be provided in a partially mixed form into the reactor. A preferred embodiment is the addition of previously mixed fluorine and argon or fluorine and nitrogen, and in the latter case, if desired, argon, which can also be carried out separately or in the form of a ternary mixture of fluorine and nitrogen .

본 발명에 따른 방법의 이점은 NF3는 GWP 및 오존의 관점에서 환경 우호적인 기체 혼합물에 의해 대체될 수 있다는 것이며; NF3는 매우 높은 GWP를 가지는 반면, Ar, N2, F2 및 이의 혼합물들은 GWP가 0이다. 만약 NF3가 3개의 F 원자를 제공하고 F2는 단지 2개의 F 원자를 제공하는 것을 고려하면, 많은 적용에 대하여, 본 발명에 따른 기체 혼합물들은 NF3를 이용하는 종래의 에칭 또는 세정 방법과 유사하고 때때로 훨씬 더 좋다(예를 들어, 150℃에서 비정질 Si, SiON 또는 SiO2를 F2/Ar 또는 F2/N2으로 에칭할 때 또는 300℃에서 TaN 또는 SiON를 F2/N2를 이용하여 에칭할 때, 또는 챔버 세정에서 이러한 오염물을 제거할 때)는 것이 확인되었다. 상기 방법의 다른 이점은 불소 및 비활성 기체의 혼합물이 NF3 대신에 불소의 포함하는 각각의 혼합물들에 대하여 드롭인 대체물로서 사용될 수 있다는 것이다. 만약 장치가 NF3 및 다른 비활성 기체의 혼합물에 대하여 조정되면(최적의 에칭 기체 유효성을 얻기 위하여, 기체 유량 제어계(gas flow controller) 및 밸브의 질량 유동(mass flow), 샘플 판(samples plate)의 가열, 유동 부피(flow volume) 및 유동 속도(flow speed)와 같은 파라미터, 리액터 온도, 리액터 도처 유동의 균질성의 조정을 의미한다), 장치가 조정되는 비활성 기체의 부가적인 공급이 작동가능한 조건을 제공한다. 따라서, 장치는 (아주 시간이 많이 걸리고 많은 실험 작업=실험 고안을 필요로 하는) 그것의 되돌림 없이, 가장 탄력적인 방법으로 작동될 수 있으며, 과도한 지연 없이 한 때는 NF3로 다른 때는 불소로 장치를 작동시키는 것도 가능하다.An advantage of the process according to the invention is that NF 3 can be replaced by an environmentally friendly gas mixture in terms of GWP and ozone; NF 3 has a very high GWP while Ar, N 2 , F 2 and mixtures thereof have a GWP of zero. Considering that NF 3 provides three F atoms and F 2 provides only two F atoms, for many applications, the gas mixtures according to the invention are similar to conventional etching or cleaning methods using NF 3 (For example, when amorphous Si, SiON or SiO2 is etched with F 2 / Ar or F 2 / N 2 at 150 ° C or with TaN or SiON with F 2 / N 2 at 300 ° C) When etching, or when removing such contaminants from chamber cleaning). Another advantage of the process is that a mixture of fluorine and an inert gas can be used as a drop-in replacement for each of the mixtures comprising fluorine instead of NF 3 . If the device is tuned for a mixture of NF 3 and other inert gases (to obtain optimal etch gas effectiveness, the gas flow controller and the mass flow of the valve, the sample plate Heating, flow parameters such as flow volume and flow speed, reactor temperature, adjustment of the homogeneity of the flow throughout the reactor), the additional supply of inert gas to which the device is tuned provides operable conditions do. Thus, the device can be operated in the most flexible manner (without the recurrence of which is very time consuming and requires a lot of experimental work = experiment design) and can be operated with NF 3 once, without excessive delay, It is also possible to operate.

본 발명의 다른 양태는 불소; 및 질소와 0족 기체들로 이루어진 군으로부터 선택된 1종 이상의 비활성 기체를 포함하거나 바람직하게는 이들로 이루어지는 기체 혼합물에 관한 것이다. 바람직한 구현예에서, 불소는 1 내지 35 부피%의 범위 내에서 2원 혼합물에 포함된다. 2원 혼합물에서 15 내지 25 부피%의 범위 내의 불소 함량이 매우 바람직하고, 18 내지 22 부피%의 범위가 훨씬 더 바람직하다. 바람직한 0족 기체는 Ar이다. 특히 바람직하게는 불소 15 내지 25 부피% 및 Ar 75 내지 85 부피%로 이루어진 혼합물이고, 훨씬 더 바람직하게는 불소 18 내지 22 부피% 및 Ar 78 내지 82 부피%로 이루어진 혼합물이며; 가장 바람직하게는 불소 20 부피% 및 Ar 80 부피%로 이루어진 혼합물이다. 이러한 2원 혼합물은 물론 부가적으로 공급된 기체들과 함께 사용될 수 있으며; 예를 들어 상기 주어진 부피 범위 내에서 불소 및 질소를 포함하는 2원 혼합물이 아르곤과 함께 사용될 수 있고; 그 결과, 리액터 챔버 내의 불소 함량은 공급된 아르곤의 양에 의존하여 따라서 감소된다.Another aspect of the present invention is a compound of formula And at least one inert gas selected from the group consisting of nitrogen and Group 0 gases. In a preferred embodiment, the fluorine is included in the binary mixture in the range of 1 to 35% by volume. The fluorine content in the range of 15 to 25% by volume in the binary mixture is highly preferred, and the range of 18 to 22% by volume is even more preferred. A preferred Group 0 gas is Ar. Particularly preferably a mixture of 15 to 25% by volume of fluorine and 75 to 85% by volume of Ar, even more preferably a mixture of 18 to 22% by volume of fluorine and 78 to 82% by volume of Ar; Most preferably 20 vol.% Of fluorine and 80 vol.% Of Ar. These binary mixtures can of course be used with the gases additionally supplied; For example, a binary mixture comprising fluorine and nitrogen within the given volume range may be used with argon; As a result, the fluorine content in the reactor chamber is dependent on the amount of argon supplied and is thus reduced.

본 발명의 다른 양태는 불소, 질소 및 1종 이상의 0족 기체를 포함하거나 바람직하게는 이루어진 혼합물들에 관한 것이다. 3원 혼합물들이 바람직하다. 이러한 3원 기체 혼합물에서 불소의 함량은 바람직하게 1 내지 25 부피%의 범위 내이고, 특히 바람직하게는 1 내지 5 부피%의 범위 내이다. 질소의 함량은 바람직하게 4 내지 50 부피%의 범위 내이다. 0족 기체 또는 0족 기체들은 바람직하게 100 부피%까지의 잔량이다. 질소에 대한 불소의 부피비는 15:85 내지 25:75의 범위 내가 특히 바람직하고, 훨씬 더 바람직하게는 18:82 내지 22:78의 범위 내이다. 바람직한 0족 기체는 아르곤이다. 매우 바람직한 기체 혼합물들은 불소, 질소 및 아르곤으로 구성되며, 여기에서 불소의 함량은 1 내지 5 부피%의 범위 내이고, 질소에 대한 불소의 부피비는 15:85 내지 25:75의 범위 내이며, 아르곤은 100 부피%까지의 잔량이다. 이 혼합물들에서, 불소의 바람직한 범위는 1 내지 4 부피%이다. 질소에 대한 불소의 바람직한 부피비는 18:82 내지 22:78이고, 아르곤은 100 부피%까지의 잔량이다.Another aspect of the invention relates to mixtures comprising, or preferably comprising, fluorine, nitrogen and at least one Group 0 gas. Ternary mixtures are preferred. The content of fluorine in such a ternary gas mixture is preferably in the range of 1 to 25% by volume, particularly preferably in the range of 1 to 5% by volume. The content of nitrogen is preferably in the range of 4 to 50% by volume. O group gases or O group gases preferably have a residual amount of up to 100% by volume. The volume ratio of fluorine to nitrogen is particularly preferred in the range of 15:85 to 25:75, and even more preferably in the range of 18:82 to 22:78. A preferred Group 0 gas is argon. Highly preferred gas mixtures consist of fluorine, nitrogen and argon wherein the fluorine content is in the range of 1 to 5 vol%, the fluorine to nitrogen ratio is in the range of 15:85 to 25:75, argon Is a residual amount of up to 100% by volume. In these mixtures, the preferred range of fluorine is from 1 to 4% by volume. The preferred volume ratio of fluorine to nitrogen is 18:82 to 22:78, and argon is up to 100% by volume.

이 기체 혼합물들의 이점은 반응이온에칭(reactive ion etching), 챔버 세정과 같은 반도체 산업에서의 적용 또는 반도체 기판, 태양 전지 평판 (TFT/LCD) 등의 표면을 세정하는데 매우 적합하다는 것이다.The advantage of these gas mixtures is that they are well suited for application in the semiconductor industry, such as reactive ion etching, chamber cleaning, or for cleaning surfaces of semiconductor substrates, solar cell plates (TFT / LCD), and the like.

본 발명의 다른 양태는 처리, 특히 반도체, 태양 전지 또는 평판 (TFT 및 LCDs)의 에칭 또는 표면-세정에 적합한 장치이고, 기체들을 포함하는 NF3에 대하여 조정되지만, 불소; 및 질소와 0족 기체로부터 선택된 1종 이상의 비활성 기체를 포함하는 기체 혼합물을 포함한다. 조정의 의미는 상기에 기재되어 있으며: 이는 장치가 NF3를 함유하는 기체의 사용에 적합하도록 기체 유량 제어계 및 밸브 등의 질량 유동의 조정이다. 바람직한 구현예에서, 장치는 예를 들어, 선을 통해, 상기에서 언급한 불소를 함유하는 기체 혼합물들을 포함하는 압력통과 같은 1종 이상의 용기와 연결된다.Another aspect of the invention is a process, particularly a device suitable for etching or surface-cleaning of semiconductors, solar cells or flat panels (TFT and LCDs) and is adjusted for NF 3 containing gases, but fluorine; And a gas mixture comprising at least one inert gas selected from nitrogen and Group 0 gases. The meanings of the adjustments are described above: This is the adjustment of the mass flow of the gas flow control system and valves, etc., so that the apparatus is suitable for use with gases containing NF 3 . In a preferred embodiment, the apparatus is connected to one or more vessels, for example, through a line, such as a pressure pass comprising gas mixtures containing fluorine as mentioned above.

본 발명의 또 다른 양태는 챔버 세정, 반도체, 태양 전지 및 평판 (TFT 및 LCD)의 표면 처리 또는 에칭과 같은, 플라즈마-지지 처리 장치(plasma-supported treatment apparatus)에 적용되는 기체 혼합물들의 구성요소로서 NF3에 대한 드롭인 대체물로서 불소의 용도이다. 바람직한 용도는 NF3를 함유하는 기체들에 대해 조정되지만, 대체물로서 불소를 함유하는 기체들로 작동되는 플라즈마 장치 내이다. 불소를 함유하는 기체들은 물론 NF3의 기체 혼합물들을 예를 들어, 리액터 내로 개별적으로 공급되고 장치 내에서 단지 혼합물을 형성하는 아르곤으로 대체할 수 있고, 또한 그것들은 미리 혼합된 형태로 리액터 내로 공급되는 이러한 NF3를 함유하는 혼합물들을 대체할 수도 있다. Another aspect of the present invention is a component of a gas mixture applied to a plasma-supported treatment apparatus, such as a chamber cleaning, a semiconductor, a solar cell, and a surface treatment or etching of a flat panel (TFT and LCD) It is the use of fluorine as a drop-in replacement for NF 3 . A preferred use is in a plasma apparatus operated with gases containing fluorine as a substitute, although this is adjusted for gases containing NF 3 . The fluorine containing gases as well as the NF 3 gas mixtures can be replaced, for example, with argon which is fed individually into the reactor and which only forms the mixture in the apparatus, and they are also fed into the reactor in a premixed form Mixtures containing such NF 3 may be substituted.

이 용도의 이점은 다른 기체 혼합물로 어떠한 조정도 실행되지 않고, 따라서 시간 및 경비가 용도의 드롭인 형태에 의해 절약될 수 있다는 것이다.An advantage of this application is that no adjustment is made to the other gas mixture, thus the time and expense can be saved by the drop form of the application.

본 발명에 따르면, 챔버를 세정하거나 무기 오염물을 에칭하는데 사용되는 효과적인 기체 혼합물을 제공할 수 있습니다.According to the present invention, an effective gas mixture can be provided that is used to clean the chamber or etch inorganic contaminants.

도 1은 반도체 및 평판 제조에 종종 사용되는 어떤 무기 물질에 적용되는, 질소 또는 아르곤과 불소의 기체 혼합물들에 대하여, 불소의 함량의 관점에서 표준화된, 150℃에서의 상대적인 에칭 속도를 보여준다. 점선은 100%에 맞춘, NF3의 에칭 속도의 비교를 보여준다. 도 2는 에칭이 300℃에서 실행될 때 결과를 보여준다.Figure 1 shows the relative etch rates at 150 ° C, normalized in terms of the fluorine content, for gas mixtures of nitrogen or argon and fluorine, applied to certain inorganic materials often used in semiconductor and flat panel manufacturing. The dotted line shows a comparison of the etch rate of NF 3 to 100%. Figure 2 shows the results when the etching is carried out at < RTI ID = 0.0 > 300 C. < / RTI >

하기 예들은 본 발명을 더 자세하게 설명할 것이지만, 이들이 본 발명의 범위를 제한하는 것으로 의도되지는 않는다.The following examples will illustrate the invention in more detail, but they are not intended to limit the scope of the invention.

[실시예][Example]

사용된 장치 :Devices Used:

실험들은 MKS 아스트론(MKS Astron)이 제조하고, 13.56 MHz에서 작동하며, 샘플 위로 32 cm에 위치한, 원거리 아스트론 아스텍스 플라즈마 소스(remote Astron Astex plasma source)를 부착한 주문품 스테인레스강(stainless steel) 진공 챔버 (26리터 부피)에서 실행하였다. 챔버는 터보 분자 펌프(turbo molecular pump) 및 BOC 에드워드 건식 펌프(BOC Edwards dry pump )로 진공으로 된다. 배출 가스들은 질량분광법(mass spectroscopy)으로 분석되었고; 차등 펌프된(differentially pumped) 레이볼드-인피콘 트랜스펙터(Leybold-Inficon Transpector) 200 amu 단위(unit)가 사용되었다. 샘플들은 리액터 챔버의 중앙의 척(chuck) 위에 놓았다. 챔버 내의 온도를 제어하였으며 실온(20℃ 근처) 내지 300℃ 사이로 변화시킬 수 있었다.Experiments were carried out on a custom stainless steel, manufactured by MKS Astron, operating at 13.56 MHz, with a remote Astron Astex plasma source located 32 cm above the sample, Was carried out in a vacuum chamber (26 liter volume). The chamber is evacuated with a turbo molecular pump and a BOC Edwards dry pump. Exhaust gases were analyzed by mass spectroscopy; A differentially pumped Leybold-Inficon Transpector 200 amu unit was used. The samples were placed on a chuck in the center of the reactor chamber. The temperature in the chamber was controlled and could be varied between room temperature (near 20 [deg.] C) and 300 [deg.] C.

실험을 실행하기 전에, 진공 시스템을 F2/N2의 낮은 흐름으로 먼저 흘러내리고, 그 다음 어떠한 흐름없이 높은 F2/N2 압력 에서 몇 시간 동안 부동태화시켰다. 이것을 2번 반복하였다.Before running the experiment, the vacuum system was first flushed down to the low flow of F 2 / N 2 and then passivated for several hours at high F 2 / N 2 pressure without any flow. This was repeated twice.

F2/Ar 과 F2/N2 혼합물들을 20:80의 부피비로 사용하였고, 각각 10 및 38 bar까지 채워진 2리터 압력통에 저장하였다.F 2 / Ar and F 2 / N 2 mixtures were used in a volume ratio of 20:80 and stored in a 2 liter pressure vessel filled to 10 and 38 bar respectively.

원거리 플라즈마 소스(remote plasma source)는 일반적으로 순수한 아르곤 존재 하에서 발화되었다. 플라즈마가 안정한 조건에 있은 후 바로, 불소를 포함한 가스 혼합물을 도입하였다. 불소 및 아르곤의 혼합물들을 아무런 문제없이 드롭인 방식으로 공급할 수 있었다. 사용된 장치는 Ar/NF3 혼합물들에 대해서 조정되었으므로, 비활성 기체로서 불소 및 질소의 혼합물을 사용할 때 안정한 플라즈마를 얻기 위해서, 아르곤을 계속해서 장치에 부가적으로 공급하였다. 이러한 방식으로, 불소/질소 혼합물들은 드롭인 방식으로 사용될 수 있었다. 불소/질소 및 아르곤을 개별적으로 운반하는 것은 아르곤 함량의 우수한 조정을 허용한다. 불소, 질소 및 아르곤의 3원 혼합물들을 사용하는 것은 리액터로 운반되었들 때 혼합물들이 이미 균질하다는 이점을 가진다.The remote plasma source was generally ignited in the presence of pure argon. Immediately after the plasma was in a stable condition, a gas mixture containing fluorine was introduced. Fluorine and argon in a drop-in manner without any problems. Since the apparatus used was adjusted for Ar / NF 3 mixtures, argon was continuously added to the apparatus in order to obtain a stable plasma when using a mixture of fluorine and nitrogen as an inert gas. In this way, fluorine / nitrogen mixtures could be used in a drop-in manner. Carrying fluorine / nitrogen and argon separately allows for an excellent adjustment of the argon content. The use of ternary mixtures of fluorine, nitrogen and argon has the advantage that the mixtures are already homogeneous when transported to the reactor.

에칭 속도의 측정 :Measurement of etching rate:

에칭 속도는 샘플로 향하게 한 645 nm 레이저를 사용하여 반사광측정법에 의한 제자리에서(in situ) 측정되었다. 에칭 속도는 제거 종점이 인지될 때 필름의 두께를 시간으로 나누어서 계산하였다.The etch rate was measured in situ by reflectometry using a 645 nm laser directed to the sample. The etch rate was calculated by dividing the thickness of the film by time when the removal endpoint was recognized.

아르곤과 불소 및 질소와 불소의 혼합물들의 제조 : Preparation of mixtures of argon, fluorine and nitrogen and fluorine :

아르곤과 불소는 20:80의 부피비로 압력 10 Bar까지 채워진 2리터 압력통 내에서 압축하였고, 그것에 의하여 양 화합물의 균질한 혼합물을 형성하였다.Argon and fluorine were compressed in a 2-liter pressure vessel filled to a pressure of 10 Bar at a volume ratio of 20:80, thereby forming a homogeneous mixture of both compounds.

질소와 불소는 20:80의 부피비로 38 Bar까지 채워진 2리터 압력통 내에서 압축하였고, 그것에 의하여 양 화합물의 균질한 혼합물을 형성하였다.Nitrogen and fluorine were compressed in a 2-liter pressure vessel filled to 38 bar at a volume ratio of 20:80, thereby forming a homogeneous mixture of both compounds.

샘플들 : Samples :

샘플의 크기는 20x20 mm2이었다. 조사된 물질은 간섭(interferometric) 측정을 허용하는 150 nm 열산화규소막(thermal SiO2 layer)에 증착되었다. SiON 및 SiO2 샘플들은 그것들의 광학적 특성들이 간섭 측정을 허용하므로 벌크 실리콘(bulk silicon)에 증착되었다.The size of the sample was 20 x 20 mm 2 . The irradiated material was deposited on a 150 nm thermal SiO 2 layer that allowed for interferometric measurements. SiON and SiO 2 samples were deposited on bulk silicon because their optical properties allowed interference measurements.

하기의 샘플들이 사용되었다:The following samples were used:

a) 종래의 TEOS/오존 CVD 방법에 의해 증착된, 벌크 실리콘 위의 1000 nm SiOxNy (SiON으로 칭함)a) 1000 nm SiO x N y (referred to as SiON) on bulk silicon, deposited by a conventional TEOS / ozone CVD process,

b) 벌크 실리콘에서 열성장(thermally grown)된, 1000 nm SiO2 b) 1000 nm SiO 2 , thermally grown in bulk silicon

c) 종래의 PVD 방법으로 증착된 300 nm 텅스텐c) 300 nm tungsten deposited by a conventional PVD process

d) 종래의 PVD 방법으로 증착된 300 nm TiNd) 300 nm TiN deposited by conventional PVD method

e) 종래의 PVD 방법으로 증착된 200 nm TaNe) 200 nm TaN deposited by conventional PVD method

300℃까지, 플라즈마가 없는(plasma-free) 조건 하에서는 어떠한 에칭도 관찰되지 않았다. 이것은 QMS(4중극 질량분석계(quadrupol mass spectrometer)) 측정에서 SiF4에 대한 피크(peak)의 결핍으로 입증되었다.No etching was observed up to 300 DEG C under plasma-free conditions. This proved to be a deficiency in the peak for SiF 4 in QMS (quadrupol mass spectrometer) measurements.

실시예Example 1:  One: 부피비가Volume ratio 20:80인 불소와 질소의 혼합물을 사용한  20:80 using a mixture of fluorine and nitrogen SiONSiON 의 에칭Etching

a) 리액터 내의 온도를 150℃로 하고, 플라즈마를 아르곤으로 발화시킨 다음, 플라즈마 발화 후 즉시, F2/N2 혼합물을 100 sccm의 유속(flow rate)으로 리액터 내로 도입하였다. 아르곤을 640 sccm의 유속으로 부가적으로 도입하였다. 상대적인 에칭 속도를 100 Pa, 200 Pa, 400 Pa 및 800 Pa의 압력에 대하여 측정하였다. 에칭 속도는 400 Pa에서 최적임을 확인하였다.a) The temperature in the reactor was set to 150 캜, and the plasma was ignited with argon, and immediately after the plasma ignition, the F 2 / N 2 mixture was introduced into the reactor at a flow rate of 100 sccm. Argon was additionally introduced at a flow rate of 640 sccm. Relative etching rates were measured at pressures of 100 Pa, 200 Pa, 400 Pa and 800 Pa. The etching rate was found to be optimal at 400 Pa.

b) 실시예를 아르곤 기체 유량(gas flow)을 900 sccm 및 F2/N2 기체 유량을 100 sccm으로 하여 반복하였다. 또 한편, 최적 조건은 400 Pa임을 확인하였으나, 불소의 낮은 농도 때문에 상대적인 에칭 속도는 더 낮았다. b) The example was repeated with an argon gas flow of 900 sccm and an F 2 / N 2 gas flow rate of 100 sccm. On the other hand, it was confirmed that the optimum condition was 400 Pa, but the relative etch rate was lower due to the low concentration of fluorine.

비교예Comparative Example 1: 아르곤 및  1: argon and NFNF 33 의 혼합물을 사용한 Lt; / RTI > SiONSiON 의 에칭Etching

아르곤 및 NF3를 각각 350 sccm 및 20 sccm의 유동(flow)으로 도입하여 실시예 1을 반복하였다. 또한 여기에서, 최적 에칭 속도는 400 Pa에서 관찰되었다.Example 1 was repeated by introducing argon and NF 3 at flow rates of 350 sccm and 20 sccm, respectively. Also here, the optimum etch rate was observed at 400 Pa.

결과 : 불소 함량을 표준화한 후 (NF3는 3개의 F 원자를 운반하는 반면 F2는 2개만을 운반하므로), 실시예 1a)의 상대적인 에칭 속도는 비교예 1의 에칭 속도보다 약간 더 높은 반면, 실시예 1b)의 에칭 속도는 약간 더 낮았다.Results: After normalizing the fluorine content (NF 3 carries 3 F atoms while F 2 only carries 2), the relative etch rate of Example 1a) is slightly higher than the etch rate of Comparative Example 1 , Example 1b) was slightly lower.

실시예Example 2: 아르곤/불소 혼합물을 이용한  2: Using an argon / fluorine mixture SiONSiON 의 에칭Etching

아르곤 및 불소의 혼합물(부피비 80:20)을 다양한 압력 및 온도에서 100 sccm의 유동으로 리액터 내로 도입하였다. 온도와는 관계없이, 최적의 상대적인 에칭 속도는 압력 400 Pa에서 획득되었음을 확인하였다. 가장 높은 에칭 속도는 300℃에서 관찰되었다. A mixture of argon and fluorine (80:20 by volume) was introduced into the reactor at a flow of 100 sccm at various pressures and temperatures. Regardless of the temperature, the optimum relative etch rate was obtained at a pressure of 400 Pa. The highest etch rate was observed at 300 占 폚.

결과 : 300℃에서, 100 sccm Ar/불소의 에칭 속도는 20 sccm NF3의 에칭 속도와 50 내지 60% 일치한다. (Ar/불소 혼합물에 포함된) 20 sccm F2에 대한 10.7 sccm NF3의 질량 등량은 약간 더 작은 에칭 속도를 획득하였다; 따라서, Ar/F2의 질량 단위당 에칭 속도가 약간 더 좋다.Results: At 300 ° C, the etch rate of 100 sccm Ar / fluorine is 50-60% consistent with the etch rate of 20 sccm NF3. The mass equivalence of 10.7 sccm NF 3 for 20 sccm F 2 (contained in the Ar / fluorine mixture) achieved a slightly smaller etch rate; Therefore, the etching rate per mass unit of Ar / F 2 is slightly better.

실시예Example 3 :  3: SiO2SiO2 , , TiNTiN , , TaNTaN 및 W의 에칭 And etching of W

실시예 1과 2 및 비교예 1과 유사하게 SiO2, TiN, TaN 및 W를 아르곤/불소, 질소/불소(플라즈마 안정을 위하여 부가적으로 아르곤을 공급)의 혼합물들, 및 비교를 위하여 NF3로 에칭하였다.Examples 1 and NF 3 for the mixture of, and a comparison of 2 and Comparative Example 1 Similar to SiO 2, (additionally supplying argon to the plasma stability) TiN, TaN and the argon / fluoride, nitrogen / fluorine to W Lt; / RTI >

a) 150℃에서 실행된 에칭 :a) Etching performed at < RTI ID = 0.0 > 150 C:

불소 함량에 대하여 표준화된, 상대적인 에칭 속도가 도 1에 수집되어 있다. NF3(100%로 함, 점선으로 표시됨)과 비교할 때, 불소 및 질소의 혼합물은 W 및 SiON에 대하여 비슷하거나 또는 명백히 훨씬 더 높음을 알 수 있다. NF3과 비교할 때, 불소와 아르곤의 혼합물은 SiON, TiN 및 SiO2에 대하여 비슷하거나 또는 명백히 훨씬 더 높다. TaN은 NF3과 비교되는 경쟁 범위에서 불소 및 아르곤으로 에칭될 수 있고; 불소 및 아르곤의 혼합물을 이용한 텅스텐 에칭은 가능하지만, 에칭 속도는 비교적 낮다.Standardized, relative etch rates for fluorine content are collected in FIG. It can be seen that the mixture of fluorine and nitrogen is similar or apparently much higher for W and SiON compared to NF 3 (indicated as 100%, indicated by the dashed line). Compared to NF 3 , the mixture of fluorine and argon is similar or apparently much higher for SiON, TiN and SiO 2 . TaN can be etched with fluorine and argon in a competitive range compared to NF 3 ; Tungsten etching using a mixture of fluorine and argon is possible, but the etching rate is relatively low.

b) 300℃에서 실행된 에칭 :b) Etching performed at 300 < 0 > C:

결과는 도 2에 수집되어 있다. 아르곤/불소 혼합물을 이용하여, TaN에 대하여 매우 높은 에칭 속도가 획득되었고, 질소/불소 혼합물을 이용하여, SiON 에칭은 매우 효과적이다. 질소 및 불소의 혼합물을 이용한 W 및 SiON의 에칭만큼(기재된 바와 같이, 플라즈마 안정을 위하여 부가적으로 아르곤을 공급), TiN 및 SiON의 에칭에 대하여, 아르곤/불소는 NF3과 경쟁적이다. 아르곤/불소를 이용한 W의 에칭은 가능하지만, 비교적 에칭 속도가 낮다.The results are collected in Fig. Using an argon / fluorine mixture, a very high etch rate was obtained for TaN, and using a nitrogen / fluorine mixture, SiON etching is very effective. Argon / fluorine is competitive with NF 3 for the etching of TiN and SiON as much as the etching of W and SiON using a mixture of nitrogen and fluorine (additionally supplying argon for plasma stabilization, as described). Etching of W using argon / fluorine is possible, but the etching rate is relatively low.

실시예Example 4:  4: 플라즈마plasma -지지 -support 챔버chamber 세정 washing

a) SiO2, SiON, TiN, TaN 및 W와 같은 무기 물질에 의해 오염된 플라즈마 챔버들은 아르곤과 불소 또는 질소와 불소(만약, 리액터가 NF3/Ar에 대해 조정된다면, 유리하게는 또 한편 부가적으로 아르곤을 공급)의 혼합물들을 사용하여 세정될 수 있다. 플라즈마는 아르곤으로 시작되고, 그 다음 에칭 기체 혼합물(여기에서는 세정 기체 혼합물)을 리액터 내로 도입한다. 압력은 바람직하게는 100 내지 800 Pa의 범위 내이고, 400 Pa에서 최적이다. 온도는 바람직하게 150 내지 300℃의 범위 내이다. 처리는 바람직한 세정 정도를 획득할 때까지 실행된다. 오염물로부터 형성된 (SiF4와 같은) 기체 반응 생성물은 진공을 세정된 리액터 챔버로 적용시킴으로써 제거될 수 있다.a) SiO 2, SiON, TiN, TaN and the plasma chamber contamination by inorganic materials such as W are argon and fluorine or nitrogen and fluorine (if, if the reactor is adjusted for the NF 3 / Ar, advantageously on the other hand additional Gt; argon) < / RTI > The plasma starts with argon, and then an etch gas mixture (here a cleaning gas mixture) is introduced into the reactor. The pressure is preferably in the range of 100 to 800 Pa, and is optimal at 400 Pa. The temperature is preferably in the range of 150 to 300 占 폚. The treatment is carried out until a desired cleaning degree is obtained. Gas reaction products formed from contaminants (such as SiF 4 ) can be removed by applying a vacuum to the cleaned reactor chamber.

이러한 세정 단계는 상기 세정이 바람직할 때마다, 정기적인 간격으로 실행될 수 있다. Such a cleaning step may be performed at regular intervals whenever the cleaning is desired.

b) 플라즈마 챔버들은 유기 물질, 예를 들어 부분적으로 또는 완전히 플루오르화될 수 있는 폴리머성 탄소 물질에 의해 오염될 수 있다. 만약 반도체 또는 평판이, 예를 들어 에칭 기체로서 CF44, C2F6 또는 CHF3와 같은 플루오르화탄소 또는 수소화플루오르화탄소를 이용하여 플라즈마 조건에서 에칭되면 이러한 오염이 일어날 수 있다. 상기 유기 물질에 의해 오염된 챔버들은 각각 실시예 4a)에 기재된 바와 같이, 아르곤과 불소 또는 질소와 불소의 혼합물들을 사용하여 250℃의 온도에서 세정된다. 또 한편, 플라즈마는 아르곤을 이용하여 시작되고, 그 다음 세정 기체를 챔버내로 도입한다. 세정되기 위한 챔버 내로 산소를 도입하는 것 또한 매우 바람직하다. CO2, (수소화)플루오르화탄소 생성물 또는 카르보닐 플루오라이드(carbonyl fluoride)와 같은 유기 오염물로부터 형성된 반응 생성물들은 진공을 적용시킴으로써 세정된 챔버로부터 제거될 수 있다. 이러한 챔버 세정은 상기 세정 작동이 바람직할 때마다, 정기적으로 실행될 수 있다.b) The plasma chambers may be contaminated with an organic material, for example a polymeric carbon material which may be partially or fully fluorinated. If the semiconductor or flat panel, such as an etching gas can lead to such contamination when the etching conditions in the plasma using a fluorocarbon or hydrogenated fluorocarbon such as CF4 4, C 2 F 6, or CHF 3. The chambers contaminated with the organic material are each cleaned at a temperature of 250 DEG C using a mixture of argon, fluorine or nitrogen and fluorine, as described in Example 4a). On the other hand, the plasma is started using argon, and then the cleaning gas is introduced into the chamber. It is also highly desirable to introduce oxygen into the chamber to be cleaned. The reaction products formed from organic contaminants such as CO 2 , (hydrogenated) fluorocarbon products or carbonyl fluoride can be removed from the cleaned chamber by applying a vacuum. Such chamber cleaning can be performed periodically whenever the cleaning operation is desired.

실시예Example 5 : 반도체, 평판 등의 표면 세정 5: Surface cleaning of semiconductor, flat plate, etc.

반도체, 평판 등은 종종 CF4, C2F6 또는 CHF3와 같은 플루오르화탄소 또는 수소화플루오르화탄소 에칭 기체를 이용하여 에칭된다. 플라즈마 조건하에서, 유기 물질은 리액터 챔버의 내부에서뿐만 아니라, 에칭된 반도체 또는 평판의 표면에서도 형성될 수 있다. 이러한 표면 오염은 각각 아르곤과 불소 또는 질소와 불소의 혼합물들을 사용하여 제거될 수 있다. 압력과 온도에 관하여, 바람직한 범위는 실시예 4a에 주어져 있다. 실시예 4b에서와 같이, 반응 생성물들은 진공을 적용시킴으로써 반도체 또는 평판이 위치하는 챔버로부터 제거될 수 있다. Semiconductors, flat plates, etc. are often etched using fluorocarbon or hydrogen fluoride etch gases such as CF 4 , C 2 F 6 or CHF 3 . Under plasma conditions, the organic material may be formed not only inside the reactor chamber, but also on the surface of the etched semiconductor or plate. These surface contaminants can be removed using a mixture of argon and fluorine or nitrogen and fluorine, respectively. With respect to pressure and temperature, a preferred range is given in Example 4a. As in Example 4b, the reaction products can be removed from the chamber in which the semiconductor or flat plate is located by applying a vacuum.

실시예Example 6 :  6: 플라즈마가Plasma 없는( Do not have( PlasmaPlasma -- freefree ) 작동) work

에칭, 챔버 세정 및 반도체, 평판 등의 표면 세정은 플라즈마가 없는 조건하에서 실행될 수 있다. 여기에서, 온도는 바람직하게 400℃ 이상이지만, 650℃까지, 800℃까지 또는 훨씬 더 이상 상당히 더 높을 수 있다. 만약 바람직하다면, 에칭 또는 세정 작용은 자외선(UV light)에 의해 지지될 수 있다.Surface cleaning such as etching, chamber cleaning, and semiconductor, flat plate, etc., can be performed under plasma-free conditions. Here, the temperature is preferably 400 DEG C or higher, but can be significantly higher up to 650 DEG C, up to 800 DEG C, or much more. If desired, the etching or cleaning action may be supported by UV light.

실시예Example 7 : 질소, 불소 및 아르곤으로 이루어진 3원 혼합물을 이용한  7: Using a ternary mixture of nitrogen, fluorine and argon 챔버chamber 세정 washing

A) 기체 혼합물의 제조 : 불소, 질소 및 아르곤을 하기 표(자료는 부피%로 주어짐)에 주어진 부피비로 압력통 내에서 압축한다:A) Preparation of the gas mixture: Compress the fluorine, nitrogen and argon in a pressure vessel with the volume ratio given in the table below (data given in vol%):

실시예Example 불소Fluorine 질소nitrogen 아르곤argon 7.17.1 1.81.8 7.27.2 9191 7.27.2 2.252.25 99 88.7588.75 7.37.3 2.62.6 10.410.4 8787

실시예 7.1 내지 7.3의 기체 혼합물들은 또한 불소 및 질소의 기체 혼합물(부피비 20:80)을 압력통 내에서 압축(pressing)시키고, 이전에 또는 후에 아르곤을 부가시킴으로써 제조될 수도 있다. The gas mixtures of Examples 7.1 to 7.3 may also be prepared by pressing a gas mixture of fluorine and nitrogen (20: 80 by volume) in a pressure vessel and adding argon before or after.

B) 에칭에 대하여 3원 혼합물들의 적용 :B) Application of ternary mixtures for etching:

완벽하게 균질한 실시예 7.1 내지 7.3의 혼합물들은 실시예 1 내지 6과 유사하게 반도체의 에칭 또는 챔버 세정에 사용될 수 있다. 이점은 3원 혼합물들은 그것이 리액터 챔버 내로 도입되기 전에 이미 균질하게 있다는 것이다.The perfectly homogeneous mixtures of Examples 7.1 to 7.3 can be used for etching or chamber cleaning of semiconductors similar to Examples 1-6. The advantage is that the ternary mixtures are already homogeneous before they are introduced into the reactor chamber.

실시예Example 8 :  8 : 비정질Amorphous SiSi 의 처리Processing

비정질 Si는 플라즈마가 없는(plasma-free) 또는 플라즈마 지지(plasma-supported) CVD 장치에서 반도체, 태양 전지판 또는 평판 제조의 틀에서 제조될 수 있다. 바람직하지 않은 증착이 챔버내, 종종 실리슘 전구체(silicium precursor)의 소스(source) 가까이에서 일어난다. Amorphous Si can be fabricated in a plasma-free or plasma-supported CVD apparatus in the frame of semiconductor, solar panel or flat panel manufacturing. Undesirable deposition occurs in the chamber, often near the source of the silicium precursor.

8.1 플라즈마 지지(Plasma-supported) 챔버 세정8.1 Plasma-supported chamber cleaning

비정질 Si의 바람직하지 않은 증착이 있는 플라즈마 챔버는 압력 400 Pa, 온도 250℃에서 불소/아르곤, 불소/질소 혼합물들 (부피비 20:80) 또는 90 부피% Ar을 포함하고, 100 부피%까지의 잔량은 불소 및 질소의 혼합물(부피비 20:80)인 3원 혼합물들로 처리된다. The plasma chamber with undesired deposition of amorphous Si contained fluorine / argon, fluorine / nitrogen mixtures (20:80 by volume) or 90 vol% Ar at a pressure of 400 Pa and a temperature of 250 占 폚, Is treated with ternary mixtures of a mixture of fluorine and nitrogen (20: 80 by volume).

8.2 플라즈마가 없는(plasma-free) 챔버 세정8.2 Plasma-free chamber cleaning

비정질 Si의 바람직하지 않은 증착이 있는 리액터 챔버는 Si 증착을 제거하기 위하여 온도 700℃에서 불소 및 질소의 혼합물(부피비 20:80)로 처리된다.The reactor chamber with undesired deposition of amorphous Si is treated with a mixture of fluorine and nitrogen (20: 80 by volume) at a temperature of 700 占 폚 to remove Si deposition.

Claims (1)

반도체 물질, 태양 전지판 또는 평판 (TFT 및 LCD)의 에칭 또는 표면 세정, 또는 반도체 제조에 사용되는 장치의 챔버 세정을 위한, 불소, 질소 및 1종 이상의 0족 기체를 포함하거나 이들로 이루어진 혼합물의 용도.The use of mixtures comprising or consisting of fluorine, nitrogen and at least one Group 0 gas for etching or surface cleaning of semiconductor materials, solar panels or flat panels (TFT and LCD), or chamber cleaning of devices used in semiconductor fabrication .
KR1020147023150A 2006-04-10 2007-04-06 Etching process KR20140108347A (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
EP06007540.5 2006-04-10
EP06600754 2006-04-10
EP06008238.5 2006-04-21
EP06600823 2006-04-21
PCT/EP2007/053421 WO2007116033A1 (en) 2006-04-10 2007-04-06 Etching process

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020087027400A Division KR20090015054A (en) 2006-04-10 2007-04-06 Etching process

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020167012718A Division KR20160062181A (en) 2006-04-10 2007-04-06 Etching process

Publications (1)

Publication Number Publication Date
KR20140108347A true KR20140108347A (en) 2014-09-05

Family

ID=51755493

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147023150A KR20140108347A (en) 2006-04-10 2007-04-06 Etching process

Country Status (1)

Country Link
KR (1) KR20140108347A (en)

Similar Documents

Publication Publication Date Title
KR20170116213A (en) Etching process
US20060144820A1 (en) Remote chamber methods for removing surface deposits
EP1612857B1 (en) Cvd apparatus and method for cleaning cvd apparatus
US7322368B2 (en) Plasma cleaning gas and plasma cleaning method
EP1619269A2 (en) Method for enhancing fluorine utilization
US10892143B2 (en) Technique to prevent aluminum fluoride build up on the heater
EP1737998A2 (en) Remote chamber methods for removing surface deposits
EP1724374A1 (en) Method and process for reactive gas cleaning of tool parts
KR20190088079A (en) How to Enable High Temperature Processing Without Chamberped Lifting
CN101466873B (en) Etching process
US8932406B2 (en) In-situ generation of the molecular etcher carbonyl fluoride or any of its variants and its use
EP2944385A1 (en) A process for etching and chamber cleaning and a gas therefor
KR20140108347A (en) Etching process
US20060144819A1 (en) Remote chamber methods for removing surface deposits
US5721176A (en) Use of oxalyl chloride to form chloride-doped silicon dioxide films of silicon substrates
EP3298178B1 (en) A process for etching, and chamber cleaning and a gas therefor

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application
J201 Request for trial against refusal decision
J121 Written withdrawal of request for trial
WITB Written withdrawal of application