KR20130124149A - Method and system for modifying substrate patterned features using ion implantion - Google Patents

Method and system for modifying substrate patterned features using ion implantion Download PDF

Info

Publication number
KR20130124149A
KR20130124149A KR1020127026773A KR20127026773A KR20130124149A KR 20130124149 A KR20130124149 A KR 20130124149A KR 1020127026773 A KR1020127026773 A KR 1020127026773A KR 20127026773 A KR20127026773 A KR 20127026773A KR 20130124149 A KR20130124149 A KR 20130124149A
Authority
KR
South Korea
Prior art keywords
substrate
plasma
ions
features
plasma sheath
Prior art date
Application number
KR1020127026773A
Other languages
Korean (ko)
Inventor
루도빅 고데
패트릭 엠. 마틴
티모시 제이. 밀러
비크람 싱흐
Original Assignee
베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/046,136 external-priority patent/US8778603B2/en
Application filed by 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. filed Critical 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크.
Publication of KR20130124149A publication Critical patent/KR20130124149A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

레지스트 특징부들의 처리 방법은, 프로세스 챔버(302) 내에, 기판의 제 1 측(side) 상에 패턴화된 레지스트 특징부들(114a)을 갖는 기판(112)을 위치시키는 단계 및 프로세스 챔버 내에서 기판의 제 1 측에 인접한 플라즈마 쉬스(sheath)(308b)를 갖는 플라즈마(306)를 생성하는 단계를 포함한다. 방법은, 경계의 형태의 부분이 플라즈마에 대향하는(facing) 기판(112)의 전면(front surface)에 의해 형성된 표면에 평행하지 않도록, 플라즈마 쉬스 수정기(modifier)(312)를 이용해 플라즈마(306)와 플라즈마 쉬스(308b) 사이의 경계를 수정하는 단계를 더 포함하며, 여기에서 제 1 노출(exposure) 동안 플라즈마로부터의 이온들(310)이 패턴화된 레지스트 특징부들(114a) 상에 광각(wide angular) 범위로 충돌(impinge)한다. A method of processing resist features includes positioning a substrate 112 having patterned resist features 114a on a first side of the substrate in the process chamber 302 and a substrate in the process chamber. Generating a plasma 306 having a plasma sheath 308b adjacent to the first side of the. The method uses a plasma sheath modifier 312 so that the portion in the form of a boundary is not parallel to the surface formed by the front surface of the substrate 112 facing the plasma. ) And modifying the boundary between the plasma sheath 308b, wherein ions 310 from the plasma during the first exposure are wide-angled on the patterned resist features 114a. Impinge to a wide angular range.

Description

이온 주입을 사용하는 기판 패턴화된 특징부들의 수정 방법 및 시스템{METHOD AND SYSTEM FOR MODIFYING SUBSTRATE PATTERNED FEATURES USING ION IMPLANTION}METHOD AND SYSTEM FOR MODIFYING SUBSTRATE PATTERNED FEATURES USING ION IMPLANTION

본 발명의 실시예들은 디바이스 제조 분야에 관한 것이다. 보다 구체적으로, 본 발명은 디바이스 제조를 위한 기판 패턴화 및 기판 내로의 주입(implanting)을 위한 방법, 시스템 및 구조에 관한 것이다. Embodiments of the present invention relate to the field of device fabrication. More specifically, the present invention relates to methods, systems and structures for substrate patterning and implanting into a substrate for device fabrication.

광학 리소그래피는 종종 전자 디바이스들의 제조에 사용된다. 이는 패턴에 따라 기판 상에 회로가 형성될 수 있도록 하기 위해 기판이 패턴화되도록 하는 프로세스이다. 도 1a 내지 도 1e를 참조하면, 도면들에는 광학 리소그래피적인 프로세스의 단순화된 도면들이 도시되어 있다. 일반적으로, 기판(112)은 광-경화성(photocurable), 고분자 포토레지스트(photoresist)(114)(도 1a)로 코팅된다. 그 후, 요망되는 개구 패턴을 갖는 마스크(142)가 기판(114)과 광원(미도시) 사이에 증착된다. 광원으로부터의 광(10)은 마스크(142)의 개구를 통해 기판(112)에 조사되며, 마스크의 개구(또는 패턴의 이미지)를 통해 전달된 광이 포토레지스트(114) 위로 투사된다. 포토레지스트의 부분(114a)이 광(10)에 노출되고 경화되며, 반면 포토레지스트의 나머지 부분(114b)은 경화되지 않은 상태로 남아 있는다(도 1b). 결과적으로, 마스크의 개구들의 이미지가 포토레지스트의 경화된 부분(114a)에 의해 형성될 수 있다. Optical lithography is often used in the manufacture of electronic devices. This is a process that allows the substrate to be patterned so that a circuit can be formed on the substrate according to the pattern. 1A-1E, there are shown simplified diagrams of an optical lithographic process. Generally, substrate 112 is coated with a photocurable, polymeric photoresist 114 (FIG. 1A). Thereafter, a mask 142 having a desired opening pattern is deposited between the substrate 114 and a light source (not shown). Light 10 from the light source is irradiated onto the substrate 112 through the opening of the mask 142, and light transmitted through the opening (or image of the pattern) of the mask is projected onto the photoresist 114. The portion 114a of the photoresist is exposed to light 10 and cured, while the remaining portion 114b of the photoresist remains uncured (FIG. 1B). As a result, an image of the openings of the mask can be formed by the cured portion 114a of the photoresist.

도 1c에 도시된 바와 같이, 포토레지스트의 경화되지 않은 부분(114b)이 제거되며, 마스크의 개구 패턴에 대응하는 3차원 포토레지스트 특징부(feature) 또는 릴리프(relief)(114a)가 기판(112) 상에 남아 있을 수 있다. 그 후, 기판이 에칭되고, 마스크의 개구 패턴의 반전 이미지(negative image)에 대응하는 트렌치(trench)들(116)이 형성될 수 있다(도 1 d). 잔존 포토레지스트가 제거된 후, 요망되는 패턴을 갖는 회로가 기판(112) 상에 형성될 수 있다. As shown in FIG. 1C, the uncured portion 114b of the photoresist is removed and a three-dimensional photoresist feature or relief 114a corresponding to the opening pattern of the mask is removed from the substrate 112. ) May remain on. Thereafter, the substrate is etched, and trenches 116 corresponding to a negative image of the opening pattern of the mask can be formed (FIG. 1 d). After the remaining photoresist is removed, a circuit having the desired pattern can be formed on the substrate 112.

도 2를 참조하며, 마스크의 개구 패턴의 이미지를 기판에 투사하기 위한 종래의 광학 리소그래피 시스템(200)이 도시되어 있다. 광학 리소그래피 시스템(200)은 광원(222), 광학 적분기(optical integrator)(232), 및 집광 렌즈(condenser lens)(234)를 포함한다. 이에 더하여, 광학 리소그래피 시스템(200)은 요망되는 개구 패턴을 갖는 마스크(142) 및 투사 렌즈(projection lens)(252)를 포함할 수 있다. 도시된 바와 같이, 요망되는 파장을 갖는 광이 광원(222)으로부터 광학 적분기(232) 및 집광 렌즈(234)로 방출되며, 광학 적분기 및 집광 렌즈는 집합적으로 조명계(illuminator)(230)로서 알려져 있다. 조명계(230) 내에서, 광(10)은 확장되고, 균질화되며(homogenized), 집광되고, 또는 조정된다. 광(10)은 그 후, 기판(112) 상에 투사되기 위하여, 요망되는 개구 패턴을 갖는 마스크(142) 상에 조사된다. 마스크(142)의 개구들을 통해 전달된 광(10)은 마스크의 개구 패턴 상의 정보를 포함할 수 있다. 광(10)은 그 후 기판(112) 상에 증착된 포토레지스트 상에 마스크의 개구 패턴의 이미지 또는 광(10)을 투사하는 투사 렌즈(252)에 의해 캡처(capture)된다. 이미지를 투사함에 있어, 투사 렌즈(10)는 4개 또는 5개의 인자들에 의해 이미지를 감소시킬 수 있다. 2, a conventional optical lithography system 200 for projecting an image of an opening pattern of a mask onto a substrate is shown. Optical lithography system 200 includes a light source 222, an optical integrator 232, and a condenser lens 234. In addition, the optical lithography system 200 may include a mask 142 and a projection lens 252 having a desired aperture pattern. As shown, light having a desired wavelength is emitted from the light source 222 to the optical integrator 232 and the condenser lens 234, which are collectively known as an illuminator 230. have. Within illumination system 230, light 10 is expanded, homogenized, focused, or adjusted. Light 10 is then irradiated onto the mask 142 with the desired opening pattern to be projected onto the substrate 112. Light 10 transmitted through the openings of the mask 142 may include information on the opening pattern of the mask. Light 10 is then captured by projection lens 252 which projects light 10 or an image of the opening pattern of the mask onto the photoresist deposited on substrate 112. In projecting an image, the projection lens 10 may reduce the image by four or five factors.

더 작은 크기의 특징부(예를 들어 트렌치의 폭)를 갖는 회로 패턴들을 생성하기 위하여, 몇몇 수정들이 프로세스를 통해 구현될 수 있다. 당업계에서 공지된 바와 같이, 작은 특징부의 선명한 이미지를 투사하기 위한 능력은, 다른 무엇보다도, 프로세스에 사용되는 광의 파장에 의존할 수 있다. 현재, 365nm 및 248nm, 및 193nm의 파장들을 갖는 자외선이 사용된다. 특히, 13.5nm의 폭을 갖는 회로들을 생성하기 위하여, 193nm를 갖는 아르곤 플루오라이드(argon fluoride)(ArF) 엑시머 레이저(excimer laser)가 제안되었다. Several modifications can be implemented through the process to produce circuit patterns with smaller sized features (eg, the width of the trench). As is known in the art, the ability to project clear images of small features may, among other things, depend on the wavelength of light used in the process. Currently, ultraviolet light with wavelengths of 365 nm and 248 nm, and 193 nm is used. In particular, to produce circuits having a width of 13.5 nm, an argon fluoride (ArF) excimer laser having 193 nm has been proposed.

광학 리소그래피가 높은 쓰루풋을 갖는 효율적인 프로세스라고 하더라도, 프로세스가 단점들을 가지지 않는 것은 아니다. 이러한 단점들 중 하나는 라인 폭 러프니스(line width roughness)(LWR) 또는 라인 에지 러프니스(line edge roughness(LER)이다. 당업계에 공지된 바와 같이, LWR은 기판으로부터 포토레지스트의 경화되지 않은 부분(114b)이 제거된 후 형성된 포토레지스트 특징부의 폭에 있어서의 과도한 변화들이다. 변화들이 포토레지스트 릴리프 또는 특징부의 측면 상에서 발생하는 경우, 그 변화들은 LER로서 알려져 있다. LWR 또는 LER에 기인하는 러프니스 또는 변화들은 에칭동안 트렌치들 상에 전사되고 궁극적으로 회로에 전사될 수 있다는 점에 있어 불리할 수 있다. 포토레지스트 릴리프 또는 트렌치들의 특징부 크기가 감소됨에 따라 변화들이 더욱 중요해졌다. 예를 들어, 13.5nm 특징부 크기를 생산하는 193nm 기반 리소그래피 프로세스에서 4nm 이상의 변화들이 관찰되었다. 하부 레이어(underlying layer)의 패터닝 동안 레지스트(resist) 레이어로부터 디바이스의 영구적인 하부 레이어로 전사되는, LWR 및 LER과 같은 라인 러프니스 효과들을 포함하는, 패턴화된 레지스트 특징부의 기하학적 형태 때문에, LWR 및 LER은 약 100nm 이하의 치수들에 대해 용인할 수 있는 품질의 디바이스들의 형성하기 위한 능력을 제한할 수 있다. 이러한 변화들은 불-균일(non uniform) 회로들 및 궁극적으로 디바이스의 성능저하 또는 실패를 초래할 수 있다. 이에 더하여, 설계 기준에 따라, 디바이스 성능이 짧은(short), 중간(medium), 또는 긴(long) 범위의 러프니스들 중 하나에 의해 보다 더 충격을 받을 수 있다. Although optical lithography is an efficient process with high throughput, the process is not without disadvantages. One of these drawbacks is line width roughness (LWR) or line edge roughness (LER) As is known in the art, LWR is an uncured photoresist from a substrate. Excessive changes in the width of the photoresist feature formed after the portion 114b has been removed If the changes occur on the side of the photoresist relief or feature, those changes are known as LERs.Rough due to LWR or LER Varnishes or changes can be disadvantageous in that they can be transferred onto trenches and ultimately into the circuit during etching, and changes become more important as the feature size of the photoresist relief or trenches is reduced. In the 193nm-based lithography process producing a 13.5nm feature size, more than 4nm changes were observed. Due to the geometry of the patterned resist features, including line roughness effects such as LWR and LER, transferred from the resist layer to the permanent lower layer of the device during patterning of the underlying layer, LWR and LER May limit the ability to form devices of acceptable quality for dimensions of about 100 nm or less These changes may result in non-uniform circuits and ultimately device degradation or failure. In addition, depending on design criteria, device performance may be more impacted by one of short, medium, or long range roughness.

LWR 및 LER 효과들을 다루기 위하여 몇몇 처리방식들이 시도되었다. 일 예에 있어, 레지스트를 제거하는 능력을 가진 건식 화학적 에칭 프로세스가 이용되었지만, 고립된 특징부들과 달리 조밀하게 패턴화된 영역들에서의 제거가 상이한 로딩 효과들에 의존적인 패턴을 일반적으로 감내해야 했다. 이러한 건식 화학적 에칭 프로세스들은 또한 수율의 손실을 초래하는 원치않는 결함들을 레지스트 패턴에 줄 수 있다. 이에 더하여, 레지스트에 있어서의 LWR/LER 효과들을 다루기 위해 사용되는 임의의 프로세스는, 패턴화될 하부 특징부들의 임계 치수(critical dimesion, CD)의 엄격한 제어를 유지하기 위하여, 레지스트 높이, 폭, 및 프로파일과 같은 근본적인 레지스트 속성들을 그대로 둔다는 것이 중요하다. Several treatments have been tried to address LWR and LER effects. In one example, a dry chemical etch process with the ability to remove resist was used, but unlike isolated features, removal in densely patterned areas should generally tolerate a pattern that is dependent on different loading effects. did. These dry chemical etching processes can also give the resist pattern unwanted defects that result in loss of yield. In addition, any process used to deal with LWR / LER effects in the resist may be applied to resist height, width, and, in order to maintain tight control of the critical dimesion (CD) of the underlying features to be patterned. It is important to leave fundamental resist properties such as profiles intact.

LWR 및 LER 효과들을 다루기 위하여 사용되는 다른 처리방식은, 러프 패턴을 방사 노출(radiation exposure)을 통한 가열(heating)이 러프 라인들을 제거하는 UV 램프에 노출시킴으로써 극자외선(deep ultraviolet, UDV) 경화(curing)를 사용하는 것이었다. 이러한 처리방법은 라인 세그먼트 코너들에 있어 패턴 하락(pullback)을 초래하고, 디바이스를 쓸모없게 렌더하는 것과 같은 방식으로 라인들의 변형을 초래하는 원치않는 부작용을 갖는다. Another treatment used to address the LWR and LER effects is the deep ultraviolet (UDV) curing (extraviolet (UDV) curing) by exposing the rough pattern to a UV lamp where heating through radiation exposure removes the rough lines. curing). This approach has the undesirable side effect of causing pattern pullback at line segment corners and causing deformation of the lines in the same way as rendering the device useless.

라인들 또는 다른 패턴들이 조명 방사(illuminating radiation)의 회절 한계(diffraction limit) 이하의 CD 특징부 크기들을 갖는 경우에, 레지스트의 UV 리소그래피 프로세싱의 회절 한계를 다루기 위하여, 이중 패터닝 리소그래피(double patterning lithography, DPL)가 개발되었다. DPL의 성공을 보장하기 위한 시도에 있어, 자기 정렬 이중 패터닝 리소그래피(self aligned double patterning lithography) 및 화학적 동결 리소그래피(chemical freeze lithography)를 포함하는 복수의 처리방법들이 이용되어왔다. 그러나, 이러한 프로세스들 각각은 비용 및/또는 수율에 대하여 장점들 및 단점들을 모두 가질 수 있다. In the case where the lines or other patterns have CD feature sizes below the diffraction limit of the illuminating radiation, to handle the diffraction limit of the UV lithography processing of the resist, double patterning lithography, DPL). In attempts to ensure the success of DPL, a number of processes have been used, including self aligned double patterning lithography and chemical freeze lithography. However, each of these processes may have both advantages and disadvantages with respect to cost and / or yield.

레지스트 패터닝 제어에 관한 전술한 바와 같은 도전들에 더하여, 그들의 형성 후 패턴화된 기판 특징부들의 크기 및 형태를 제어하는 것이 여전히 도전으로 남아 있다. 폴리실리콘 또는 금속 게이트들, 또는 실리콘 핀(fin)들과 같은 디바이스들을 형성하기 위해 사용되는 기판 특징부들의 패터닝에 대한 에칭 조건들의 제어가 에칭 후 이러한 특징부들의 종국적인 형태 및 크기를 형성함에 있어 중요할 수 있다. 이에 더하여, 이온 주입과 같은 프로세싱 단계들이, 특히 이러한 특징부들이 100nm 이하의 치수들을 가지는 경우, 이러한 기판 특징부들의 형태 및 크기에 영향을 줄 수 있다. 예를 들어, 실리콘 핀들을 가지는 디바이스의 도핑을 제공하기 위한 기판들의 이온 주입은 의도치않은 핀들의 에칭/스퍼터링(sputtering)을 초래할 수 있다. 일부 경우들에 있어, 실리콘 핀들은, 형성될 핀-기반 디바이스들의 디바이스 특성들을 크게 변화시킬 수 있는, 확고한 페시팅(faceting)을 가져올 수 있다. In addition to the challenges as described above with respect to resist patterning control, controlling the size and shape of patterned substrate features after their formation still remains a challenge. Control of etching conditions for the patterning of substrate features used to form devices such as polysilicon or metal gates, or silicon fins, in forming the final shape and size of these features after etching It can be important. In addition, processing steps, such as ion implantation, can affect the shape and size of these substrate features, especially if these features have dimensions of 100 nm or less. For example, ion implantation of substrates to provide doping of a device having silicon fins can result in inadvertent etching / sputtering of the fins. In some cases, silicon fins can result in firm faceting, which can significantly change the device characteristics of the pin-based devices to be formed.

이상의 관점에 있어, 특별히 예를 들어 100nm 이하 CD 디바이스들과 같은 매우 작은 특징부 크기들을 요구하는 기술들에 대하여, 디바이스 특징부 패터닝에 영향을 주는, 레지스트 리소그래피 프로세스들 및 디바이스 도핑 프로세스들과 같은 프로세스를 향상시킬 필요가 있다는 것이 이해될 수 있을 것이다. In view of the above, processes such as resist lithography processes and device doping processes that affect device feature patterning, particularly for techniques that require very small feature sizes, such as CD devices below 100 nm, for example It will be appreciated that there is a need for improvement.

본 발명의 실시예들은 기판 패터닝을 향상시키기 위한 방법들 및 시스템들에 관한 것으로서, 보다 구체적으로, 패턴화된 레지스트 특징부들 또는 영구적인 패턴화된 기판 특징부들과 같은 릴리프 특징부들의 처리(treatign)에 관한 것이다. 일 예에 있어, 레지스트 특징부 처리방법은, 기판의 제 1 측(side) 상에 패턴화된 레지스트 특징부들의 세트를 갖는 기판을, 프로세스 챔버 내에, 위치시키는 단계 및 기판의 제 1 측에 인접한 플라즈마 쉬스를 갖는 프로세스 챔버 내에서 플라즈마를 생성하는 단계를 포함한다. 방법은, 경계의 형태의 부분이 플라즈마에 대향하는 기판의 전면 표면(front surface)에 의해 형성되는 평면에 평행하지 않도록, 플라즈마 쉬스 수정기를 이용하여 플라즈마와 플라스마 쉬스 사이의 경계의 형태를 수정하는 단계를 더 포함하며, 여기에서, 플라즈마로부터의 이온들은 제 1 노출 동안 넓은 각도 범위로 패턴화된 레지스트 특성부들에 충돌한다.Embodiments of the present invention relate to methods and systems for improving substrate patterning, and more specifically, treatment of relief features, such as patterned resist features or permanent patterned substrate features. It is about. In one example, a resist feature processing method includes positioning, in a process chamber, a substrate having a set of patterned resist features on a first side of the substrate and adjacent to the first side of the substrate. Generating a plasma in a process chamber having a plasma sheath. The method comprises modifying the shape of the boundary between the plasma and the plasma sheath using a plasma sheath modifier such that the portion of the shape of the boundary is not parallel to the plane formed by the front surface of the substrate opposite the plasma. Wherein the ions from the plasma impinge on the patterned resist features in a wide angle range during the first exposure.

다른 실시예에 있어, 기판 패터닝 방법은, 기판 상에 패턴화된 레지스트 특징부들의 제 1 세트를 제공하는 단계를 포함한다. 방법은, 패턴화된 레지스트 특징부들의 제 1 세트를, 넓은 각도 범위로 기판 상에 이온들의 입사(incident)를 제공하도록 동작하는 플라즈마 쉬스 수정기로부터 추출된 이온들의 제 1 노출에 노출하는 단계 및 패턴화된 레지스트 특징부들의 제 2 세트를 형성하기 위하여 기판 상에서 리소그래픽 패터닝 프로세스를 수행하는 단계를 더 포함한다. In another embodiment, a substrate patterning method includes providing a first set of patterned resist features on a substrate. The method includes exposing a first set of patterned resist features to a first exposure of ions extracted from a plasma sheath modifier operative to provide incidence of ions on a substrate in a wide angular range; And performing a lithographic patterning process on the substrate to form a second set of patterned resist features.

도 1a 내지 도 1e는 종래의 광학 리소그래픽 프로세스의 단계들을 나타내는 기판의 개략적인 단면들이다.
도 2는 마크스의 개구 패턴을 기판 상에 투사하기 위한 종래의 광학 리소그래픽 시스템의 개략적인 묘사이다.
도 3a는 본 발명의 일 실시예에 따른, 기판 프로세싱 시스템의 개략적인 묘사이다.
도 3b는 본 발명의 실시예들에 의해 제공되는 것과 같은 기판 상의 입사 입자들의 예시적인 각도 분포를 나타낸다.
도 4는 본 발명의 예시적인 특징부들을 나타내는 플라즈마 쉬스 수정기 및 레지스트 특징부의 개략적인 단면을 도시한다.
도 5a 내지 도 5d는 3차원 레지스트 패턴 프로세싱의 실시예의 예시적인 결과들을 도시한다.
도 6은 공지된 이온 주입의 노출 후 패턴화된 실리콘 특징부들을 도시한다.
도 7은 이온 플럭스의 예시적인 광각 범위의 노출 후 패턴화된 실리콘 특징부들을 도시한다.
도 8은 3차원 프로세싱의 실시예를 도시한다.
1A-1E are schematic cross sectional views of a substrate showing the steps of a conventional optical lithographic process.
2 is a schematic depiction of a conventional optical lithographic system for projecting an opening pattern of marks onto a substrate.
3A is a schematic depiction of a substrate processing system, in accordance with an embodiment of the present invention.
3B shows an exemplary angular distribution of incident particles on a substrate as provided by embodiments of the present invention.
4 shows a schematic cross-section of a plasma sheath modifier and resist feature showing exemplary features of the present invention.
5A-5D show exemplary results of an embodiment of three-dimensional resist pattern processing.
6 shows patterned silicon features after exposure of known ion implantation.
FIG. 7 shows exemplary wide-angle patterned silicon features after exposure of an ion flux. FIG.
8 illustrates an embodiment of three-dimensional processing.

이하에서, 본 발명의 바람직한 실시예들이 도시되어 있는 첨부된 도면들을 참조하여 본 발명이 보다 상세하게 설명될 것이다. 그러나, 본 발명은 상이한 많은 형태들로 구현될 수도 있으며, 본 명세서에서 설명되는 실시예들에 한정되는 것으로 이해되지 말아야 한다. 오히려, 이러한 실시예들은 본 개시가 빈틈없고 완전해질 수 있도록, 그리고 당업자들에게 본 발명의 범위가 완전히 전달될 수 있도록 하기위해 제공된다. In the following, the invention will be described in more detail with reference to the accompanying drawings in which preferred embodiments of the invention are shown. However, the invention may be embodied in many different forms and should not be construed as limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the scope of the invention to those skilled in the art.

전술한 바와 같은 방법들과 연관된 결점들을 해결하기 위하여, 기판 패터닝을 위한 새롭고 진보적인 기술들 및 시스템들이 소개된다. 일부 실시예들에 있어, 방법은 패턴화된 포토레지스트 특징부들과 같은 궁극적으로는 제거될 임시적이 기판 특징부들의 처리와 관련한다. 다른 실시예들에 있어, 방법들은 반도체 구조들과 같은 영구적인 디바이스 특징부들의 처리와 관련한다. 구체적으로, 본 개시는 레지스트 특징부들 내의 LWR 및 LER 개선과 같은, 레지스트 특징부들의 품질 향상을 위한 이온 주입 프로세스들과 관련된 기술들에 초점을 둔다. 본 명세서에 개시된 프로세스들은, 매우 작은 피치(pithc), 예를 들어, 약 250nm 미만의 피치를 갖는 어레이들 내에 통합되는 특징부들을 포함하는, 협소한 특징부들을 형성하기 위한 프로세스들과 함께 사용될 수 있다. 이러한 프로세스들은 종래의 DUV 리소그래피, 이중 패터닝 리소그래피, 자기 정렬 이중 패터닝 리소그래피, 및 다른 리소그래피 프로세스들을 포함한다. 그러나, 당업자들은 본 명세서에 개시된 기술들이 임의의 특정 리소그래피 또는 레지스트 특성부의 치수들의 임의의 범위와 함께 사용되는 것에 한정되지 않는다는 것을 인식할 것이다. In order to solve the drawbacks associated with the methods as described above, new and advanced techniques and systems for substrate patterning are introduced. In some embodiments, the method involves processing of temporary substrate features that will ultimately be removed, such as patterned photoresist features. In other embodiments, the methods relate to the processing of permanent device features such as semiconductor structures. Specifically, the present disclosure focuses on techniques related to ion implantation processes for improving the quality of resist features, such as LWR and LER improvement in resist features. The processes disclosed herein can be used in conjunction with processes for forming narrow features, including features incorporated into arrays with very small pitch, for example, pitches less than about 250 nm. have. Such processes include conventional DUV lithography, double patterned lithography, self-aligned double patterned lithography, and other lithography processes. However, those skilled in the art will appreciate that the techniques disclosed herein are not limited to being used with any range of dimensions in any particular lithography or resist characteristic.

본 발명의 일부 실시예들은 매우 작은 치수들을 갖는 레지스트 특징부들을 처리하기 위해 플라즈마 이머전(plasma immersion) 주입 프로세스들을 이용한다. 3차원(3D) 구조들을 프로세싱하기 위한 새로운 기술들을 포함하는 몇몇 실시예들이 개시된다. 명료성 및 단순성을 위하여, 실시예들은 복수의 각도들에서 발원되는(oriented) 표면들을 갖는 포토레지스트 프로세싱을 위한 기술들로서 설명된다. 그러나, 당업자들은 본 개시가 이에 한정되지 않는다는 것을 인식할 것이다. 구조는 상이한 각도들에서 발원된 표면들을 갖는 임의의 유형의 구조일 수 있다. Some embodiments of the present invention use plasma immersion implantation processes to process resist features with very small dimensions. Several embodiments are disclosed that include new techniques for processing three-dimensional (3D) structures. For clarity and simplicity, embodiments are described as techniques for photoresist processing with surfaces oriented at multiple angles. However, those skilled in the art will appreciate that the present disclosure is not so limited. The structure can be any type of structure with surfaces originated at different angles.

실시예들은 플라즈마 기반 기판 프로세싱 시스템들을 사용하는 기술들로서 기술된다. 그러나, 당업자들은, 빔 라인 이온 주입 시스템들뿐 아니라 플라즈마 스퍼터링을 포함하는, 아원자(sub atomic), 원자, 또는 분자 입자(molecular particle) 기반 기판 프로세싱 시스템의 다른 유형들이 본 발명의 범위 내에 있다는 것을 인식할 것이다. Embodiments are described as techniques using plasma based substrate processing systems. However, those skilled in the art are aware that other types of sub atomic, atomic, or molecular particle based substrate processing systems, including plasma sputtering as well as beam line ion implantation systems, are within the scope of the present invention. Will recognize.

도 3a를 참조하면, 본 발명의 일 실시예에 따른 3차원 구조 프로세싱을 위한 기판 프로세싱 시스템(300)이 도시되어 있다. 도 3b는 포토레지스트를 처리하는 입자들의 각도 분포를 도시한다. 도면들이 스케일되어 그려질 필요는 없다. Referring to FIG. 3A, a substrate processing system 300 for three-dimensional structure processing in accordance with one embodiment of the present invention is shown. 3B shows the angular distribution of the particles processing the photoresist. The drawings need not be drawn to scale.

도 3a에 도시된 바와 같이, 시스템(300)은 그 안에서 기판(112) 및 기판(112)을 지지하는 플래튼(platen)(304)이 증착되는 프로세스 챔버(302)를 포함한다. 본 발명에 있어, 기판(112)은 금속 물질, 반도체 물질, 또는 절연 물질 기반 기판일 수 있다. 본 발명에 있어, 패턴화된 포토레지스트가 기판상에 증착될 수 있다. 패턴화된 포토레지스트는 경화되지 않은 부분이 제거된 후 기판 상에 남아 있는 포토레지스트의 경화된 부분일 수 있다. As shown in FIG. 3A, the system 300 includes a process chamber 302 in which a substrate 112 and a platen 304 supporting the substrate 112 are deposited. In the present invention, the substrate 112 may be a metal material, a semiconductor material, or an insulating material based substrate. In the present invention, patterned photoresist may be deposited on a substrate. The patterned photoresist may be a cured portion of the photoresist that remains on the substrate after the uncured portion is removed.

시스템(300)은 또한 프로세싱 챔버(302) 내에 포함되는 플라즈마(306)를 생성하기 위한 플라즈마 소스(미도시)를 포함할 수 있다. 플라즈마 소스는 현장 또는 원격의, 유도 결합(inductively coupled) 플라즈마 소스, 용량 결합(capacitively coupled) 플라즈마 소스, 헬리콘(helicon) 소스, 마이크로웨이브 소스, 또는 임의의 다른 유형의 플라즈마 소스일 수 있다. System 300 may also include a plasma source (not shown) for generating plasma 306 included in processing chamber 302. The plasma source may be an in situ or remote, inductively coupled plasma source, capacitively coupled plasma source, helicon source, microwave source, or any other type of plasma source.

플라즈마(306)와 기판(112) 사이에, 하나 이상의 플라즈마 쉬스 수정기들(plasma sheath modifiers)(312)이 증착될 수 있다. 본 실싱예에 있어, 플라즈마 쉬스 수정기(312)는 간극(gap) "y"에 의해 서로 이격된 한쌍의 수정부들(modifier parts)(312a, 312b)을 포함할 수 있다. 다른 실시예에 있어, 수정기(312)는 단일 수정부를 포함할 수 있다. 또 다른 실시예들에 있어, 수정기(312)는 간극을 형성하는 서로 이격된 3개 이상의 수정부들을 포함할 수 있다. One or more plasma sheath modifiers 312 may be deposited between the plasma 306 and the substrate 112. In this example, the plasma sheath modifier 312 may include a pair of modifier parts 312a and 312b spaced apart from each other by a gap “y”. In other embodiments, the modifier 312 may include a single modifier. In still other embodiments, the modifier 312 may include three or more spaced correction parts that form a gap.

플라즈마 쉬스 수정기(312)는 플라즈마 쉬스의 전기장을 조정할 수 있다. 일부 실시예들에 있어, 플라즈마 쉬스 수정기(312)는 양적으로(positively) 또는 음적으로(negatively) 대전(charged)될 수 있다. 플라즈마 쉬스 수정기(312)는 전기적 절연성(예를 들어, 유리) 또는 전도성(예를 들어, 금속) 물질, 또는 그들의 조합으로부터 만들어질 수 있다. 시스템(300)이 하나 이상의 수정부들을 포함하는 경우, 수정부들은 동일하거나 또는 상이한 물질로부터 만들어질 수 있다. 예를 들어, 시스템(300)은 플라즈마 쉬스 수정기(312)를 포함하고, 플라즈마 쉬스 수정기(312)는 2개의 수정부들(312a 및 312b)을 포함할 수 있다. 수정부들(312a 및 312b)은 동일하거나 또는 다른 물질로부터 만들어질 수 있다. The plasma sheath corrector 312 may adjust the electric field of the plasma sheath. In some embodiments, the plasma sheath modifier 312 may be positively or negatively charged. The plasma sheath modifier 312 may be made from an electrically insulating (eg glass) or conductive (eg metal) material, or a combination thereof. If system 300 includes one or more correction units, the correction units may be made from the same or different materials. For example, system 300 may include a plasma sheath corrector 312, and the plasma sheath corrector 312 may include two rectifiers 312a and 312b. Corrections 312a and 312b may be made from the same or different material.

플라즈마 쉬스 수정기(312)가 2개 이상의 수정부들을 포함하는 경우, 수정부들은 동일한 평면 또는 상이한 평면들에 증착될 수 있다. 예를 들어, 프로세싱 시스템(300)에 포함된 플라즈마 쉬스 수정기(312)는 2개의 수정부들(312a 및 312b)을 포함할 수 있다. 수정부들(312a 및 312b)은, 기판(112)과 각각의 수정부 사이의 수직적 간격(spacing)들("z")이 동일할 수 있도록, 동일 평면 상에 증착될 수 있다. 다른 실시예에 있어, 수정기(312)는 2개의 수정부들(312a 및 312b)을 포함하고, 각각의 수정부(312a 및 312b)는 상이한 수직적 간격("z")으로 기판(112)로부터 이격될 수도 있다. 플라즈마 쉬스 수정기를 갖는 프로세싱 시스템의 추가적인 설명은, 그 전체가 본 명세서에 참조로서 통합되는, 2009.04.03자로 출원되고 동시에 계류중인 미국 특허출원 번호 : 12/418,120호; 12/417,929호, 및 미국 특허 번호 7,767,977호; 및 12/644103호에서 찾을 수 있다. If the plasma sheath corrector 312 includes two or more correction parts, the correction parts may be deposited in the same plane or in different planes. For example, the plasma sheath modifier 312 included in the processing system 300 may include two rectifiers 312a and 312b. Corrections 312a and 312b may be deposited on the same plane such that the vertical spacings “z” between the substrate 112 and each correction portion may be the same. In another embodiment, the modifier 312 includes two correction portions 312a and 312b, each correction portion 312a and 312b spaced apart from the substrate 112 at different vertical spacings (z). May be A further description of a processing system with a plasma sheath corrector is described in US Patent Application No. 12 / 418,120, filed on Apr. 03, 2009 and concurrently incorporated by reference in its entirety; 12 / 417,929, and US Pat. No. 7,767,977; And 12/644103.

동작시, 현장에서 또는 원격적으로 생성된 플라즈마는 프로세싱 챔버(302) 내에 포함될 수 있다. 플라즈마(306)는 전자들, 양성자, 및 원자 또는 분자 이온들, 뉴트럴들, 및 바람직한 종들(species)의 라디칼(radical)들을 포함하는 프래그먼트들(fragments)을 포함할 수 있다. 본 발명에 있어, 플라즈마 프래그먼트들(306)은 도핑, 에칭, 또는 기판(112) 상의 물질 증착에 사용될 수 있다. 플라즈마(306)에 포함된 종들은 하나 이상의 특정 종들에 한정되지 않는다. 종들은 그룹 I 및 3A~8A족으로부터의 하나 이상의 엘러먼트들을 포함할 수 있다. 안에 포함된 종들의 예는 수소(H), 헬륨(He) 또는 다른 레어 가스(rare gas)들, 탄소(C), 산소(O), 질소(N), 비소(As), 붕소(B), 인(P), 안티몬(antimony), 갈륨(Ga), 인(In), 카르보란(carborane)(C2B100H12) 또는 다른 분자 화합물들을 포함할 수 있다. 도 3에 도시된 바와 같이, 플라즈마(306)는 또한 주변부(periphery)에 인접한 플라즈마 쉬스(308)를 포함할 수 있다. 본 실시예에 있어, 플라즈마 쉬스(308)는 양적으로 대전된 이온들(310)을 포함할 수 있다. In operation, on-site or remotely generated plasma may be included in the processing chamber 302. The plasma 306 may include fragments containing electrons, protons, and radicals of atomic or molecular ions, neutrals, and preferred species. In the present invention, the plasma fragments 306 may be used for doping, etching, or depositing material on the substrate 112. The species included in the plasma 306 are not limited to one or more specific species. The species may include one or more elements from Groups I and 3A-8A. Examples of species contained within are hydrogen (H), helium (He) or other rare gases, carbon (C), oxygen (O), nitrogen (N), arsenic (As), boron (B) , Phosphorus (P), antimony, gallium (Ga), phosphorus (In), carborane (C 2 B 10 0H 12 ) or other molecular compounds. As shown in FIG. 3, the plasma 306 may also include a plasma sheath 308 adjacent to the periphery. In this embodiment, the plasma sheath 308 may include positively charged ions 310.

도면들에 도시된 바와 같이, 쉬스(308)는 플라즈마(306)를 갖는 쉬스의 경계(boundary)에 의해 표현된다. 그러나, 쉬스(308)는 플라즈마(306)의 에지(edge)로부터 플라즈마(306) 주변 객체들의 표면들까지, 예를 들어 챔버(302)의 벽들 및 기판(112)의 표면까지 유한한 거리로 연장할 수 있다. As shown in the figures, the sheath 308 is represented by the boundary of the sheath with the plasma 306. However, sheath 308 extends a finite distance from the edge of plasma 306 to the surfaces of objects around plasma 306, for example to the walls of chamber 302 and the surface of substrate 112. can do.

플라즈마 쉬스(308) 또는 플라즈마(306)의 이온들(310)은, DC 또는 RF 바이어스 공급(미도시)에 의해 바이어스된 기판(112)과 같은 기판(112)으로 향해질 수 있다. DC 또는 RF인, 기판(112)에 인가된 바이어스 신호는 연속적이거나 또는 펄스(pulse)될 수 있다. Ions 310 of plasma sheath 308 or plasma 306 may be directed to a substrate 112, such as substrate 112, biased by a DC or RF bias supply (not shown). The bias signal applied to the substrate 112, which is DC or RF, can be continuous or pulsed.

플라즈마 쉬스 수정기(312)는, 이온들(310)의 입사각 분포를 제어하기 위하여 플라즈마 쉬스(308)의 형태를 수정할 수 있다. 예를 들어, 플라즈마 쉬스 수정기(312)는 플라즈마 쉬스(242) 내의 전기장을 수정할 수 있고, 플라즈마 쉬스(308)의 형태를 수정할 수 있다. 본 실시예에 있어, 플라즈마 쉬스 수정기(312)는 적어도 쉬스(308)의 부분을 벌크(bulk) 플라즈마(306)에 대하여 오목한 형태의 플라즈마 쉬스(308b)(수정된 쉬스(308b))로 수정하거나, 또는 벌크 플라즈마에 대하여 돔 형태(볼록)의 플라즈마로 수정할 수 있다. 기판(112)가 바이어스된 경우, 기판(112)로 끌어당겨진 이온들(310)은 수정부들(312a 및 312b) 사이의 간극("y")을 통해 입사각들의 큰 범위에서 이동할 수 있다. 종래의 플라즈마 기반 프로세싱 시스템들에 있어, 기판에 가장 근접한 플라즈마 쉬스는 기판과 평행하게 놓여 있다. 기판이 바이어스된 경우, 이온들은 대체적으로 플라즈마 쉬스에 수직인 경로를 통해 이동하며, 따라서 대체로 기판에 대하여 수직적이다. 결과적으로, 종래의 플라즈마 프로세싱 시스템 내의 이온들은 -3°에서 +3°의 입사각 범위를 갖는다. 그러나, 본 실시예에 있어, 이온들(310)의 입사각이 수정된 쉬스(308b)를 이용해 수정될 수 있다. 도 3a에 도시된 바와 같이, 수정된 쉬스(308b)는 기판에 대하여 멀티-앵글화된다(multi angled). 따라서, 수정된 쉬스(308b)에 수직적으로 이동하는 이온들(310)은 복수의 각도들로 이동할 수 있다. 수정된 쉬스(308b)의 상이한 부분들로부터 기판(112)을 향해 이동하는 이온들(310)은 상이한 입사각들을 가질 수 있으며, 이온들(310)은 따라서 넓은 범위의 입사각들을 가질 것이다. 도 3b에 도시된 바와 같이, 이온들(310)의 입사각들은 0°를 중심으로, 약 +60°에서 -60° 사이의 범위일 수 있다. 일부 실시예들에 있어, 이온들(310)의 입사각들은 플라즈마 쉬스 수정기(312)에 의해 생성되는 전기장에 의해 추가적으로 수정될 수 있다. 플라즈마 쉬스 수정기(312)의 구성들 및 특성들을 포함하지만 이에 한정되지 않는 다수의 인자들(factors)에 기초하여, 이온들의 입사각이 추가적으로 수정될 수도 있다. 이러한 인자들의 예는 수정부들(312a 및 312b) 사이의 수평 간격(Y), 수정기(312)와 기판(112) 사이의 수직 간격(Z), 기판(112)과 각각의 수정부들(312a 및 312b) 사이의 수직 간격(z)의 차이 및 수정기(312)의 전기적 특성들을 포함할 수 있다. 다른 플라즈마 프로세스 파라미터들이 또한 이온들의 입사각 및/또는 입사각 분포를 조정하기 위하여 조정될 수 있다. 추가적인 설명은, 전술한 바와 같이, 그 전체가 참조로써 통합되는, 동시에 계류중인 미국 특허출원 번호: 12/418,120호, 12/417929호, 12/644103호에서 찾을 수 있다. The plasma sheath modifier 312 may modify the shape of the plasma sheath 308 to control the angle of incidence distribution of the ions 310. For example, the plasma sheath modifier 312 may modify the electric field in the plasma sheath 242 and may modify the shape of the plasma sheath 308. In this embodiment, the plasma sheath modifier 312 corrects at least a portion of the sheath 308 with a plasma sheath 308b (modified sheath 308b) that is concave with respect to the bulk plasma 306. Or a dome shaped (convex) plasma relative to the bulk plasma. When the substrate 112 is biased, the ions 310 attracted to the substrate 112 may move over a large range of angles of incidence through the gap “y” between the rectifiers 312a and 312b. In conventional plasma based processing systems, the plasma sheath closest to the substrate lies parallel to the substrate. When the substrate is biased, the ions travel through a path that is generally perpendicular to the plasma sheath, and thus are generally perpendicular to the substrate. As a result, the ions in the conventional plasma processing system have an angle of incidence ranging from -3 ° to + 3 °. However, in this embodiment, the angle of incidence of the ions 310 can be modified using the modified sheath 308b. As shown in FIG. 3A, the modified sheath 308b is multi-angled with respect to the substrate. Thus, ions 310 moving perpendicular to the modified sheath 308b may move at a plurality of angles. Ions 310 moving from different portions of the modified sheath 308b toward the substrate 112 may have different angles of incidence, and the ions 310 will thus have a wide range of angles of incidence. As shown in FIG. 3B, the angles of incidence of ions 310 may range from about + 60 ° to −60 °, centered around 0 °. In some embodiments, the angles of incidence of the ions 310 may be further modified by the electric field generated by the plasma sheath modifier 312. Based on a number of factors, including but not limited to the configurations and characteristics of plasma sheath modifier 312, the angle of incidence of the ions may be further modified. Examples of such factors include the horizontal spacing Y between the correctors 312a and 312b, the vertical spacing Z between the modifier 312 and the substrate 112, the substrate 112 and the respective correctors 312a and The difference in the vertical spacing z between 312b) and the electrical properties of the modifier 312. Other plasma process parameters may also be adjusted to adjust the angle of incidence and / or angle of incidence of the ions. Further descriptions can be found in simultaneously pending US patent applications Nos. 12 / 418,120, 12/417929, 12/644103, which are incorporated herein by reference in their entirety.

플라즈마 쉬스(312)를 수정함에 의해, 상이한 각도들에서 발원하는 표면들을 갖는 3차원 구조가 등각적으로(conformally) 또는 등방적으로(isotropically) 처리될 수 있다. 이하에서 언급하는 바와 같이, 수정된 플라즈마 쉬스(312)는, 예를 들어, 동시에 3차원 포토레지스트 릴리프들을 등방적으로와 같이, 3차원 구조들의 다중 표면들을 처리하기 위하여 사용될 수 있다. By modifying the plasma sheath 312, a three-dimensional structure with surfaces originating at different angles can be processed conformally or isotropically. As mentioned below, the modified plasma sheath 312 can be used to treat multiple surfaces of three-dimensional structures, such as isotropically, for example, three-dimensional photoresist reliefs.

도 4를 참조하며, 본 발명의 일 실시예에 따른 3차원 구조 프로세싱을 위한 기술이 도시되어 있다. 본 실시예에 있어, 기술은 3차원 포토레지스트 릴리프(114a)에 포함된 LER 및 LWR을 감소시키기 위하여 사용될 수 있다. 전술한 바와 같이, LER 및 LWR은, 포토레지스트의 경화되지 않은 부분이 제거된 후, 광학 리소그래피 동안 획득된 3차원 포토레지스트 릴리프(114a)에서 발생할 수 있다. 본 실시예에 있어, 포토레지스트 릴리프(114a)에 포함된 LER 및 LWR은, 릴리프(114a)의 상이한 표면들 상의 플라즈마 쉬스 수정기(312)를 이용하는 PLAD(plasma assisted doping) 또는 플라즈마 이머전 이온 주입(PIII) 프로세싱을 수행함으로써, 감소될 수 있다. 당업자들은 특징부가 스케일될 필요가 없다는 것을 인식할 것이다. Referring to Fig. 4, a technique for three-dimensional structure processing in accordance with one embodiment of the present invention is shown. In this embodiment, the technique can be used to reduce the LER and LWR included in the three-dimensional photoresist relief 114a. As mentioned above, LER and LWR may occur in the three-dimensional photoresist relief 114a obtained during optical lithography after the uncured portion of the photoresist is removed. In this embodiment, LER and LWR included in photoresist relief 114a are plasma assisted doping (PLAD) or plasma immersion ion implantation using plasma sheath modifier 312 on different surfaces of relief 114a. By performing the PIII) processing, it can be reduced. Those skilled in the art will appreciate that features need not be scaled.

도 4에 도시된 바와 같이, 측부 표면(114a-1) 및 상부 표면(114a-2)을 갖는 3차원 포토레지스트 릴리프(114a)가 기판(112) 상에 증착될 수 있다. 기판(112) 및 포토레지스트 릴리프(114a)가 플라즈마 쉬스 수정기(312)를 포함하는 플라즈마 프로세싱 시스템 내에서 증착되고, 플라즈마는 기판(112) 근방에 증착된다. 그 후, 플라즈마의 이온들(310)이 플라즈마 쉬스 수정부들(312a 및 312b) 사이의 간극을 통해 포토레지스트 릴리프(114a)의 표면들로 보내질 수 있다. 도면에 도시된 바와 같이, 이온들(310)은 복수의 입사각들로 보내질 수 있다. As shown in FIG. 4, a three-dimensional photoresist relief 114a having a side surface 114a-1 and an upper surface 114a-2 can be deposited on the substrate 112. Substrate 112 and photoresist relief 114a are deposited in a plasma processing system including plasma sheath modifier 312, and plasma is deposited near substrate 112. The ions 310 of the plasma may then be directed to the surfaces of the photoresist relief 114a through the gap between the plasma sheath corrections 312a and 312b. As shown in the figure, the ions 310 may be sent at a plurality of incident angles.

본 실시예에 있어, 이온들(310)은 포토레지스트 릴리프(114a)의 측부 및 상부 표면들(114a-1, 114a-2) 내로 주입될 수 있다. 다양한 이온 종들이 주입될 수 있더라도, 본 발명에 있어 헬륨(He) 또는 아르곤(Ar) 이온들이 주입될 수 있다. 본 발명의 실시예들에 있어, 레지스트가 이온들에 노출되는 기간(duration)은 넓은 범위를 커버할 수 있으며, 노출 시간은 약 1초에서 몇 분까지 사이에서 변화할 수 있다. In this embodiment, ions 310 may be implanted into the side and top surfaces 114a-1 and 114a-2 of the photoresist relief 114a. Although various ionic species may be implanted, helium (He) or argon (Ar) ions may be implanted in the present invention. In embodiments of the present invention, the duration of exposure of the resist to ions may cover a wide range, and the exposure time may vary from about 1 second to several minutes.

본 발명에 따라 배치된 플라즈마 프로세싱 시스템(PSM 시스템)의 LER 상의 효과를 알아보기 위해 실험들이 수행되었다. 이하에서 사용되는, 용어 "PSM 시스템", 또는 "PSM 플라즈마 시스템"은, 플라즈마의 부분에 인접하여 위치된 기판으로 향하는 이온들의 넓은 범위(wide range)의 각도 분포를 제공하기 위한 플라즈마 쉬스 수정기를 이용하는 플라즈마 프로세싱 시스템을 의미한다. 이온 입사의 각도와 함께 사용되는, 용어 "넓은(wide)", "넓은 범위", 또는 "넓은 각도 범위(wide angular range)"는 약 5도 이상의 총 범위(total range)에 걸치는 각도들의 세트를 의미한다. 플라즈마 쉬스 수정기는, 도 3b에 도시된 바와 같은, 넓은 각도 범위에 걸쳐 분포된 이온들의 선량(dose)을 포함하는 노출을 제공하기 위하여 사용된다. 일부 경우들에 있어, 용어 "추출 플레이트(extraction plate)"는, 수정된 플라즈마 쉬스(구성요소 308b를 확인)의 형성을 유도하는 개구를 가진 플레이트를 포함하고, 또한 이온들이 넓은 각도 범위에 걸쳐 기판에 충돌할 수 있도록 플라즈마로부터 이온들을 추출하는 플라즈마 쉬스 수정기를 지칭하기 위하여 사용될 수 있다. Experiments were performed to determine the effect on the LER of a plasma processing system (PSM system) deployed in accordance with the present invention. As used hereinafter, the term “PSM system”, or “PSM plasma system,” utilizes a plasma sheath modifier to provide a wide range of angular distributions of ions directed to a substrate located adjacent to a portion of the plasma. Means a plasma processing system. The term "wide", "wide range", or "wide angular range", used with the angle of ion incidence, refers to a set of angles that span the total range of about 5 degrees or more. it means. Plasma sheath modifiers are used to provide exposure including doses of ions distributed over a wide angular range, as shown in FIG. 3B. In some cases, the term “extraction plate” includes a plate having an opening that leads to the formation of a modified plasma sheath (identifying component 308b), and also wherein the ions have a substrate over a wide angular range. It can be used to refer to a plasma sheath modifier that extracts ions from the plasma to impinge on.

다시 도 4를 참조하며, 약 40nm의 통상적인 CD를 갖는 레지스트 라인들의 세트가 예시적인 추출 플레이트를 사용하여 3kV 헬륨 플라즈마에 노출된다. 3~4kV로 헬륨 이온들(310)을 포토레지스트 릴리프(114a)의 상부 표면(114-2) 및 측부 표면(114a-1) 모두에 주입함으로써, 5.6nm에서 3.2nm로 개선된 LER, 및 LER과 LWR에 있어 약 40%의 개선(improvement)이 관찰되었다. 플라즈마 쉬스 수정기(312)를 사용하여 헬륨 이온들을 주입함에 의해, 이러한 개선들이, 동시에, 포토레지스트 릴리프(114a)의 복수의 표면들(114a-1 및 114a-2) 상에서 등방적으로(isotropically) 발생되었다. Referring again to FIG. 4, a set of resist lines with a conventional CD of about 40 nm is exposed to a 3 kV helium plasma using an exemplary extraction plate. LER improved from 5.6 nm to 3.2 nm by implanting helium ions 310 at both 3-4 kV into both the top surface 114-2 and side surface 114a-1 of the photoresist relief 114a, and LER An improvement of about 40% was observed in and LWRs. By implanting helium ions using the plasma sheath modifier 312, these improvements are simultaneously isotropically on the plurality of surfaces 114a-1 and 114a-2 of the photoresist relief 114a. Occurred.

이에 더하여, 단지 포토레지스트 릴리프(114a)의 최소 임계 치수 축소(minimal critical dimension shrinkage)만이 관찰되었다. 보다 구체적으로, 프로세싱 전에 측정된 39.1nm의 CD가, 프로세싱 후 단지 37.6nm로 감소되었으며, 이는, 복수의 입사각들로 헬륨 이온들(310)이 레지스트 릴리프(114a)에 주입되는 경우, 단지 4%의 축소를 나타낸다. 최소 페시팅(faceting) 또는 스퍼터링(sputtering)이 또한 관찰된다. PLAD 또는 PIII 프로세스가 저 에너지 프로세스이므로, 주입되는 이온들(310)에 의한 깊이(depth)가 대단히 얕다(low). 따라서, 예를 들어, 레지스트 축소 및/또는 스퍼터링과 같은 이온 주입에 의해 초래되는 포토레지스트 릴리프(114a)의 임의의 변화가 최소화될 수 있다. In addition, only minimal critical dimension shrinkage of the photoresist relief 114a has been observed. More specifically, the 39.1 nm CD measured before processing was reduced to only 37.6 nm after processing, which is only 4% when helium ions 310 are implanted into the resist relief 114a at multiple incidence angles. Reduction of Minimal faceting or sputtering is also observed. Since the PLAD or PIII process is a low energy process, the depth by the implanted ions 310 is very low. Thus, any change in photoresist relief 114a caused by ion implantation, such as, for example, resist shrinking and / or sputtering, can be minimized.

다양한 실싱예들에 있어, 패턴화된 레지스트 특징부들에 대한 최적 라인 러프니스는, 패턴화된 레지스트를 사용하여 하부(underlying) 기판에 제조될 디바이스들의 성질(nature)에 기초할 수 있다. 따라서, 본 발명의 실시예들에 있어, 파라미터들의 세트가, 요망되는 러프니스 특징부들 내의 최적 감소를 가져오기 위해, 조율될 수 있다. 이러한 파라미터들은, 무엇보다도, 플라즈마 쉬스 수정기와 연관된 기하학적 특징부들뿐만 아니라 이온 유형, 이온 에너지, 레지스트 유형, 레지스트 특징부 크기를 포함할 수 있다. 다시 도 3a를 참조하면, 후자의 특징부들은 수평 간격(Y), 수직 간격(Z), 및 동시에 계류중인 미국 특허출원번호 12/418,120, 12/417929, 및 12/644103에 보다 상세히 개시된 다른 인자들을 포함한다. In various seals, the optimal line roughness for the patterned resist features can be based on the nature of the devices to be fabricated in the underlying substrate using the patterned resist. Thus, in embodiments of the present invention, the set of parameters can be tuned to bring about an optimal reduction in the desired roughness features. Such parameters may, among other things, include ion type, ion energy, resist type, resist feature size, as well as geometric features associated with the plasma sheath modifier. Referring again to FIG. 3A, the latter features include horizontal spacing (Y), vertical spacing (Z), and other factors disclosed in more detail in simultaneously pending US patent applications 12 / 418,120, 12/417929, and 12/644103. Include them.

전술된 예시적인 시스템들, 방법들, 및 구성요소들은, 전반적으로, LWR/LER의 감소들과 같은 향상된 러프니스 결과들, 높은, 낮은, 그리고 중간 주파수 러프니스 변화들에서의 감소들, 및 유사한 패턴화된 레지스트 속성들을 제공하기 위하여, 임의의 조합으로 사용될 수 있다. Exemplary systems, methods, and components described above generally provide improved roughness results, such as reductions in LWR / LER, reductions in high, low, and intermediate frequency roughness variations, and similar. To provide patterned resist properties, it can be used in any combination.

라인폭 러프니스 감소에 더하여, 동시에, 포토레지스트 특징부들의 복수의 표면들의 등방성 경화(isotropic hardening)가 일부 실시예들에 따라 유도될 수 있다. 다시 도 4를 참조하면, 이온 플럭스의 넓은 각도 범위에 대한 노출 후, 등방성 경화가 일반적으로 포토레지스트 릴리프(114a)로 묘사되는 패턴화된 레지스트 특징부들 내에서 관찰된다. 동시에, 이중 패터닝 리소그래픽(DPL) 또는 자기-정렬 이중 패터닝 리소그래픽(SADPL) 프로세스를 달성하기 위하여 추가적인 광학 리소그래픽 프로세스가 수행되는 경우, 포토레지스트 릴리프(114a)의 등방성 경화가 유리할 수 있다. DPL 또는 SADPL에 있어, 제 1 리소그래픽 프로세스 동안 형성된 2개의 원래 포토레지스트 릴리프들 사이에 추가적인 포토레지스트 릴리프들을 생성하기 위하여, 2차 리소그래픽 프로세스가 수행된다. 추가적인 포토레지스트 릴리프들의 형성은 그들 사이의 거리를 감소시킬 수 있으며, 훨씬 더 작은 폭들의 트렌치들을 갖는 기판(112)을 초래할 수 있다. 2차 리소그래픽 프로세스 동안, 화학적 처리가 수행될 수 있다. 수행되는 경우, 1차 리소그래픽 프로세스 동안 형성된 포토레지스트 릴리프의 구조는 부정적인 영향을 받을 수 있다. 본 실시예에 있어, 등방적으로 경화된 포토레지스트 릴리프(114a)는 2차 리소그래픽 프로세스와 연관된 화학적 처리를 견딜 수 있다. 따라서, DPL 또는 SADPL을 달성하기 위한 추가적인 리소그래픽 프로세스가 가능해질 수 있다. In addition to reducing linewidth roughness, at the same time, isotropic hardening of a plurality of surfaces of photoresist features may be induced in accordance with some embodiments. Referring again to FIG. 4, after exposure to a wide angular range of ion flux, isotropic hardening is observed within the patterned resist features generally depicted as photoresist relief 114a. At the same time, isotropic curing of photoresist relief 114a may be advantageous when an additional optical lithographic process is performed to achieve a double patterned lithographic (DPL) or self-aligned double patterned lithographic (SADPL) process. For DPL or SADPL, a secondary lithographic process is performed to create additional photoresist reliefs between the two original photoresist reliefs formed during the first lithographic process. The formation of additional photoresist reliefs may reduce the distance between them, resulting in the substrate 112 with trenches of much smaller widths. During the secondary lithographic process, chemical treatment can be performed. If performed, the structure of the photoresist relief formed during the primary lithographic process can be negatively affected. In this embodiment, the isotropically cured photoresist relief 114a can withstand the chemical processing associated with the secondary lithographic process. Thus, additional lithographic processes may be enabled to achieve DPL or SADPL.

도 5a 내지 5d는 3차원 레지스트 패턴 프로세싱의 실시예의 예시적인 결과들을 도시한다. 이러한 예에 있어, 레지스트 특징부들의 몇몇 상이한 유형들이, 예시적인 레지스트 경화 프로세스들의 동작을 명료하게 하기 위하여, 이온들의 넓은 각도 범위를 제공하기 위한 예시적인 추출 플레이트(플라즈마 쉬스 수정기)를 사용하는 이온 노출과 관련된다. 도 5a를 참조하면, 기판(500)은, 플레이트(312)와 같은, 예시적인 플라즈마 쉬스 수정기를 사용하는 이온들의 넓은 각도 분포로의 예시적인 노출과 관련된 부분(502)을 포함한다. 도 5a의 예에 있어, 이온들이 개구(314)를 통해 기판(500)에 충돌할 수 있도록 하기 위하여, 개구(314)를 갖는 플레이트(312)가 기판(500) 상에 증착될 수 있고, 개구는 기판(500)에 대하여 y-방향을 따라 스캔될 수 있다. 또한 도 4를 참조하면, 도 4에 도시된 플레이트(312)의 뷰(view)는 도 5d에 도시된 y-방향을 따른다. 도 5b는 부분(502)의 확대도를 도시하며, 부분은 패턴화된 수직 레지스트 라인들(504)(y-방향에 평행한 장축들) 및 블랭킷(blanket) 부분(508)을 포함한다. 레지스트 성장(development) 프로세스를 모방(mimicking)하기 위한 목적으로 사용되는 액상 에천트(liquid etchant)에 대한 노출에 뒤이어, 레지스트 특징부들의 예시적인 넓은 각도 이온 플럭스(530)에 대한 노출 후의 기판 부분(502)이 도시된다. 비록 도면 내에 도시된 레지스트 특징부들의 구조가 그 다음의 액상 에천트에의 노출에 뒤이은 이온 충격(bombardment) 후의 그들의 결과적인 구조에 대응하지만, 이온 플럭스(530)도 도면 내에 도시된다. 5A-5D show exemplary results of an embodiment of three-dimensional resist pattern processing. In this example, several different types of resist features, using an example extraction plate (plasma sheath modifier) to provide a wide angular range of ions, to clarify the operation of the example resist curing processes. Related to exposure. Referring to FIG. 5A, substrate 500 includes a portion 502 associated with example exposure to a wide angular distribution of ions using an example plasma sheath modifier, such as plate 312. In the example of FIG. 5A, a plate 312 having an opening 314 can be deposited on the substrate 500 to allow ions to impinge upon the substrate 500 through the opening 314. May be scanned along the y-direction with respect to the substrate 500. Referring also to FIG. 4, a view of the plate 312 shown in FIG. 4 follows the y-direction shown in FIG. 5D. 5B shows an enlarged view of portion 502, which includes patterned vertical resist lines 504 (long axes parallel to the y-direction) and a blanket portion 508. Substrate portion after exposure to exemplary wide angle ion flux 530 of resist features following exposure to liquid etchant used for the purpose of mimicking the resist development process ( 502 is shown. Although the structure of the resist features shown in the figure corresponds to their resulting structure after ion bombardment following exposure to the next liquid etchant, ion flux 530 is also shown in the figure.

도면들에 도시된 바와 같이, 이러한 예에 있어, 이온들(530)은, 대체적으로 y-방향에 평행하게(도 4 및 도 5들에 형성된 바와 같이), 그리고 도 5d에 더 도시된 바와 같이, 입사각들의 범위에 걸쳐, 기판 부분(502) 상에 충돌한다. 도 5d에 있어, 각각의 레지스트 라인(506)은 레지스트 라인의 상부 상에서 그리고 측벽들(518)을 따라 이온들(520)에 의해 충돌된다. 다양한 레지스트 특징부들의 에칭 결과가 도 5b의 상단에 도시되어 있으며, 라인들(A-A' 및 B-B')을 따른 단면이 도 5c 및 도 5d에 각각 도시되어 있다. 보여지는 바와 같이, 레지스트 특징부들의 부분들의 선택적 에칭(preferential etching)이 일어나며, 이는 패턴화된 레지스트 특징부들의 에치 레지스턴스(etch resistance) 상의 예시적인 넓은 각도의 이온 충격의 효과를 나타낸다. As shown in the figures, in this example, the ions 530 are generally parallel to the y-direction (as formed in FIGS. 4 and 5) and as further shown in FIG. 5D. Impinges on the substrate portion 502, over a range of incidence angles. In FIG. 5D, each resist line 506 is bombarded by ions 520 on top of the resist line and along sidewalls 518. The etching results of the various resist features are shown at the top of FIG. 5B, and cross-sections along lines A-A 'and B-B' are shown in FIGS. 5C and 5D, respectively. As can be seen, selective etching of portions of resist features occurs, indicating the effect of exemplary wide angle ion bombardment on the etch resistance of patterned resist features.

보다 구체적으로, 이온 충격의 대상이 되지 않는 레지스트 특징부들의 이러한 부분들은 에칭에 매우 민감하다. 예를 들어, 블랭킷 영역(508)은 이온들(530)에 노출되는 수평 에지(516) 및 이온들(530)에 평행하게 증착되고 따라서 이온들(530)로부터 직접적인 이온 충격을 받지 않는 수직 에지(514)를 갖는다. 도 5b에 도시된 바와 같이, 대부분의 레지스트 에칭은 보호되지않는(즉, 충격받지 않는) 수직 에지(514)로부터 안쪽으로(inwardly) 연장하는 길이(L)에 걸쳐 발생한다. 유사하게, 각각이 보호되지않는 수직 에지들(510)의 쌍을 갖는 수직 라인들(504)은 대체적으로 길이가 에치 길(L)보다 작은 그들의 개별적인 폭들(W)을 가로질러 에칭된다. 따라서, 라인들(504)의 전체 폭은 대체적으로 에칭에 의해 침범당한다. 반면, 그들의 전체 측벽들(518)을 따라 이온 충격을 받는 수평 라인들(506)은, 이온 충격을 받지 않는 작은 수직 노치(notch)들에 바로 인접한 영역들(540)을 제외하고는, 대체적으로 에칭으로부터 보호된다.More specifically, these portions of the resist features that are not subject to ion bombardment are very sensitive to etching. For example, the blanket region 508 is deposited parallel to the horizontal edge 516 and ions 530 exposed to the ions 530 and thus the vertical edge (not subjected to direct ion bombardment from the ions 530). 514). As shown in FIG. 5B, most of the resist etch occurs over a length L extending inwardly from the unprotected (ie, unimpacted) vertical edge 514. Similarly, vertical lines 504 each having a pair of unprotected vertical edges 510 are etched across their respective widths W, which are generally less than etch length L. Thus, the overall width of the lines 504 is largely invaded by etching. On the other hand, horizontal lines 506 that are subjected to ion bombardment along their entire sidewalls 518 are generally except for regions 540 immediately adjacent to small vertical notches that are not subjected to ion bombardment. Protected from etching.

따라서, 본 발명의 실시예들은, 후속하는 프로세싱에 대하여 패턴화된 레지스트 특징부들을 경화시키기 위하여, 패턴화된 레지스트 라인들을 넓은 각도의 이온 플럭스에 영향을 받도록 하는 예시적인 플라즈마 쉬스 수정기를 이용할 수 있다. 이러한 후속 프로세싱은, 예를 들어, 용이하게 경화되지 않은 레지스트를 침범할 수 있는 에천트(etchant)들을 포함한다. 일부 실시예들에 있어, 패턴화된 레지스트 특징부들은, 패턴화된 레지스트 특징부들의 측벽들 및 상부들을 예시적인 추출 플레이트로부터의 넓은 각도의 이온 충격을 수신하도록 적응될 수 있도록, 배열될 수 있다. Accordingly, embodiments of the present invention may utilize an exemplary plasma sheath modifier that allows patterned resist lines to be affected by a wide angle of ion flux to cure patterned resist features for subsequent processing. . This subsequent processing includes, for example, etchants that can easily invade the uncured resist. In some embodiments, patterned resist features can be arranged such that sidewalls and tops of the patterned resist features can be adapted to receive a wide angle of ion bombardment from an exemplary extraction plate. .

이중 패터닝 리소그래픽 프로세스의 일 실시예에 있어, 제 1 단계에서, 패턴화된 레지스트 특징부들(릴리프들)의 제 1 세트가 1차 리소그래픽 프로세스 시퀀스를 사용하여 형성된다. 다음 단계에서, 패턴화된 레지스트 특징부들의 제 1 세트는 넓은 각도의 이온 충격을 포함하는 제 1 노출에 영향을 받는다. 레지스트 특징부들은 레지스트 특징부들의 측벽들 및 상부들이 이온 플럭스에 노출될 수 있도록 배열될 수 있다. 이러한 방식으로, 다음의 에천트들에 노출될 수 있는 일부 또는 모든 레지스트 표면들이 에천트의 침범에 견딜 수 있도록 경화될 수 있다. 넓은 각도의 이온 충격은, 동시에 레지스트 특징부들의 측벽들 및 상부들을 이온들에 노출시키기 위해 마련된 플라즈마 쉬스 수정기를 사용하여 수행될 수 있다. 추가적인 단계에 있어, 2차 리소그래픽 프로세스 시퀀스가 패턴화된 레지스트 특징부들의 제 2 세트를 형성하기 위하여 수행된다. 다양한 실시예들에 있어, 1차 노출은, 2차 리소그래픽 프로세싱 시퀀스 동안 화학적 처리에 의해 에칭되거나 또는 융해되는 것과 같은, 레지스트 특징부들이 분해(degrade)되는 것을 방지하거나 또는 감소시키는 방식으로, 레지스트 특징부들의 제 1 세트를 경화한다. In one embodiment of the dual patterned lithographic process, in a first step, a first set of patterned resist features (reliefs) are formed using a first order lithographic process sequence. In a next step, the first set of patterned resist features is subjected to a first exposure that includes a wide angle of ion bombardment. The resist features may be arranged such that sidewalls and tops of the resist features are exposed to the ion flux. In this way, some or all of the resist surfaces that may be exposed to the next etchant may be cured to withstand the involvement of the etchant. Wide angle ion bombardment can be performed using a plasma sheath modifier provided for exposing sidewalls and tops of resist features to ions at the same time. In an additional step, a secondary lithographic process sequence is performed to form a second set of patterned resist features. In various embodiments, the first exposure resists in a manner that prevents or reduces resist features from being degraded, such as being etched or melted by chemical processing during a secondary lithographic processing sequence. Curing the first set of features.

다른 다양한 실시예들에 있어, 최종적인 프로세싱 후 기판에 잔존하는 영구적인 특징부들과 같은, 추가적인 기판 릴리프 특징부들이 넓은 각도의 이온 플럭스에 노출될 수 있다. 일부 실시예들에 있어, 반도체 기판 특징부들이 플라즈마 쉬스 수정기를 갖는 추출 플레이트를 사용하여 넓은 각도의 이온 플럭스 노출로 이온 주입될 수 있다. 반도체 기판 특징부들은 Si, SiGe 합금들, 또는 유사 물질들을 포함하는 실리콘 기반 물질들과 같은, 소형 반도체 릴리프 특징부들일 수 있다. 이는, FINFET 이중 게이트 디바이스들로서 공지된 것과 같은, 단일 결정 반도체 특징부들을 이용하는 디바이스들의 형성에 있어 유용할 수 있다. 예를 들어, 일부 프로세스 시퀀스들에 있어, FINFET의 채널 영역과 같은 실리콘 구조가 이온 주입 프로세스의 영향을 받을 수 있다. 종래의 이온 주입 절차에 있어, 이러한 실리콘 핀들의 외부 형태가 이온 주입에 의해 크게 변경될 수 있으며, 이는 FINFET 프로세스를 사용하여 형성된 종국적인 트랜지스터들에 의한 디바이스 성능에 악영향을 줄 수 있다.In other various embodiments, additional substrate relief features, such as permanent features remaining on the substrate after final processing, may be exposed to a wide angle of ion flux. In some embodiments, semiconductor substrate features may be ion implanted with a wide angle of ion flux exposure using an extraction plate having a plasma sheath modifier. The semiconductor substrate features may be small semiconductor relief features, such as silicon-based materials including Si, SiGe alloys, or similar materials. This may be useful in the formation of devices using single crystal semiconductor features, such as known as FINFET double gate devices. For example, in some process sequences, a silicon structure, such as the channel region of a FINFET, may be affected by the ion implantation process. In conventional ion implantation procedures, the external shape of these silicon fins can be greatly altered by ion implantation, which can adversely affect device performance by final transistors formed using the FINFET process.

도 6은 종래의 1.4kV 아르곤 이온 주입 프로세스에 노출된 후의 실리콘 릴리프 특징부들(602)의 프로파일을 도시한다. 이러한 예에 있어, 이러한 특징부들은 폭은 100nm이다. 도시된 바와 같이, 최상부들(604)은 강한 페시팅을 보이며, 측벽들은 전반적으로 경사져있다. 이에 더하여, 이러한 특징부들의 최상단이 부분적으로 에칭될 수 있으며, 이러한 특징부들의 전체 높이가 감소할 수 있다. 6 shows a profile of silicon relief features 602 after exposure to a conventional 1.4 kV argon ion implantation process. In this example, these features are 100 nm wide. As shown, the tops 604 show strong faceting and the sidewalls are generally inclined. In addition, the top of these features may be partially etched and the overall height of these features may be reduced.

대조적으로, 도 7에 도시된 바와 같이, 예시적인 넓은 각도의 아르곤 이온 주입 프로세스에 4kV로 노출된 유사한 실리콘 릴리프 특징부(702)는 최상단 영역들(704)에서 최소의 페시팅을 보이고 있으며, 대체적으로 곧은 측벽들을 보인다. 따라서, 예시적인 넓은 각도의 이온 주입은 실리콘 릴리프 특징부들(702)로부터 제조된 디바이스에 대해 보다 이상적인 구조를 가져올 수 있다. 이는, 결과적으로 더 좋은 디바이스 성능을 가능하게 할 수 있다. In contrast, as shown in FIG. 7, a similar silicon relief feature 702 exposed at 4 kV to an exemplary wide angle argon ion implantation process shows minimal faceting in the top regions 704, and is generally alternative. As shown by the straight side walls. Thus, the exemplary wide angle ion implantation can result in a more ideal structure for a device fabricated from silicon relief features 702. This may in turn enable better device performance.

비록 전술된 본 발명의 실시예들이 전반적으로, 이러한 평활화 프로세스들(smoothening processes)에서 발생할 수 있는 임계 치수의 손실을 감소시키고 표면 특징부들의 러프니스를 감소시키기 위한 이온 충격을 이용하는 시스템들 및 프로세스들에 관련된 것이라 할지라도, 다른 실시예들은 표면 패턴화된 특징부들에 물질을 부가하기 위해 이온 충격을 이용하는 매커니즘들을 제공한다. 도 8a 및 8b를 참조하며, 본 발명의 다른 실시예에 따른, 3차원 구조 프로세싱을 위한 기술의 간략화된 도면이 도시된다. 이러한 실시예에 있어, 홀(hole)의 면적을 감소시키기 위한 기술이 도시된다. 이러한 실시예에 있어, 기판(800)은 금속 기판, 반도체 기판, 또는 유전체 기판일 수 있다. 기판(800)은 홀(812)을 포함한다. 비록 본 실시예가 제 1 반경(R1)의 홀을 갖는 기판의 프로세싱 맥락에서 설명될 수 있으나, 본 발명은 이에 한정되지 않는다. 이전의 실시예들의 포토레지스트와 유사하게, 본 실시예의 기판(800)은 단순히 하나 이상의 수직적으로 연장된 표면들을 갖는 구조일 수 있다. Although the embodiments of the present invention described above, overall, systems and processes that use ion bombardment to reduce the loss of critical dimensions that may occur in these smoothing processes and to reduce the roughness of surface features Even related to, other embodiments provide mechanisms that use ion bombardment to add material to surface patterned features. 8A and 8B, a simplified diagram of a technique for three-dimensional structure processing, in accordance with another embodiment of the present invention, is shown. In this embodiment, a technique for reducing the area of a hole is shown. In such an embodiment, the substrate 800 may be a metal substrate, a semiconductor substrate, or a dielectric substrate. The substrate 800 includes a hole 812. Although the present embodiment can be described in the processing context of a substrate having holes of the first radius R 1 , the present invention is not limited thereto. Similar to the photoresist of the previous embodiments, the substrate 800 of this embodiment may simply be a structure having one or more vertically extending surfaces.

본 실시예에 있어, 이온들(310)은 복수의 입사각들로 홀(812)의 측벽 표면(814)으로 이동된다. 도시된 바와 같이, 측벽(814)은 기판(800)의 최상부 표면(816)에 대하여 형성되고 각이 져 있으며, 일부 실시예들에 있어 각도는 약 90도일 수 있다. 이온들이 선호된다 하더라도, 본 발명이 라디칼들 또는 다른 뉴트럴(neutral)들을 포함하는 다른 입자들을 배제하는 것은 아니다. 홀(812)의 표면으로 향해진 이온들(310)은 그 후 홀(812)의 표면상에 증착될 수 있으며, 그럼으로써 제 2 반경(R2)을 갖는 경계 레이어(822)를 형성한다. 플라즈마 쉬스 수정기(822)를 사용함으로써, 이온들(310)은 복수의 입사각들로 홀(812)의 표면으로 이동될 수 있다. 결과적으로, 등각적이고 등방적인 증착이 일어날 수 있고, 균일한 두께를 갖는 경계 레이어(822)가 형성될 수 있다. 또한, 기판(800)의 원래 홀의 반경이 등각적이고 균일하게 R1으로부터 R2로 감소될 수 있다. In this embodiment, the ions 310 are moved to the sidewall surface 814 of the hole 812 at a plurality of incident angles. As shown, sidewall 814 is formed and angled with respect to top surface 816 of substrate 800, and in some embodiments the angle may be about 90 degrees. Although ions are preferred, the present invention does not exclude other particles containing radicals or other neutrals. Ions 310 directed to the surface of the hole 812 may then be deposited on the surface of the hole 812, thereby forming a boundary layer 822 having a second radius R 2 . By using the plasma sheath modifier 822, the ions 310 can be moved to the surface of the hole 812 at a plurality of incident angles. As a result, conformal and isotropic deposition may occur, and a boundary layer 822 having a uniform thickness may be formed. In addition, the radius of the original hole of the substrate 800 can be reduced from R 1 to R 2 isotropically and uniformly.

본 명세서에 개시된 방법들은, 예를 들어, 명령들을 수행할 수 있는 기계에 의해 판독될 수 있는 컴퓨터 판독가능 저장 매체 상의 명령들의 프로그램을 실체적으로 구현함에 의해, 자동화될 수 있다. 범용 컴퓨터가 이러한 기계의 일 예이다. 당업계에서 공지된 적절한 저장 매체의 비-제한적이고 예시적인 리스트는, 판독가능 또는 기입가능 CD, 플래시 메모리 칩들(예를 들어, 썸(thumb) 드라이브들), 다양한 자기 저장 매체, 및 이와 유사한 것들과 같은 이러한 디바이스들을 포함한다.The methods disclosed herein may be automated, for example, by tangibly implementing a program of instructions on a computer readable storage medium that may be read by a machine capable of executing the instructions. A general purpose computer is one example of such a machine. A non-limiting and exemplary list of suitable storage media known in the art is readable or writable CDs, flash memory chips (eg, thumb drives), various magnetic storage media, and the like. And include such devices.

요약하며, 본 발명은, 포토레지스트 또는 영구적인 기판 릴리프 구조들과 같은 패턴화된 특징부들을 처리하기 위한 신규하고 진보적인 방법들 및 시스템들을 제공한다. 본 발명은, 패턴화된 특징부들 내로 단지 작은 침투 깊이만을 갖는 이온들 및 다른 종들(species)을 제공하기 위한 능력을 지원하는 플라즈마 이머전 시스템들과 같은 상대적으로 낮은 이온 에너지를 이용하는 시스템들에서 효율적으로 사용될 수 있다. 이는, 프로파일 및 CD와 같은 레지스트 패턴 속성들에 큰 충격을 주지않고 표면 평활화를 제공하기 위한 능력을 가능하게 한다. 전혀 일반적이지 않은 각도들에서의 이온들의 상당한 플럭스를 제공함으로써, 본 발명의 PSM 아키텍쳐는, 표면 러프니스에 의해 가장 직접적으로 영향을 받는 영역들 내의 레지스트 특징부들, 즉, 레지스트 측벽들의 침범(attacking)에 있어 특히 효율적이다. 이러한 비활성 가스 플라즈마의 이용과 같은, 본 발명의 실시예들은, RIE와 같은 건식 화학적 프로세스들을 일반적으로 사용하는 패턴 의존(dependent) 효과들에 민감하지 않다. 또한, 이머전 주입 시스템과 같은 플라즈마 프로세스 시스템들과 함께 플라즈마 쉬스 변조기(modulator)를 이용함으로써, 본 발명은 테일러링(tailoring) 레지스트 처리 프로세스들에 대해 큰 유연성을 제공한다. 이는, 가스 조성, 이온 에너지, 이온 선량, 및 이온들의 입사각들의 범위와 같은, 편리하고 독립적으로 조율될 수 있는 플라즈마 파라미터들의 다양성에 기인한다. In summary, the present invention provides novel and advanced methods and systems for processing patterned features such as photoresist or permanent substrate relief structures. The present invention is effective in systems that use relatively low ion energy, such as plasma immersion systems that support the ability to provide ions and other species with only small penetration depth into patterned features. Can be used. This enables the ability to provide surface smoothing without significantly impacting resist pattern properties such as profile and CD. By providing a significant flux of ions at angles that are not at all common, the PSM architecture of the present invention provides for attacking resist features, ie resist sidewalls, in regions most directly affected by surface roughness. Is particularly efficient. Embodiments of the present invention, such as the use of an inert gas plasma, are not sensitive to pattern dependent effects that generally use dry chemical processes such as RIE. In addition, by using a plasma sheath modulator with plasma process systems such as an immersion injection system, the present invention provides great flexibility for tailoring resist processing processes. This is due to the variety of plasma parameters that can be conveniently and independently tuned, such as gas composition, ion energy, ion dose, and range of incidence angles of ions.

본 발명은 본 명세서에서 설명된 특정 실시예들에 의해 한정되지 않는다. 오히려, 본 명세서에서 설명된 실시예들에 더하여, 본 발명의 다른 다양한 실시예들 및 수정들이 전기의 설명 및 첨부된 도면들로부터 당업자들에게 자명해질 것이다. 비록 본 발명이 저 에너지 이온들을 사용하는 플라즈마 이머전 이온 주입 시스템들 내에서 사용될 수 있지만, 이온 주입과 다른 또는 이온 주입에 더하여 이온 충격 효과들이 레지스트 평활화에 기여할 수 있고, 본 발명은 저 에너지 이온들의 제공이 가능한 다른 플라즈마 시스템들에 이용될 수 있다. The invention is not limited by the specific embodiments described herein. Rather, in addition to the embodiments described herein, other various embodiments and modifications of the present invention will become apparent to those skilled in the art from the foregoing description and the accompanying drawings. Although the present invention can be used in plasma immersion ion implantation systems using low energy ions, ion bombardment effects can contribute to resist smoothing in addition to ion implantation and other or ion implantation, and the present invention provides low energy ions. This could be used for other possible plasma systems.

또한, 주입 또는 증착에 더하여, 본 발명에 개시된 기술은 포토레지스트 구조 또는 포토레지스트 구조와는 다른 구조 상에 에칭을 수행하기 위하여 사용될 수 있다. 예를 들어, 본 발명에 개시된 기술들은 트랜지스터 상의 게이트의 임계 치수를 감소시키기 위한 포토레지스트 트림 프로세스(trim process)에 사용될 수 있다. 트림 프로세스가 동시에 O2+HBr 플라즈마를 사용하는 하나의 표면에 수행되는 종래의 트림 프로세스와 대조적으로, 본 발명의 기술들은 복수의 각도들로 에칭 에이전트(예를 들어, 이온들)을 전달하고, 복수의 표면들 상에서 동시에 등방적으로 트림 프로세스를 수행하기 위하여 사용될 수 있다. 따라서, 트림 프로세스가 보다 더 효율적으로 그리고 보다 균일하게 수행될 수 있다. 따라서, 이러한 다른 실시예들 및 수정들은 본 발명의 범위 내에 있도록 의도된다. 또한, 비록 본 명세서 내에서 본 발명이 특정 목적을 위한 특정 환경에서의 특정 실시예의 맥락에서 설명되었지만, 당업자들이라면 본 발명의 유용성이 이에 한정되지 않고, 본 발명이 임의의 복수의 목적들을 위한 임의의 복수의 환경에서 유용하게 구현될 수 있다는 것을 인식할 수 있을 것이다. 따라서 본 발명의 주제는 본 명세서에서 설명된 바와 같은 본 발명의 완전한 폭과 사상의 관점에서 이해되어야 할 것이다. Also, in addition to implantation or deposition, the techniques disclosed herein can be used to perform etching on photoresist structures or other structures than photoresist structures. For example, the techniques disclosed in the present invention can be used in a photoresist trim process to reduce the critical dimensions of gates on transistors. In contrast to conventional trim processes in which the trim process is performed on one surface using O 2 + HBr plasma simultaneously, the techniques of the present invention deliver an etching agent (eg, ions) at a plurality of angles, It can be used to perform the trimming process isotropically on a plurality of surfaces simultaneously. Thus, the trim process can be performed more efficiently and more uniformly. Accordingly, such other embodiments and modifications are intended to be within the scope of the present invention. Furthermore, although the invention has been described herein in the context of specific embodiments in specific circumstances for a particular purpose, those skilled in the art are not limited to the utility of the invention and the invention is not intended for any plurality of purposes. It will be appreciated that it may be usefully implemented in multiple environments. Accordingly, the subject matter of the present invention should be understood in view of the full breadth and spirit of the present invention as described herein.

Claims (20)

레지스트(resist) 특징부(feature)들을 처리(treat)하는 방법에 있어서,
프로세스 챔버 내에서 플라즈마 쉬스(sheath)에 대향하는(facing) 기판의 제 1 표면에 인접한 플라즈마 쉬스를 갖는 플라즈마를 생성하는 단계; 및
플라즈마 쉬스 수정기(modifier)를 이용하여 상기 플라즈마와 상기 플라즈마 쉬스 사이에 형성된 경계(boundary)의 형태를 수정하는 단계를 포함하되,
상기 경계의 형태의 부분은 상기 기판의 상기 제 1 표면에 의해 형성된 평면과 평행하지 않고, 상기 플라즈마로부터의 이온들은 상기 평면에 대하여 각도의 범위에 걸쳐 상기 기판상에 충돌하는 것을 특징으로 하는, 방법.
A method of treating resist features, the method comprising:
Generating a plasma having a plasma sheath adjacent the first surface of the substrate facing the plasma sheath in the process chamber; And
Modifying the shape of the boundary formed between the plasma and the plasma sheath using a plasma sheath modifier,
Wherein the portion in the form of the boundary is not parallel to the plane formed by the first surface of the substrate, and ions from the plasma impinge on the substrate over a range of angles with respect to the plane. .
청구항 1에 있어서,
상기 수정하는 단계는, 부분(part)들의 쌍에 의해 형성되는(defined) 간극(gap)을 생성하는 단계로서, 상기 부분들은 절연체들, 반도체들, 및 금속들 중 하나를 포함하는 것인, 단계를 포함하고,
상기 간극 주변의 상기 경계의 상기 형태는 상기 평면에 대하여 볼록한(convex) 형태인 것을 특징으로 하는, 방법.
The method according to claim 1,
The modifying step creates a gap defined by a pair of parts, wherein the parts comprise one of insulators, semiconductors, and metals. Including,
The shape of the boundary around the gap is convex with respect to the plane.
청구항 1에 있어서,
상기 수정하는 단계는, 플레이트(plate) 내에 간극을 형성하는 단계로서, 상기 플레이트는 절연체, 전도체, 및 반도체 중 하나를 포함하는 것인, 단계를 포함하고,
상기 간극 주변의 상기 경계의 상기 형태는 상기 평면에 대하여 볼록한 형태인 것을 특징으로 하는, 방법.
The method according to claim 1,
The modifying step comprises forming a gap in the plate, wherein the plate comprises one of an insulator, a conductor, and a semiconductor,
Wherein the shape of the boundary around the gap is convex with respect to the plane.
청구항 2에 있어서,
입사각들의 상기 범위는 약 0°를 중심으로 약 +60°에서 -60° 사이인 것을 특징으로 하는, 방법.
The method according to claim 2,
Wherein said range of angles of incidence is between about + 60 ° and −60 ° centered about about 0 °.
청구항 1에 있어서,
이온들의 에너지 및 종(species)은 상기 기판 상의 패턴화된(patterned) 레지스트 특징부들의 라인폭 러프니스(roughness)를 크게 감소시킬 수 있도록 결정(arrange)되는 것을 특징으로 하는, 방법.
The method according to claim 1,
Wherein the energy and species of ions are arranged to significantly reduce the linewidth roughness of the patterned resist features on the substrate.
청구항 1에 있어서,
상기 제 1 노출은 저주파수 LWR(line width roughness)의 상당한 감소를 가져오기에 충분한 것을 특징으로 하는, 방법.
The method according to claim 1,
The first exposure is sufficient to result in a significant reduction in low frequency line width roughness (LWR).
청구항 1에 있어서,
상기 플라즈마로부터의 상기 이온들은 비활성 가스 이온들인 것을 특징으로 하는, 방법.
The method according to claim 1,
The ions from the plasma are inert gas ions.
기판 패터닝(patterning) 방법에 있어서,
상기 기판 상에 패턴화된 레지스트 특징부들의 제 1 세트를 제공하는 단계;
패턴화된 레지스트 특징부들의 상기 제 1 세트를, 상기 기판에 대하여 각도의 범위에 걸쳐 상기 기판 상에 이온들의 입사(incident)를 제공하도록 동작하는 플라즈마 쉬스 수정기로부터 추출된 이온들의 제 1 노출에 노출하는 단계; 및
패턴화된 레지스트 특징부들의 제 2 세트를 형성하기 위하여 상기 기판 상에서 리소그래픽(lithographic) 패터닝 프로세스를 수행하는 단계를 포함하는 것을 특징으로 하는, 방법.
In the substrate patterning method,
Providing a first set of patterned resist features on the substrate;
The first set of patterned resist features is subjected to a first exposure of ions extracted from a plasma sheath modifier operative to provide incidence of ions on the substrate over a range of angles relative to the substrate. Exposing; And
Performing a lithographic patterning process on the substrate to form a second set of patterned resist features.
청구항 8에 있어서,
패턴화된 레지스트 특징부들의 상기 제 1 및 제 2 세트는 이중 패터닝 리소그래픽 프로세스를 사용하여 형성되는 것을 특징으로 하는, 방법.
The method according to claim 8,
Wherein said first and second set of patterned resist features are formed using a dual patterning lithographic process.
청구항 8에 있어서,
상기 제 1 노출은, 패턴화된 레지스트 특징부들의 상기 제 2 세트를 형성하기 위하여 사용되는 상기 리소그래픽 패터닝 프로세스 동안 온전하게 유지되는, 패턴화된 레지스트 특징부들의 상기 제 1 세트를 경화(harden)하도록 동작하는 것을 특징으로 하는, 방법.
The method according to claim 8,
The first exposure hardens the first set of patterned resist features that remains intact during the lithographic patterning process used to form the second set of patterned resist features. And to operate.
청구항 8에 있어서,
상기 플라즈마 쉬스 수정기는, 그들 사이에 간극을 형성하고, 그 결과 상기 간극에 인접한 상기 플라즈마의 경계의 형태가 상기 기판의 평면에 대해 볼록한 형태가 되는, 제 1 절연부(insulator portion) 및 제 2 절연부를 포함하는 것을 특징으로 하는, 방법.
The method according to claim 8,
The plasma sheath modifier forms a gap therebetween, such that the shape of the boundary of the plasma adjacent to the gap becomes convex with respect to the plane of the substrate, the first insulator portion and the second insulation. Comprising a part.
청구항 8에 있어서,
상기 제 1 노출은 비활성 가스 이온들에 대한 노출을 포함하는 것을 특징으로 하는, 방법.
The method according to claim 8,
Wherein the first exposure comprises exposure to inert gas ions.
청구항 8에 있어서,
상기 제 1 노출의 상기 이온들의 이온 에너지는 약 20keV 미만인 것을 특징으로 하는, 방법.
The method according to claim 8,
Wherein the ion energy of the ions of the first exposure is less than about 20 keV.
청구항 8에 있어서,
상기 제 1 노출 이후, 패턴화된 레지스트 특징부들의 상기 제 1 세트의 라인폭 러프니스가 크게 감소되는 것을 특징으로 하는, 방법.
The method according to claim 8,
After the first exposure, the linewidth roughness of the first set of patterned resist features is greatly reduced.
청구항 8에 있어서,
패턴화된 레지스트 특징부들의 상기 제 1 및 제 2 세트를, 넓은 각도의 범위에 걸쳐 상기 기판 상에 이온들의 입사(incident)를 제공하도록 동작하는 플라즈마 쉬스 수정기로부터 추출된 이온들의 제 2 노출에 노출하는 단계를 더 포함하고,
상기 제 2 노출 이후, 패턴화된 레지스트 특징부들의 상기 제 2 세트의 라인폭 러프니스가 감소되는 것을 특징으로 하는, 방법.
The method according to claim 8,
The first and second set of patterned resist features are subjected to a second exposure of ions extracted from a plasma sheath modifier operative to provide incidence of ions on the substrate over a wide range of angles. Further including exposing,
After the second exposure, the linewidth roughness of the second set of patterned resist features is reduced.
패턴화된 결정질(crystalline) 특징부들을 기판에 주입하는 방법에 있어서,
플라즈마 챔버 내에서 상기 프로세스 챔버에 위치된 기판의 제 1 측(side)에 인접한 플라즈마 쉬스를 갖는 플라즈마를 생성하는 단계;
경계의 형태의 부분이 상기 플라즈마에 대향하는 상기 기판의 전면 표면에 의해 형성된 평면에 평행하지 않도록, 플라즈마 쉬스 수정기를 이용하여 상기 플라즈마와 상기 플라즈마 쉬스 사이의 경계의 형태를 수정하는 단계; 및
상기 기판과 플라즈마 사이에 바이어스(bias)를 제공하는 단계를 포함하되,
이온들이, 패턴화된 결정질 특징부들에 페시팅(faceting)을 초래하지 않으면서, 넓은 범위의 각도들에 걸쳐 상기 패턴화된 결정질 특징부들 내로 주입되는 것을 특징으로 하는, 방법.
A method of injecting patterned crystalline features into a substrate, the method comprising:
Generating a plasma having a plasma sheath adjacent a first side of a substrate positioned in said process chamber in a plasma chamber;
Modifying the shape of the boundary between the plasma and the plasma sheath using a plasma sheath modifier such that a portion of the shape of the boundary is not parallel to the plane formed by the front surface of the substrate opposite the plasma; And
Providing a bias between the substrate and the plasma,
Ions are implanted into the patterned crystalline features over a wide range of angles without causing faceting on the patterned crystalline features.
상기 패턴화된 결정질 특징부들은 실리콘 기반 특징부들인 것을 특징으로 하는, 방법.And wherein said patterned crystalline features are silicon based features. 기판 내의 홀(hole)을 처리하는 방법에 있어서,
프로세스 챔버 내에서 플라즈마 쉬스에 대향하는 기판의 제 1 표면에 인접한 플라즈마 쉬스를 갖는 플라즈마를 생성하는 단계로서, 상기 기판은 상기 제 1 표면에 대하여 각도를 형성하는 홀 측벽 표면을 포함하는 것인, 단계; 및
플라즈마 쉬스 수정기를 이용하여 상기 플라즈마와 상기 플라즈마 쉬스 사이에 형성된 경계의 형태을 수정하는 단계를 포함하되,
상기 경계의 상기 형태는 상기 기판의 상기 제 1 표면에 의해 형성된 표면에 평행하지 않으며, 상기 플라즈마로부터의 이온들은 각도의 범위에 걸쳐 상기 홀의 상기 측벽 표면 상에 충돌하는 것을 특징으로 하는, 방법.
In the method of processing a hole in a substrate,
Generating a plasma having a plasma sheath adjacent to a first surface of the substrate opposite the plasma sheath in the process chamber, the substrate comprising a hole sidewall surface angled with respect to the first surface; ; And
Using a plasma sheath corrector to modify the shape of the boundary formed between the plasma and the plasma sheath,
Said shape of said boundary is not parallel to the surface formed by said first surface of said substrate, wherein ions from said plasma impinge on said sidewall surface of said hole over a range of angles.
청구항 18에 있어서,
상기 이온들은 상기 홀의 상기 표면 상에서 응집(condense)되도록 결정된 종들을 포함하는 것을 특징으로 하는, 방법.
19. The method of claim 18,
Wherein the ions comprise species determined to be condensed on the surface of the hole.
청구항 18에 있어서,
상기 수정하는 단계는, 플레이트 내에 간극을 형성하는 단계로서, 상기 플레이트는 절연체, 금속 및 반도체 중 하나를 포함하는 것인, 단계를 포함하고,
상기 간극 주변의 상기 경계의 상기 형태는 상기 기판의 상기 제 1 표면에 의해 형성된 평면에 대하여 볼록한 형태인 것을 특징으로 하는, 방법.
19. The method of claim 18,
The modifying step comprises forming a gap in the plate, wherein the plate comprises one of an insulator, a metal and a semiconductor,
Wherein said shape of said boundary around said gap is convex with respect to the plane formed by said first surface of said substrate.
KR1020127026773A 2011-03-11 2011-03-16 Method and system for modifying substrate patterned features using ion implantion KR20130124149A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/046,136 US8778603B2 (en) 2010-03-15 2011-03-11 Method and system for modifying substrate relief features using ion implantation
US13/046,136 2011-03-11
PCT/US2011/028583 WO2011116039A1 (en) 2010-03-15 2011-03-16 Method and system for modifying substrate patterned features using ion implantation

Publications (1)

Publication Number Publication Date
KR20130124149A true KR20130124149A (en) 2013-11-13

Family

ID=49856060

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127026773A KR20130124149A (en) 2011-03-11 2011-03-16 Method and system for modifying substrate patterned features using ion implantion

Country Status (1)

Country Link
KR (1) KR20130124149A (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160102034A (en) * 2013-12-23 2016-08-26 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. In situ control of ion angular distribution in a processing apparatus
US10276372B2 (en) 2014-08-28 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
KR20190063430A (en) * 2017-11-29 2019-06-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Methods for controlling an end-to-end distance in semiconductor device
US10522349B2 (en) 2017-11-30 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-reflective coating by ion implantation for lithography patterning
US10658184B2 (en) 2016-12-15 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern fidelity enhancement with directional patterning technology

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160102034A (en) * 2013-12-23 2016-08-26 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. In situ control of ion angular distribution in a processing apparatus
US10276372B2 (en) 2014-08-28 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US10658184B2 (en) 2016-12-15 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern fidelity enhancement with directional patterning technology
US11158509B2 (en) 2016-12-15 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern fidelity enhancement with directional patterning technology
KR20190063430A (en) * 2017-11-29 2019-06-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Methods for controlling an end-to-end distance in semiconductor device
US10692720B2 (en) 2017-11-29 2020-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for controlling an end-to-end distance in semiconductor device
US10522349B2 (en) 2017-11-30 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-reflective coating by ion implantation for lithography patterning
US11158505B2 (en) 2017-11-30 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Anti-reflective coating by ion implantation for lithography patterning

Similar Documents

Publication Publication Date Title
JP5728566B2 (en) Method and system for altering patterned features of a substrate using ion implantation
US8435727B2 (en) Method and system for modifying photoresist using electromagnetic radiation and ion implantation
US8460569B2 (en) Method and system for post-etch treatment of patterned substrate features
US8133804B1 (en) Method and system for modifying patterned photoresist using multi-step ion implantation
KR101911330B1 (en) Method and system for controlling critical dimension and roughness in resist features
JP2013527595A5 (en)
US9748148B2 (en) Localized stress modulation for overlay and EPE
TW200845185A (en) Plasma processing method
KR20200130518A (en) Method for patterning a layer of material to desired dimensions
KR20130124149A (en) Method and system for modifying substrate patterned features using ion implantion
JP6725518B2 (en) Multiple exposure process for processing patterning features
US8974683B2 (en) Method and system for modifying resist openings using multiple angled ions
KR101098062B1 (en) Method of forming a semiconductor device
TW201724208A (en) Semiconductor device and method for reducing line edge roughness therein
KR20090068589A (en) Method for forming pattern in semiconductor device

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid