KR20120005992A - Depositing tungsten into high aspect ratio features - Google Patents

Depositing tungsten into high aspect ratio features Download PDF

Info

Publication number
KR20120005992A
KR20120005992A KR1020110068603A KR20110068603A KR20120005992A KR 20120005992 A KR20120005992 A KR 20120005992A KR 1020110068603 A KR1020110068603 A KR 1020110068603A KR 20110068603 A KR20110068603 A KR 20110068603A KR 20120005992 A KR20120005992 A KR 20120005992A
Authority
KR
South Korea
Prior art keywords
tungsten
layer
feature
aspect ratio
high aspect
Prior art date
Application number
KR1020110068603A
Other languages
Korean (ko)
Other versions
KR101340793B1 (en
Inventor
아난드 찬드라쉐카르
라아쉬나 휴마윤
마이칼 다넥
아론 알. 펠리스
션 창
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/833,823 external-priority patent/US9034768B2/en
Priority claimed from US13/016,656 external-priority patent/US8124531B2/en
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20120005992A publication Critical patent/KR20120005992A/en
Application granted granted Critical
Publication of KR101340793B1 publication Critical patent/KR101340793B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer

Abstract

PURPOSE: A tungsten deposition method to the inside of a high aspect ratio characteristic part is provided to charge the characteristic part with tungsten including materials, thereby arranging a seam in the inside of the charged characteristic part. CONSTITUTION: An under-layer(113) is deposited on a substrate(103). The under-layer lines a characteristic part hole(105). The under-layer arranges an overhang(115). An unfilled void(129) is arranged under a reference point(125). The size of the void and the position of the reference point are varied according to a parameter of a deposition process, the size of a characteristic part, and the size of the overhang.

Description

고 종횡비 특징부 내부로 텅스텐 증착하기{DEPOSITING TUNGSTEN INTO HIGH ASPECT RATIO FEATURES}Depositing Tungsten into High Aspect Ratio Features {DEPOSITING TUNGSTEN INTO HIGH ASPECT RATIO FEATURES}

본 출원은 CHANDRASHEKAR, Anand외 다수의 2010년06월09일자 미국 특허 출원 13/016,656호 "DEPOSITING TUNGSTEN INTO HIGH ASPECT RATIO FEATURES"를 기초로 우선권 주장하며, CHANDRASHEKAR, Anand외 다수의 2009년08월4일자 미국 특허 출원 제12/535,464호 "DEPOSITING TUNGSTEN INTO HIGH ASPECT RATIO FEATURES"의 일부계속출원이며, CHANDRASHEKAR, Anand외 다수의 2010년06월09일자 미국 특허 출원 제12/833,823호 “DEPOSITING TUNGSTEN INTO HIGH ASPECT RATIO FEATURES"의 일부계속출원이다. This application claims priority based on US Patent Application No. 13 / 016,656 of CHANDRASHEKAR, Anand et al. 09/09/2010 "DEPOSITING TUNGSTEN INTO HIGH ASPECT RATIO FEATURES", and of August 4, 2009 of CHANDRASHEKAR, Anand et al. Part of the patent application No. 12 / 535,464, entitled "DEPOSITING TUNGSTEN INTO HIGH ASPECT RATIO FEATURES," US Patent Application No. 12 / 833,823, entitled "DEPOSITING TUNGSTEN INTO HIGH ASPECT RATIO," issued by CHANDRASHEKAR, Anand et al. Part of FEATURES ".

화학 기상 증착(CVD: Chemical Vapor Deposition) 기법을 이용하는 텅스텐-함유 물질을 증착하는 것은, 많은 반도체 제조 공정의 중요 부분이다. 이러한 물질은 수평 인터커넥트(horizontal interconnect), 인접 금속 층들 사이의 비아, 제 1 금속 층과 실리콘 기판 상의 장치 사이의 접촉부, 그리고 고 종횡비 특징부(high aspect ratio feature)용으로 사용될 수 있다. 종래의 증착 공정에서, 증착 챔버에서 기판이 지정 공정 온도까지로 가열되고, 종자(seed) 또는 핵생성 층으로서 기능하는 텅스텐-함유 물질의 박층이 증착된다. 그 후, 텅스텐-함유 물질(벌크 층)의 나머지가 상기 핵생성 층 상에 증착된다. 종래 기술에서, 수소(H2)를 이용한 텅스텐 헥사플루오라이드(WF6)의 환원에 의해, 텅스텐-함유 물질이 형성된다. 텅스텐-함유 물질이 특징부와 필드 영역을 포함하는 기판의 노출된 전체 표면 영역에 걸쳐 증착된다. Deposition of tungsten-containing materials using Chemical Vapor Deposition (CVD) techniques is an important part of many semiconductor manufacturing processes. Such materials can be used for horizontal interconnects, vias between adjacent metal layers, contacts between the first metal layer and the device on a silicon substrate, and for high aspect ratio features. In a conventional deposition process, the substrate is heated to a predetermined process temperature in the deposition chamber, and a thin layer of tungsten-containing material is deposited that functions as a seed or nucleation layer. Thereafter, the remainder of the tungsten-containing material (bulk layer) is deposited on the nucleation layer. In the prior art, by the reduction of tungsten hexafluoride (WF 6 ) with hydrogen (H 2 ), a tungsten-containing material is formed. Tungsten-containing material is deposited over the entire exposed surface area of the substrate, including features and field areas.

텅스텐-함유 물질을 소형의, 특히 고 종횡비 특징부로 증착함으로써, 충전된 특징부 내부에 심(seam)(가령, 미-충전 보이드(void))이 형성될 수 있다. 큰 심은 고저항, 오염, 충전된 물질의 소실 및 그 밖의 다른 집적 회로의 성능 열화를 초래할 수 있다. 예를 들어, 충전 공정 후, 심은 필드 영역(field region)에 가까운 곳까지 뻗어 있을 수 있고, 화학-기계적 평탄화 공정 동안 개방될 수 있다. By depositing tungsten-containing material into small, particularly high aspect ratio features, seams (eg, unfilled voids) can be formed inside the filled features. Large shims can result in high resistance, contamination, loss of charged material, and other performance degradation of other integrated circuits. For example, after the filling process, the shim may extend to near the field region and open during the chemical-mechanical planarization process.

실질적으로 보이드 없는 방식으로 텅스텐-함유 물질로 고 종횡비 특징부를 충전하기 위한 방법과 장치가 제공된다. 특정 실시예에서, 상기 방법은 텅스텐-함유 물질의 초기 층을 증착하는 단계와, 상기 초기 층의 일부분을 선택적으로 제거하여 잔여 층을 형성하는 단계를 포함하며, 상기 잔여 층은 고 종횡비의 특징부의 깊이 방향을 따라 차등적으로 패시베이션된다. 특정 실시예에서, 상기 잔여 층은 특징부 내부에서보다 특징부 오프닝에서 더 패시베이션된다. 상기 방법은 상기 잔여 층 위에, 동일한 또는 다른 물질의 추가 층을 증착하는 단계를 더 포함한다. 이러한 후기 증착 단계 동안의 증착 속도는 특징부 내부에서보다 특징부 오프닝 근방에서 더 느린데, 왜냐하면, 잔여 층의 차등적인 패시베이션 때문이다. 이 증착 변화는 고 종횡비 특징부의 너무 이른 폐쇄를 방지하는 데 도움을 주고, 실질적으로 보이드 없는 방식으로 특징부를 충전하는 것을 촉진시킨다. A method and apparatus are provided for filling high aspect ratio features with tungsten-containing material in a substantially void free manner. In a particular embodiment, the method includes depositing an initial layer of tungsten-containing material and selectively removing a portion of the initial layer to form a residual layer, wherein the residual layer is characterized by a high aspect ratio feature. Passively passivated along the depth direction. In certain embodiments, the residual layer is more passivated at the feature opening than inside the feature. The method further includes depositing an additional layer of the same or different material on the remaining layer. The deposition rate during this late deposition step is slower near the feature opening than inside the feature because of the differential passivation of the residual layer. This deposition change helps to prevent premature closure of high aspect ratio features and facilitates filling the features in a substantially void free manner.

특정 실시예에서, 부분 제조된 반도체 기판 상에 제공되는 고 종횡비 특징부를 충전하기 위한 방법은, 텅스텐-함유 전구체와 환원제를 공정 챔버로 제공하는 단계와, 텅스텐-함유 물질과 환원제 간의 화학 기상 증착 반응을 통해 기판 상에 텅스텐 함유 물질 층을 증착하는 단계를 포함한다. 상기 증착된 층은 적어도 부분적으로 특징부를 충전한다. 상기 방법은 활성화된 에칭 물질을 공정 챔버로 도입시키고, 상기 활성화된 에칭 물질을 이용하여 증착된 층의 일부분을 제거하여, 잔여 층을 형성할 수 있다. 그 후, 상기 방법은 텅스텐-함유 전구체와 환원제를 챔버로 재도입시켜, 전구체와 환원제 간의 화학 기상 증착 반응을 통해 텅스텐 함유 물질의 추가 층을 기판 상상의 잔여 층 위해 선택적으로 증착한다. 추가 증착 층은 특징부 오프닝 근방에서보다 특징부 내부에서 더 두껍다. 본원에서, 용어 "특징부 내부"라는 용어는 특징부의 깊이 방향을 따르는 특징의 중간 포인트 쯤, 가령 특징부의 오프닝에서부터 측정된 특정부 깊이 약 35% 내지 75%에, 구체적으로는 약 40% 내지 60%에 위치하는 특징부의 중간 부분을 나타낸다. 용어 "특징부 오프닝 근방" 또는 "특징부의 오프닝 근방"은 오프닝 에지 또는 특징부의 오프닝의 에지를 나타내는 다른 요소의 25% 내에, 구체적으로는 10% 내에 위치하는 특징부의 상면을 나타낸다. In certain embodiments, a method for filling high aspect ratio features provided on a partially fabricated semiconductor substrate includes providing a tungsten-containing precursor and a reducing agent to a process chamber, and a chemical vapor deposition reaction between the tungsten-containing material and the reducing agent. And depositing a layer of tungsten containing material on the substrate through. The deposited layer at least partially fills the feature. The method may introduce an activated etch material into a process chamber and remove a portion of the deposited layer using the activated etch material to form a residual layer. The method then reintroduces the tungsten-containing precursor and reducing agent into the chamber, selectively depositing an additional layer of tungsten containing material for the remaining layer of the substrate imagination through a chemical vapor deposition reaction between the precursor and the reducing agent. The additional deposition layer is thicker inside the feature than near the feature opening. As used herein, the term “inside a feature” is used at about 35% to 75%, specifically about 40% to 60%, of a particular depth measured from the opening of the feature, about the midpoint of the feature along the depth direction of the feature. The middle part of the feature located at%. The term “near feature opening” or “near feature opening” refers to the top surface of a feature located within 25%, specifically 10%, of the opening edge or other element representing the edge of the opening of the feature.

특정 실시예에서, 증착 층의 일부분을 제거할 때, 공정 챔버는 5Torr 이하의 압력으로 유지된다. 상기 공정 챔버는 이러한 작업 동안 2Torr 이하의 압력으로 유지될 수 있다. 특정 실시예에서, 잔여 층은, 특징부 내부에서보다 특징부의 오프닝 근방에서 더 패시베이션되도록, 선택적으로, 즉, 차등적으로 패시베이션된다. 본원에서, 층이 자신의 표면 위에 추가적인 물질의 증착을 억제할 때, 상기 층을 패시베이션된 층이라고 한다. 더 패시베이션된 층에 의해, 덜 패시베이션된 층보다 증착이 더 느리고 및/또는 지연된다. 이 실시예 및 그 밖의 다른 실시예에서, 잔여 층은 특징부 내부에서보다 특징부 오프닝 근방에서 더 얇다. 일부 실시예에서 잔여 층은 특징부 오프닝의 10% 이하의 두께를 가질 수 있다. 제거 동안 특징부 내부에서보다 특징부의 오프닝 근방에서 더 많은 텅스텐-함유 물질이 제거될 수 있다. 얠르 들어, 증착 층의 두께의 감소율은 특징부 내부에서보다 특징부 오프닝 근방에서 약 25% 이상 더 높을 수 있다. In certain embodiments, when removing a portion of the deposition layer, the process chamber is maintained at a pressure of 5 Torr or less. The process chamber can be maintained at a pressure of 2 Torr or less during this operation. In certain embodiments, the residual layer is selectively, ie, differentially passivated, so as to passivate more near the opening of the feature than inside the feature. Herein, when a layer inhibits the deposition of additional material on its surface, the layer is referred to as a passivated layer. With the more passivated layer, deposition is slower and / or delayed than the less passivated layer. In this and other embodiments, the residual layer is thinner near the feature opening than inside the feature. In some embodiments the residual layer may have a thickness of 10% or less of the feature opening. During removal more tungsten-containing material may be removed near the opening of the feature than inside the feature. For example, the rate of reduction of the thickness of the deposited layer may be about 25% or more higher near the feature opening than inside the feature.

특정 실시예에서, 추가 층을 선택적으로 증착하는 것은 고 종횡비 특징부의 적어도 하부 절반을 실질적으로 보이드 없는 방식으로 충전하는 단계를 포함한다. 고 종횡비 특징부는 약 2 이상의 종횡비를 가진다. 이 실시예 및 그 밖의 다른 실시예에서, 증착 층의 부분을 제거하는 단계는 질량 전달 방식으로 수행된다. 복수의 공정 챔버를 포함하는 장치가 고 종횡비 특징부를 충전하도록 사용될 수 있다. 이들 실시예에서, 텅스텐 함유 물질의 층을 증착하는 단계와, 증착 층의 일부분을 제거하는 단계와, 텅스텐 함유 물질의 추가 층을 선택적으로 증착하는 단계는 상이한 환경 조건으로 유지되는 상이한 공정 챔버에서 수행될 수 있다. 특정 실시예에서, 기판은 증착 단계 동안 폐쇄되고, 제거 단계 후에서 폐쇄된 채로 유지되는 제 2 특징부를 가진다. 고 종횡비 특징부는 증착 단계 동안 폐쇄되고 선택적 제거 동안 개방된다. In certain embodiments, selectively depositing additional layers includes filling at least the bottom half of the high aspect ratio features in a substantially void free manner. High aspect ratio features have an aspect ratio of at least about two. In this and other embodiments, the step of removing portions of the deposition layer is performed in a mass transfer manner. An apparatus including a plurality of process chambers may be used to fill high aspect ratio features. In these embodiments, depositing a layer of tungsten-containing material, removing a portion of the deposition layer, and selectively depositing an additional layer of tungsten-containing material are performed in different process chambers maintained at different environmental conditions. Can be. In certain embodiments, the substrate has a second feature that is closed during the deposition step and remains closed after the removal step. High aspect ratio features are closed during the deposition step and open during selective removal.

특정 실시예에서, 상기 방법은 포토레지스트를 부분 제조된 반도체 기판에 도포하는 단계와, 상기 포토레지스트를 노광하는 단계와, 상기 포토레지스트를 패터닝하여, 패턴을 생성하고, 상기 패턴을 부분 제조된 반도체 기판에 전사하는 단계를 포함한다. In a particular embodiment, the method includes applying a photoresist to a partially fabricated semiconductor substrate, exposing the photoresist, patterning the photoresist, creating a pattern, and fabricating the pattern, the partially fabricated semiconductor. Transferring to a substrate.

부분 제조된 반도체 기판 상에 제공되는 고 종횡비의 특징부를 충전하는 방법이 제공된다. 상기 방법은 텅스텐-함유 전구체와 환원제를 공정 챔버로 도입시키는 단계와, 텅스텐-함유 전구체와 환원제 간의 화학 기상 증착 반응을 통해, 부분 제조된 반도체 기판 상에 텅스텐-함유 물질의 층을 증착하는 단계(상기 층은 고 종횡비의 특징부를 부분적으로 충전)와, 활성화된 에칭 물질을 공정 챔버로 도입시키는 단계와, 잔여 층(remaining layer)을 형성하기 위해 텅스텐-함유 물질의 층의 일부분을 선택적으로 제거하는 단계(상기 잔여 층은 고 종횡비의 특징부의 깊이 방향을 따라 변하는 패시베이션 레벨을 가지며, 특징부 내부에서보다 특징부 오프닝 근방에서 더 패시베이션된다)를 포함한다. 특정 깊이에서의 잔여 층의 패시베이션 레벨이, 상기 특정 깊이에서의 텅스텐-함유 물질의 층으로부터 제거되는 텅스텐-함유 물질의 양과 상관(correlate)된다. A method of filling high aspect ratio features provided on a partially fabricated semiconductor substrate is provided. The method includes introducing a tungsten-containing precursor and a reducing agent into the process chamber and depositing a layer of tungsten-containing material on the partially fabricated semiconductor substrate through a chemical vapor deposition reaction between the tungsten-containing precursor and the reducing agent ( The layer partially fills the high aspect ratio features), introducing activated etch material into the process chamber, and selectively removing a portion of the layer of tungsten-containing material to form a remaining layer. Step (the remaining layer has a passivation level that varies along the depth direction of the high aspect ratio feature and is more passivated near the feature opening than inside the feature). The passivation level of the remaining layer at a certain depth correlates with the amount of tungsten-containing material removed from the layer of tungsten-containing material at that particular depth.

부분 제조된 반도체 기판을 처리하기 위한 방법이 제공된다. 상기 방법은, 50나노미터 이하 크기와 4 이상의 종횡비를 갖는 고 종횡비의 특징부를 포함하며, 상기 고 종횡비의 특징부 내에 증착되는 보호성 층(protective layer)을 포함하는 부분 제조된 반도체 기판을 공정 챔버로 제공하는 단계와, 텅스텐-함유 전구체와 환원제를 공정 챔버로 도입시키는 단계와, 텅스텐-함유 전구체와 환원제 간의 화학 기상 증착 반응을 통해, 상기 텅스텐-함유 물질의 층은 고 종횡비 특징부의 크기의 절반 이하의 두께를 갖는 텅스텐-함유 물질의 층을 부분 제조된 반도체 기판 상에 증착하는 단계와, 활성화된 에칭 물질을 공정 챔버로 도입시키는 단계와, 특정 시간 동안 5Torr 이하의 압력으로 활성화된 에칭 물질을 이용하여 층의 일부분을 제거하는 단계와, 텅스텐-함유 전구체와 환원제를 공정 챔버로 도입시키는 단계와, 텅스텐-함유 전구체와 환원제 간의 화학 기상 증착 반응을 통해, 부분 제조된 반도체 기판 상에 텅스텐-함유 물질의 추가 층을 선택적으로 증착하는 단계로서, 특징부 내부에서의 내부 증착 속도는 특징부 오프닝 근방의 외부 증착 속도보다 2배 더 높으며, 상기 특징부의 하부 절반 이상을 충전하도록 하는, 선택적 증착 단계를 포함한다. 상기 특정 시간은 층의 두께에 의해 결정된다. 고 종횡비의 특징부의 크기는 30나노미터이고, 고 종횡비의 특징부의 깊이는 250나노미터이며, 제거하는 단계의 지속시간은 1초 내지 10초이다. 외부 증착 속도는 선택적 증착의 초기 30초 이상동안 분(minute)당 100옹스트롬 이하이다. A method is provided for processing a partially manufactured semiconductor substrate. The method comprises a process chamber comprising a partially fabricated semiconductor substrate comprising a high aspect ratio feature having a size of less than 50 nanometers and having an aspect ratio of 4 or more, the protective layer being deposited within the high aspect ratio feature. By providing tungsten-containing precursor and reducing agent into the process chamber, and by chemical vapor deposition reaction between the tungsten-containing precursor and reducing agent, the layer of tungsten-containing material is half the size of the high aspect ratio feature. Depositing a layer of tungsten-containing material having a thickness of up to a partially fabricated semiconductor substrate, introducing an activated etch material into the process chamber, and applying the activated etch material to a pressure of 5 Torr or less for a specified time period. Removing a portion of the layer using the method, introducing a tungsten-containing precursor and a reducing agent into the process chamber, Selectively depositing an additional layer of tungsten-containing material on the partially fabricated semiconductor substrate through a chemical vapor deposition reaction between the oil precursor and the reducing agent, wherein the internal deposition rate inside the feature is external deposition near the feature opening. And an optional deposition step that is twice as high as the rate and allows to fill at least the bottom half of the feature. The specific time is determined by the thickness of the layer. The size of the high aspect ratio features is 30 nanometers, the depth of the high aspect ratio features is 250 nanometers, and the duration of the removing step is 1 to 10 seconds. The external deposition rate is less than 100 Angstroms per minute during the initial 30 seconds or more of the selective deposition.

부분 제조된 반도체 기판 상에 고 종횡비의 특징부를 충전하기 위한 반도체 공정 장치가 제공된다. 상기 장치는 제 1 공정 챔버와, 제 2 공정 챔버와, 제어기를 포함하며, 상기 제 1 공정 챔버는, 기판을 포지셔닝하기 위한 하나 이상의 증착 스테이션을 갖고, 상기 제 1 공정 챔버는, 화학 기상 증착 반응을 통해, 부분 제조된 반도체 기판 상에 텅스텐-함유 물질의 층 또는 텅스텐-함유 물질의 추가 층을 증착하도록 구성되며, 상기 하나 이상의 증착 스테이션은 증착 동안 기판의 온도를 제어하기 위한 증착 가열 소자를 포함하며, 상기 제 2 공정 챔버는, 기판을 포지셔닝하기 위한 하나 이상의 에칭 스테이션을 갖고, 상기 제 2 공정 챔버는 층의 일부분을 선택적으로 제거하도록 구성되며, 상기 하나 이상의 에칭 스테이션은 에칭 동안 기판의 온도를 제어하기 위한 에칭 가열 소자를 포함하며, 제어기는, 텅스텐-함유 전구체와 환원제를 제 1 공정 챔버로 도입시키는 명령(program instruction)과,상기 텅스텐-함유 전구체와 환원제를 상기 제 1 공정 챔버로 도입한 후, 1초 내지 10초의 시간 동안 5Torr 이하의 압력으로, 활성화된 에칭 물질을 제 2 공정 챔버로 도입시키는 명령과, 활성화된 에칭 물질을 제 2 공정 챔버로 도입시킨 후, 텅스텐-함유 전구체와 환원제를 제 1 공정 챔버 또는 또 다른 공정 챔버로 도입시키는 명령을 수행한다. A semiconductor processing apparatus is provided for filling high aspect ratio features on a partially fabricated semiconductor substrate. The apparatus includes a first process chamber, a second process chamber, and a controller, the first process chamber having one or more deposition stations for positioning a substrate, wherein the first process chamber comprises a chemical vapor deposition reaction. And deposit a layer of tungsten-containing material or an additional layer of tungsten-containing material on the partially fabricated semiconductor substrate, wherein the one or more deposition stations include a deposition heating element for controlling the temperature of the substrate during deposition. Wherein the second process chamber has one or more etching stations for positioning the substrate, the second process chamber is configured to selectively remove a portion of the layer, wherein the one or more etching stations adjust the temperature of the substrate during etching. An etching heating element for controlling, wherein the controller directs the tungsten-containing precursor and the reducing agent to the first process chamber. A program instruction, and introducing the tungsten-containing precursor and the reducing agent into the first process chamber, and then introducing an activated etching material into the second process chamber at a pressure of 5 Torr or less for a time of 1 to 10 seconds. And introducing the activated etching material into the second process chamber and then introducing the tungsten-containing precursor and the reducing agent into the first process chamber or another process chamber.

상기 반도체 공정 장치는 웨이퍼 스테퍼(wafer stepper)를 더 포함한다. The semiconductor processing apparatus further includes a wafer stepper.

부분 제조된 반도체 기판 상에 제공되는 고 종횡비의 특징부를 충전하기 위한 반도체 공정 장치의 제어를 위한 프로그램 명령이 기록된 컴퓨터 판독형 저장 매체에 있어서, 상기 프로그램 명령은, 텅스텐-함유 전구체와 환원제를 제 1 공정 챔버로 도입시키는 코드와, 1초 내지 10초의 시간 동안 5Torr 이하의 압력으로, 활성화된 에칭 물질을 제 2 공정 챔버로 도입시키는 코드와, 텅스텐-함유 전구체와 환원제를 제 1 공정 챔버 또는 또 다른 공정 챔버로 도입시키는 코드를 포함한다. A computer-readable storage medium having recorded thereon program instructions for control of a semiconductor processing apparatus for filling high aspect ratio features provided on a partially fabricated semiconductor substrate, the program instructions comprising removing tungsten-containing precursors and reducing agents. A cord introducing into the first process chamber, a cord introducing the activated etching material into the second process chamber at a pressure of 5 Torr or less for a time between 1 and 10 seconds, and a tungsten-containing precursor and a reducing agent in the first process chamber or Code that introduces it to another process chamber.

부분 제조된 반도체 기판 상에 제공된 고 종횡비 특징부를 충전하기 위한 방법에 있어서, 상기 방법은 텅스텐-함유 전구체와 환원제를 공정 챔버로 도입시키는 단계와, 텅스텐-함유 전구체와 환원제 간의 화학 기상 증착 반응을 통해, 텅스텐-함유 물질의 층을 부분 제조된 반도체 기판 상에 증착하는 단계(상기 텅스텐-함유 물질의 층은 고 종횡비의 특징부를 부분적으로 충전)와, 활성화된 에칭 물질을 공정 챔버로 도입시키는 단계와, 활성화된 에칭 물질의 재결합을 제한하는 공정 조건에서, 활성화된 에칭 물질을 이용하여 증착된 층의 일부분을 선택적으로 제거하는 단계를 포함한다. 상기 공정 조건은 250℃ 이하의 부분 제조된 반도체 기판의 온도와 5Torr 이하의 공정 챔버의 압력을 포함한다. 고 종횡비의 특징부의 오프닝 근방의 증착 층의 두께의 감소율은, 고 종횡비의 특징부 내부에서의 증착 층의 두께의 감소율보다 25% 이상 크다. 증착된 층의 일부분을 제거하는 질량 전달 방식(mass transport regime)으로 수행된다. 활성화된 에칭 물질은, 초기 에칭 물질로부터 활성화된 에칭 물질을 생성하도록 구성된 원격 플라스마 발생기로부터 공정 챔버로 도입된다. 상기 방법은 제 2 증착 층을 형성하기 위해 증착 단계를 반복하는 단계와, 제 2 에칭 층을 형성하기 위해 선택적 제거 단계를 반복하는 단계를 더 포함한다. 반복되는 선택적 제거 단계는 이전 선택적 제거 단계와 상이한 공정 조건에서 수행된다. 고 종횡비의 특징부의 오프닝 근방의 제 2 증착 층의 두께의 감소율은 고 종횡비의 특징부 내부의 제 2 증착 층의 두께의 감소율보다 10% 이상 크다. 상기 방법은 텅스텐-함유 전구체와 환원제 간의 화학 기상 증착 반응을 통해, 부분 제조된 반도체 기판 상에 텅스텐-함유 물질을, 고 종횡비의 특징부가 폐쇄될 때까지 증착하는 단계를 더 포함한다. 폐쇄된 고 종횡비의 특징부는, 고 종횡비의 특징부의 필드 영역에서부터 깊이의 20% 이상인 곳에서 끝나는 심(seam)을 갖는다. 증착 단계와 선택적 제거 단계는 상이한 환경적 조건으로 유지되는 상이한 챔버에서 수행된다. A method for filling high aspect ratio features provided on partially fabricated semiconductor substrates, the method comprising introducing a tungsten-containing precursor and a reducing agent into a process chamber and through chemical vapor deposition reaction between the tungsten-containing precursor and the reducing agent Depositing a layer of tungsten-containing material on the partially fabricated semiconductor substrate, the layer of tungsten-containing material partially filling high aspect ratio features, and introducing an activated etching material into the process chamber; Selectively removing a portion of the deposited layer using the activated etching material at process conditions that limit recombination of the activated etching material. The process conditions include the temperature of the partially fabricated semiconductor substrate below 250 ° C. and the pressure in the process chamber below 5 Torr. The rate of decrease of the thickness of the deposited layer near the opening of the high aspect ratio feature is at least 25% greater than the rate of decrease of the thickness of the deposited layer inside the feature of the high aspect ratio. It is carried out in a mass transport regime that removes a portion of the deposited layer. The activated etch material is introduced into the process chamber from a remote plasma generator configured to produce an activated etch material from the initial etch material. The method further includes repeating the deposition step to form a second deposition layer and repeating the selective removal step to form a second etch layer. The selective removal step repeated is carried out at different process conditions than the previous selective removal step. The reduction rate of the thickness of the second deposition layer near the opening of the high aspect ratio feature is at least 10% greater than the reduction rate of the thickness of the second deposition layer inside the high aspect ratio feature. The method further includes depositing a tungsten-containing material on the partially fabricated semiconductor substrate through the chemical vapor deposition reaction between the tungsten-containing precursor and the reducing agent until the high aspect ratio features are closed. Closed high aspect ratio features have seams that end up at least 20% of depth from the field area of the high aspect ratio feature. Deposition and selective removal are performed in different chambers maintained at different environmental conditions.

부분 제조된 반도체 기판 상에 제공된 고 종횡비의 특징부를 충전하기 위한 반도체 공정 장치가 제공된다. 상기 장치는 제 1 공정 챔버와, 제 2 공정 챔버와, 제어기를 포함하며, 상기 제 1 공정 챔버는 기판을 포지셔닝하기 위한 하나 이상의 증착 스테이션을 갖고, 상기 제 1 공정 챔버는 화학 기상 증착 반응을 통해, 부분 제조된 반도체 기판 상에 텅스텐-함유 물질의 층을 증착하도록 구성되고, 상기 하나 이상의 증착 스테이션은 증착 동안 기판의 온도를 제어하기 위한 증착 가열 소자를 포함하며, 상기 제 2 공정 챔버는 기판을 포지셔닝하기 위한 하나 이상의 에칭 스테이션을 가지며, 상기 제 2 공정 챔버는 증착된 층의 일부분을 선택적으로 제거하도록 구성되고, 상기 하나 이상의 에칭 스테이션은 에칭 동안 기판의 온도를 제어하기 위한 에칭 가열 소자를 포함하고, 상기 제어기는, 텅스텐-함유 전구체와 환원제 간의 화학 기상 증착 반응을 통해, 텅스텐-함유 물질의 층이 고 종횡비의 특징부를 부분적으로 충전하도록, 부분 제조된 반도체 기판 상에 텅스텐-함유 물질의 층을 증착하는 명령과,활성화된 에칭 물질을 제 2 공정 챔버로 도입시키는 명령과, 활성화된 에칭 물질의 재결합을 방지하는 공정 조건에서 활성화된 에칭 물질을 이용하여 증착된 층의 일부분을 선택적으로 제거하는 명령을 수행한다.
A semiconductor processing apparatus for filling high aspect ratio features provided on partially fabricated semiconductor substrates is provided. The apparatus includes a first process chamber, a second process chamber, and a controller, the first process chamber having one or more deposition stations for positioning a substrate, the first process chamber through a chemical vapor deposition reaction. And depositing a layer of tungsten-containing material on the partially fabricated semiconductor substrate, wherein the at least one deposition station includes a deposition heating element for controlling the temperature of the substrate during deposition, and the second process chamber is adapted to deposit the substrate. Having at least one etching station for positioning, the second process chamber is configured to selectively remove a portion of the deposited layer, the at least one etching station including an etch heating element for controlling the temperature of the substrate during etching; The controller may be configured to include tungsten-based chemical vapor deposition reaction between a tungsten-containing precursor and a reducing agent. Instructions for depositing a layer of tungsten-containing material on the partially fabricated semiconductor substrate such that the layer of dairy material partially fills the high aspect ratio features, and for introducing the activated etch material into the second process chamber; And selectively removing a portion of the deposited layer using the activated etch material under process conditions that prevent recombination of the etched material.

도 1은 특정 실시예에 따르는 공정의 여러 다른 스테이지에서의 고 종횡비 특징부를 포함하는 반도체 기판의 일례를 도시한다.
도 2는 특정 실시예에 따르는 텅스텐 함유 물질로 고 종횡비 특징부를 충전하는 방법을 나타내는 순서도이다.
도 3은 특정 실시예에 따르는 청준 공정의 여러 다른 스테이지에서의 기판 단면도를 나타낸다.
도 4는 고 종횡비 특징부를 충전하기 위한 특정 실시예에 따르는 장치를 도시한 도면이다.
도 5a는 고 종횡비 특징부를 충전하기 위한 특정 실시예에 따르는 다중-스테이션 장치를 도시한 도면이다.
도 5b는 고 종횡비 특징부를 충전하기 위한 특정 실시예에 따르는 다중-챔버 장치를 도시한 도면이다.
도 6a는 텅스텐-함유 층으로 증착된 특징부를 갖는 부분 제작된 반도체 기판을 나타내는 도면이다.
도 6b는 2개의 서로 다른 공정 조건에 대한 에칭 전과 후의 도 6a에 도시된 텅스텐 함유 층의 두께 분포의 그래프를 도시한다.
도 7은 받침대 온도의 함수로서 나타난 활성화된 플루오린 화학종과 재결합된 플루오린 화학종의 에칭 속도의 도표이다.
도 8은 챔버 압력의 함수로서의 활성화된 흘루오린 화학종의 에칭 속도의 도표이다.
도 9는 서로 다른 에칭 조건을 이용하여 처리된 다양한 샘플에 대한 시간의 흐름에 따른 증착 두께의 도표이다.
도 10은 초기 텅스텐, 3-초 에칭 및 추가 텅스텐 증착 후 30-나노미터 특징부의 단면 SEM 이미지를 도시한다.
도 11은 동일한 초기 텅스텐 증착, 1-초 에칭 및 동일한 추가 텅스텐 증착 후의 또 다른 30-나노미터 특징부의 단면 SEM 이미지를 도시한다.
1 illustrates an example of a semiconductor substrate including high aspect ratio features at different stages of a process in accordance with certain embodiments.
2 is a flow chart illustrating a method of filling high aspect ratio features with a tungsten containing material in accordance with certain embodiments.
3 illustrates a cross-sectional view of the substrate at different stages of the cleaning process according to certain embodiments.
4 illustrates an apparatus according to a particular embodiment for filling high aspect ratio features.
5A illustrates a multi-station device according to a particular embodiment for charging high aspect ratio features.
5B illustrates a multi-chamber arrangement according to certain embodiments for filling high aspect ratio features.
6A illustrates a partially fabricated semiconductor substrate having features deposited with a tungsten-containing layer.
FIG. 6B shows a graph of the thickness distribution of the tungsten containing layer shown in FIG. 6A before and after etching for two different process conditions.
FIG. 7 is a plot of etch rates of fluorine species recombined with activated fluorine species shown as a function of pedestal temperature.
8 is a plot of the etch rate of activated thruolin species as a function of chamber pressure.
9 is a plot of deposition thickness over time for various samples processed using different etching conditions.
FIG. 10 shows a cross-sectional SEM image of 30-nanometer features after initial tungsten, 3-second etch and additional tungsten deposition.
FIG. 11 shows a cross-sectional SEM image of another 30-nanometer feature after the same initial tungsten deposition, one-second etch and the same additional tungsten deposition.

다음의 기재에서, 본 발명의 완전한 이해를 제공하기 위해 많은 구체적 세부사항들이 제공된다. 이러한 구체적 세부사항 중 일부, 또는 전부가 없이도, 본 발명은 실시될 수 있다. 또한, 본 발명을 불필요하게 모호하게 하지 않기 위해, 공지된 공정 작업은 상세히 기재되지 않았다. 본 발명은 구체적 실시예와 연계하여 설명될 것이지만, 본 발명을 이러한 실시예로 한정하는 의도는 아님을 이해해야 한다. In the following description, numerous specific details are provided to provide a thorough understanding of the present invention. Without some or all of these specific details, the invention may be practiced. In addition, well known process operations have not been described in detail in order not to unnecessarily obscure the present invention. While the invention will be described in connection with specific embodiments, it should be understood that it is not intended to limit the invention to these embodiments.

도입Introduction

텅스텐-함유 물질로 특징부를 충전함으로써, 충전된 특징부 내부에 심(seam)이 형성될 수 있다. 특징부의 측벽 상에 증착되고 있는 층이 보이드 공간을 봉쇄하는 포인트(즉, 핀치 포인트(pinch point), 실링 포인트(sealing point)라고도 일컬어짐)까지 두꺼워질 때, 공정 챔버의 환경에서, 이러한 포인트 이하에서 심이 형성될 수 있다. 즉, 증착된 층이 두꺼워짐으로써, 보이드 공간이 맞물림-폐쇄(pinch off)된다. 이러한 맞물림에 의해 전구체 및/또는 그 밖의 다른 반응물이 보이드 공간으로 들어가는 것이 방해되어, 상기 보이드 공간은 충전되지 않은 채로 남겨진다. 보이드 공간은, 특징부의 깊이 방향을 따라, 충전된 특징부의 일부분을 관통하여 뻗어 있는 기다란 심(elongated seam)인 것이 일반적이다. 이러한 보이드 공간 또는 심은, 이들의 형태 때문에, 키홀(keyhole)이라고 일컬어지기도 한다. By filling the features with tungsten-containing material, a seam can be formed inside the filled features. In the context of the process chamber, when the layer being deposited on the sidewalls of the features thickens to a point that blocks the void space (ie, also referred to as a pinch point, sealing point), below this point In the seam can be formed. That is, as the deposited layer becomes thicker, the void space is pinched off. This engagement prevents precursors and / or other reactants from entering the void space, leaving the void space uncharged. The void space is typically an elongated seam that extends through a portion of the filled feature along the depth direction of the feature. Such void spaces or shims may be referred to as keyholes because of their shape.

심이 형성되는 데에는 많은 가능한 원인이 존재한다. 한 가지 원인으로는, 텅스텐-함유 물질, 또는 더 일반적으로, 그 밖의 다른 물질(가령, 확산 장벽 층 또는 핵생성 층)의 증착 동안 특징부 오프닝 근방에 형성되는 오버행(overhang)이다. 도 1은 특정 실시예에 따르는 반도체 공정의 여러 가지 스테이지 동안의, 고 종횡비(high aspect ratio)의 특징부를 포함하는 반도체 기판의 일례를 도시한다. 첫 번째 단면도(101)가 미리 형성된 특징부 홀(105)을 갖는 기판(103)을 도시한다. 상기 기판은 실리콘 웨이퍼, 가령 200mm 웨이퍼, 300mm 웨이퍼, 450mm 웨이퍼일 수 있다. 특징부 홀(105)은 약 2:1 이상의 종횡비, 또는 더 구체적인 실시예에서, 약 4:1 이상의 종횡비를 가질 수 있다. 특징부 홀(105)은 또한, 약 10나노미터 내지 500나노미터의, 더 구체적으로는, 약 25나노미터 내지 300나노미터의 오프닝 근방 단면 크기(가령, 오프닝 직경, 라인 폭 등)를 가질 수 있다. 특징부 홀은 미충전 특징부(unfilled feature), 또는 단순히 특징부라고 지칭되기도 한다. There are many possible causes for the formation of shims. One cause is an overhang that is formed near the feature opening during deposition of a tungsten-containing material, or more generally, another material (eg, a diffusion barrier layer or nucleation layer). 1 illustrates an example of a semiconductor substrate that includes high aspect ratio features during various stages of a semiconductor process, in accordance with certain embodiments. The first cross-sectional view 101 shows a substrate 103 having pre-formed feature holes 105. The substrate may be a silicon wafer, such as a 200 mm wafer, a 300 mm wafer, or a 450 mm wafer. Feature hole 105 may have an aspect ratio of about 2: 1 or greater, or in more specific embodiments, an aspect ratio of about 4: 1 or greater. The feature hole 105 may also have an opening near cross-sectional size (eg, opening diameter, line width, etc.) of about 10 nanometers to 500 nanometers, more specifically, about 25 nanometers to 300 nanometers. have. Feature holes may also be referred to as unfilled features, or simply features.

다음 스테이지에서(단면도(111)), 특징부 홀(105)을 라이닝(lining)하는 언더-층(under-layer, 113)이 증착된 기판(103)이 도시되며, 상기 언더-층(113)은 확산 장벽 층, 또는 접착 층(adhesion layer), 또는 핵생성 층, 또는 이들의 조합. 또는 그 밖의 다른 임의의 적합한 물질일 수 있다. 많은 증착 공정이 우수한 스텝 커버리지(step coverage) 속성을 갖지 않기 때문에, 즉, 특징부 내부보다, 필드 영역(field region)과 오프닝 근방에 더 많은 물질이 증착되기 때문에, 언더-층(113)이 오버행(115)을 형성할 수 있다. 오버행(115)이 언더-층(113)의 일부라면, 상기 층(113)은, 오프닝 근방에서 (예컨대, 특징부 내부보다) 더 두꺼울 수 있다. 이를 설명하기 위해, “오프닝 근방(near the opening)”은, 필드 영역에서부터 측정된 깊이 약 0-10%에 해당하는 (즉, 특징부의 측벽을 따르는) 특징부 내 적정 위치 또는 영역이라고 정의된다. 특정 실시예에서, 상기 오프닝 근방 영역은 오프닝 영역에 해당한다. 덧붙여, “특징부 내부(inside the feature)”는, 특징부의 최상부 상의 필드 영역에서부터 측정된 깊이 약 20-60%에 해당하는 특징부 내 적정 위치 또는 영역으로 정의된다. 일반적으로, 특정 매개변수(가령 두께)의 값이 “오프닝 근방” 또는 “특징부 내부”라고 특정될 때, 이들 값은, 이러한 위치/영역에서 취해진 측정치 또는 복수의 측정치들의 평균을 나타낸다. 특정 실시예에서, 오프닝 근방의 언더-층의 평균 두께는, 특징부 내부에서보다, 약 10% 이상이다. 더 구체적인 실시예에서, 이러한 차이는 약 25% 이상, 또는 약 50% 이상, 또는 약 100% 이상일 수 있다. 또한, 특징부 내 물질의 분포는 스텝 커버리지에 의해 특징지워질 수 있다. 이를 설명하기 위해, “스텝 커버리지”는 2개의 두께의 비, 즉, 특징부 내부의 물질의 두께를, 오프닝 근방의 물질의 두께로 나눈 값으로서 정의된다. 특정 예를 들자면, 언더-층의 스텝 커버리지는 약 100% 이하이거나, 더 구체적으로, 약 75% 이하, 더 더욱 구체적으로, 약 50% 이하이다. In the next stage (section 111), a substrate 103 is shown, on which an under-layer 113 lining the feature holes 105 is deposited, the under-layer 113. A diffusion barrier layer, or an adhesion layer, or a nucleation layer, or a combination thereof. Or any other suitable material. Because many deposition processes do not have good step coverage properties, i.e., because more material is deposited near the field region and opening than inside the feature, the under-layer 113 overhangs 115 may be formed. If the overhang 115 is part of the under-layer 113, the layer 113 may be thicker (eg, than inside the feature) near the opening. To illustrate this, "near the opening" is defined as a suitable location or area within a feature (i.e. along the sidewall of the feature) corresponding to about 0-10% of the depth measured from the field area. In a particular embodiment, the area near the opening corresponds to an opening area. In addition, “inside the feature” is defined as the appropriate location or area in the feature that corresponds to about 20-60% of the depth measured from the field area on the top of the feature. In general, when the value of a particular parameter (such as thickness) is specified as “near opening” or “inside a feature,” these values represent the average of a plurality of measurements or measurements taken at this location / area. In certain embodiments, the average thickness of the under-layer near the opening is about 10% or more than inside the feature. In more specific embodiments, the difference may be at least about 25%, or at least about 50%, or at least about 100%. In addition, the distribution of material in the features can be characterized by step coverage. To illustrate this, “step coverage” is defined as the ratio of two thicknesses, ie the thickness of the material inside the feature divided by the thickness of the material near the opening. As a specific example, the step coverage of the under-layer is about 100% or less, or more specifically about 75% or less, even more specifically about 50% or less.

다음 단면도(121)는 텅스텐-함유 물질(123)로 충전된 특징부 홀을 도시한다. 증착 공정은 언더-층(113) 위해 구축되는 물질(123)의 등각 층(conformal layer)을 도출할 수 있다. 이러한 증착된 층은, 오버행(115)을 포함하여 언더-층(113)의 형상을 따른다. 구체적인 특정 실시예에서, 증착 공정의 후속 스테이지(가령, 특징부 폐쇄 바로 전 스테이지)에서, 층(123)이 덜 등각적이게 되어, 열악한 스텝 커버리지를 도출할 수 있다(즉, 특징부 내부보다 오프닝 근방에 더 많은 물질이 증착됨). 층(123)이 두꺼워질 때, 상기 층(123)이 특징부를 폐쇄하고, 핀치 포인트(125)를 형성할 수 있다. 증착 공정이 종료되기 전에, 약간의 추가적인 물질이 핀치 포인트(125) 위에 증착된다. 오버행(115) 때문에, 구체적으로 층(123)의 열악한 스텝 커버리지 때문에, 폐쇄된 특징부는 기준 포인트(125) 아래에서 미충전 보이드(129)(즉, 심)를 가질 수 있다. 보이드(129)의 크기와, 필드 영역(127)에 대한 기준 포인트(125)의 위치는 오버행(115)의 크기, 특징부의 크기, 종횡비, 굽힘 정도(bowing), 증착 공정의 매개변수 및 그 밖의 다른 매개변수에 따라 달라진다. Next cross-sectional view 121 shows a feature hole filled with tungsten-containing material 123. The deposition process may lead to a conformal layer of material 123 that is built for the under-layer 113. This deposited layer follows the shape of the under-layer 113, including overhang 115. In certain specific embodiments, in subsequent stages of the deposition process (eg, just before feature closure), layer 123 may be less conformal, resulting in poor step coverage (ie, opening than inside the feature). More material is deposited nearby). When layer 123 thickens, layer 123 may close the feature and form pinch point 125. Before the deposition process ends, some additional material is deposited over the pinch point 125. Because of the overhang 115, specifically because of the poor step coverage of the layer 123, the closed feature may have an unfilled void 129 (ie, seam) below the reference point 125. The size of the void 129 and the location of the reference point 125 relative to the field region 127 can be determined by the size of the overhang 115, the size of the features, the aspect ratio, the bowing, the parameters of the deposition process, and the like. It depends on other parameters.

마지막으로, 단면도(131)는 기판(103)에서 최상층을 제거하는 화학-기계 평탄화(CMP) 후의 기판(133)을 도시한다. 필드 영역에서 오버버든(overburden)을 제거하기 위해 CMP가 사용될 수 있다. 통상적으로 CMP 동안 기판(103)이 얇아져서, 기판(133)이 형성될 수 있다. 도 1에 도시된 바와 같이, 핀치 포인트(125)가 CMP 프로세스의 평탄화 레벨 이상인 경우, 심(129)은 개방되고, 심 오프닝(135)을 통해 주위 환경으로 노출된다. 개방된 큰 심이 갖는 문제점은 앞서 기재되었다. Finally, cross-sectional view 131 shows the substrate 133 after chemical-mechanical planarization (CMP) removing the top layer from the substrate 103. CMP may be used to remove overburden in the field area. Typically, the substrate 103 is thinned during CMP, so that the substrate 133 can be formed. As shown in FIG. 1, when pinch point 125 is above the leveling level of the CMP process, shim 129 is opened and exposed to ambient environment through shim opening 135. The problem with open large shims has been described above.

도 1에는 도시되지 않았지만, 심 형성 또는 심 확대와 기준 포인트를 필드 영역 근방으로 이동시키는 것을 초래할 수 있는 또 다른 원인은 특징부 홀의 만곡된(즉 휘어진) 측벽(이는 또한 휘어진 특징부(bowed feature)라고도 일컬어짐)이다. 휘어진 특징부에서, 오프닝 근방에서의 캐비티의 단면 크기는 특징부 내부에서의 단면 크기보다 더 작다. 휘어진 특징부의 이러한 더 좁은 오프닝의 효과는 앞서 언급된 오버행 문제와 다소 유사하다. 덧붙여, 휘어진 특징부는 또한, 오버행을 갖는 언더-층을 가질 수 있으며, 심 형성의 부정적인 효과를 합성하는 그 밖의 다른 심 형성 원인에 직면한다. Although not shown in FIG. 1, another cause that may result in seam formation or seam enlargement and moving the reference point near the field area is a curved (ie curved) sidewall of the feature hole (which is also a bowed feature). Also known as). In the curved feature, the cross sectional size of the cavity near the opening is smaller than the cross sectional size inside the feature. The effect of this narrower opening of the curved feature is somewhat similar to the overhang problem mentioned above. In addition, the curved features may also have under-layers with overhangs, and face other causes of seam formation that synthesize the negative effects of seam formation.

텅스텐-함유 물질로 충전된 특징부에서 심을 완전히 제거하는 것은 가능하지 않거나 실용적이지 않을 수 있다. 가령, 증착되는 물질의 큰 입도, 증착 동안(특히 특징부 폐쇄 전의 증착 동안)의 질량 전달 제한(mass transport limited), 및 그 밖의 다른 이유 때문에, 일부 보이드 공간이 특징부 내부에 남겨질 수 있다. 그러나 본원에서, 심 크기 감소를 가능하게 하고, 기준 포인트를 필드 영역에서 멀리 이동시키는 것을 가능하게 하는 신규한 방법이 제공된다. 이들을 총체적으로 심 형성 완화(mitigating seam formation)이라고 지칭한다.
It may not be possible or practical to completely remove the shims from features filled with tungsten-containing material. For example, some void space may be left inside the feature due to the large particle size of the material being deposited, mass transport limited during deposition (especially during deposition before feature closure), and for other reasons. However, herein, a novel method is provided that allows for seam size reduction and makes it possible to move the reference point away from the field area. These are collectively referred to as mitigating seam formation.

공정fair

하나 이상의 중간 선택적 제거 작업을 도입함으로써, 심 형성은 완화될 수 있거나, 일부 실시예에서는 제거될 수 있다고 발견되었다. 예를 들어, 충전 프로세스가, 고 종횡비 특징부(high aspect ratio feature)를 적어도 부분적으로 충전하는 초기 층(initial layer)을 형성함으로써 시작될 수 있다. 이러한 작업 후에, 이러한 초기 층의 부분 선택적 제거가 뒤 따르고, 그 후, 추가 층이 증착된다. 실질적으로 보이드 없는 방식으로, 특징부과 완전히 충전될 때까지 이러한 제거-증착 사이클이 반복될 수 있다. 하나 이상의 사이클에서 스텝 커버리지가 개선되도록 공정 매개변수가 선택될 수 있다. 특정 실시예에서, 각각의 사이클은 스텝 커버리지를 개선한다. 결국, 선택적 제거는, 특징부 내부에서보다 오프닝 근방에서 더 많은 물질이 제거되는 것을 특징으로 한다. 다양한 공정 제어 매개변수가 사용되어, 결과(가령, 질량 전달 제어 상태에서의 제거, 제거 제어 및/또는 여러 다른 에칭 성분(가령, 활성화된 화학종 및 재결합딘 화학종)의 흡착 속도, 에칭 화학종의 재결합 속도의 제어 등)를 얻을 수 있다. 이러한 적용예의 목적으로, 활성화된 화학종, 가령, 원자화된 화학종, 라디칼 및 이온(가령, 원자 플루오린)이, 재결합된 화학종(가령, 고에너지 상태 분자를 포함하는 분자(가령, 분자 플루오린))과 구별되고, 초기 에칭제 화학종(가령, 니트로겐 트리-플루오라이드 및 이하에서 기재될 그 밖의 다른 전구체)과 구별된다. By introducing one or more intermediate selective removal operations, it has been found that seam formation may be relaxed or, in some embodiments, eliminated. For example, the filling process may begin by forming an initial layer that at least partially fills a high aspect ratio feature. After this operation, partial selective removal of this initial layer is followed, followed by the deposition of additional layers. In a substantially void free manner, this removal-deposition cycle may be repeated until it is fully filled with the feature. Process parameters may be selected to improve step coverage in one or more cycles. In certain embodiments, each cycle improves step coverage. Finally, selective removal is characterized in that more material is removed near the opening than inside the feature. Various process control parameters may be used to determine the results (e.g., removal in mass transfer control, removal control, and / or adsorption rates of different etch components (e.g., activated and recombined species), etch species). Control of the recombination rate, etc.) can be obtained. For the purposes of this application, activated species, such as atomized species, radicals and ions (eg, atomic fluorine), are molecules that contain recombined species (eg, high energy state molecules, such as molecular fluorine). Rin)) and initial etchant species (eg, nitrogen tri-fluoride and other precursors to be described below).

도 2는 특정 실시예에 따라, 고 종횡비 특징부를 텅스텐-함유 물질로 충전하는 방법을 나타내는 일반적인 공정 순서도를 도시한다. 공정(200)은, 공정 챈버 내부의 증착 스테이션 상에 고 종횡비 특징부를 포함하는 기판을 위치시키는 단계(201)에 의해 시작된다. 상기 기판은 언더-층(under-layer), 가령, 확산 장벽 층 및/또는 텅스텐 핵생성 층을 가질 수 있다. 도 1의 맥락에서 특정 기판 및 언더-층의 세부사항이 제공된다. 특정 실시예에서, 특징부 오프닝 근방에서의 언더-층의 평균 두께는 특징부 내부(가령, 특징부 바닥 근방)에서의 언더-층의 평균 두께보다 약 25% 이상이다. 더 일반적으로, 기판은 오버행을 형성한 언더-층을 가질 수 있다. 일부 경우, 이전에 증착된 벌크 텅스텐의 층이 특징부에 제공될 수 있다. 오버행을 갖는 특징부는 충전 동안 보이드를 형성하는 경향이 있다. 2 shows a general process flow diagram illustrating a method of filling high aspect ratio features with tungsten-containing material, in accordance with certain embodiments. Process 200 begins by placing 201 a substrate containing a high aspect ratio feature on a deposition station inside the process chamber. The substrate may have an under-layer, such as a diffusion barrier layer and / or a tungsten nucleation layer. Details of specific substrates and under-layers are provided in the context of FIG. 1. In certain embodiments, the average thickness of the under-layer near the feature opening is about 25% or more than the average thickness of the under-layer inside the feature (eg, near the feature bottom). More generally, the substrate may have an under-layer that forms an overhang. In some cases, a layer of previously deposited bulk tungsten may be provided in the feature. Features with overhangs tend to form voids during charging.

확산 장벽 층이 미리 기판 상에 증착되어서, 특징부를 충전하기 위해 사용되는 물질이, 기판의 주변 물질로 확산되는 것을 방지하는 등각 층(conformal layer)을 형성할 수 있다. 확산 장벽 층을 위한 물질은 텅스텐 니트라이드, 티타늄, 티타늄 니트라이드 및 그 밖의 다른 물질을 포함할 수 있다. 장벽 층은 약 10옹스트롬 내지 500옹스트롬의 두께를 가질 수 있으며, 더 구체적인 실시예에서, 약 25옹스트롬 내지 200옹스트롬의 두께를 가질 수 있다. 특정 실시예에서, 확산 장벽 층이 기판 표면 상에 고르지 않게 분산되어, 오버행을 형성할 수 있다. A diffusion barrier layer can be deposited on the substrate in advance, forming a conformal layer that prevents the material used to fill the feature into the surrounding material of the substrate. Materials for the diffusion barrier layer may include tungsten nitride, titanium, titanium nitride and other materials. The barrier layer may have a thickness of about 10 angstroms to 500 angstroms, and in more specific embodiments, may have a thickness of about 25 angstroms to 200 angstroms. In certain embodiments, the diffusion barrier layer may be unevenly distributed on the substrate surface to form an overhang.

핵생성 층은, 뒤 이어 자신 위에 이뤄질 벌크 텅스텐-함유 물질의 증착을 촉진시키는 얇은 등각 층인 것이 일반적이다. 특정 실시예에서, 핵생성 층은 펄스 핵생성 층(PNL: pulsed nucleation layer) 기법을 이용하여 증착된다. PNL 기법에서, 환원제, 퍼지 기체(purge gas) 및 텅스텐-함유 전구체의 펄스가 반응 챔버로 순차적으로 분사되고, 상기 반응 챔버로부터 퍼징된다. 공정은 희망하는 두께가 얻어질 때까지 순환적으로 반복된다. 일반적으로 PNL은 반도체 기판 상에서 반응하기 위한 반응물을 순차적으로 첨가하는 임의의 순환 공정, 가령, 원자 층 증착(ALD) 기법 을 구현한다. 텅스텐 핵생성 층을 증착하기 위한 PNL 기법은 미국 특허 출원 제12/030,645호(출원일: 2008년02월13일), 미국 특허 출원 제11/951,236호(출원일: 2007년12월05일) 및 미국 특허 출원 제12/407,541호(출원일: 2009년03월19일)에 기재되어 있으며, 이들 미국 특허 출원의 내용은 본원에서 참조로서 포함된다. PNL형 공정과 관련된 추가적인 설명이 미국 특허 제6,635,965호, 제6,844,258호, 제7,005,372호, 제7,141,494호 및 미국 특허 출원 제11/265,531호에서 발견될 수 있으며, 이들 미국 특허 및 특허 출원의 내용이 본원에서 참조로서 인용된다. 특정 실시예에서, 핵생성 층은 기판 표면 상에 불균일하게 분포되어, 오버행을 형성할 수 있다. 본원 발명에 따르는 방법은 텅스텐 핵생성 층 증착의 구체적인 방법에 국한되지 않으며, 임의의 방법(가령, PNL, ALD, CVD, PVD 및 그 밖의 다른 임의의 방법)에 의해 형성되는 텅스텐 핵생성 층 상에 벌크 텅스텐 막을 증착하는 것을 포함한다. 덧붙여, 특정 실시예에서, 벌크 텅스텐이 핵생성 층을 이용하지 않고 직접 증착될 수 있다. The nucleation layer is typically a thin conformal layer that facilitates the deposition of the bulk tungsten-containing material which will subsequently be formed on itself. In certain embodiments, the nucleation layer is deposited using a pulsed nucleation layer (PNL) technique. In the PNL technique, pulses of reducing agent, purge gas and tungsten-containing precursors are sequentially injected into the reaction chamber and purged from the reaction chamber. The process is repeated cyclically until the desired thickness is obtained. In general, PNL implements any cyclic process, such as atomic layer deposition (ALD), that sequentially adds reactants to react on a semiconductor substrate. PNL techniques for depositing tungsten nucleation layers are described in US patent application Ser. No. 12 / 030,645 filed Feb. 13, 2008, US patent application Ser. No. 11 / 951,236 filed Dec. 05, 2007. Patent Application 12 / 407,541 filed March 19, 2009, the contents of which are incorporated herein by reference. Additional descriptions relating to PNL type processes can be found in US Pat. Nos. 6,635,965, 6,844,258, 7,005,372, 7,141,494, and US Patent Application Nos. 11 / 265,531, the contents of which are herein incorporated by reference. Cited by reference. In certain embodiments, the nucleation layer may be unevenly distributed on the substrate surface, forming an overhang. The method according to the invention is not limited to the specific method of tungsten nucleation layer deposition, but on the tungsten nucleation layer formed by any method (eg, PNL, ALD, CVD, PVD and any other method). Depositing a bulk tungsten film. In addition, in certain embodiments, bulk tungsten can be deposited directly without using a nucleation layer.

또한, 증착 스테이션이 사용되어, 특정 사전 작업(가령, 확산 장벽 층의 증착, 핵생성 층의 증착) 및/또는 뒤 이은 작업(가령, 에칭, 또 다른 증착, 최종 특징부 충전)을 수행할 수 있다. 특정 실시예에서, 증착 스테이션은 증착 작업(203)을 수행하도록 특정하게 설계될 수 있다. 장치는 작업(203)을 수행하기 위한 추가적인 증착 스테이션을 포함할 수 있다. 예를 들어, 초기 증착이 제 1 증착 스테이션 상에서 수행될 수 있다. 그 후, 기판은 에칭되기 위해 또 다른 스테이션으로 이동될 수 있다. 이하에서 추가로 설명될 특정 실시예에서, 에칭 스테이션은 상이한 챔버에 위치하여, 각각의 작업을 위해 서로 다른 물질과 조건을 이용하는 증착 환경과 에칭 환경 간의 교차-오염을 방지할 수 있다. 그 후, 공정이 또 다른 증착 작업(203)을 필요로 하는 경우, 기판은 다시 제 1 증착 스테이션으로 되돌아 오거나, 또 다른 증착 스테이션으로 이동될 수 있다. 또한 복수의 증착 스테이션이 이용되어, 복수의 기판 상에서 이뤄지는 병렬 증착 작업(203)을 수행할 수 있다. 추가적인 세부사항 및 장치 실시예는 도 4 및 도 5A-B를 참조하여 이하에서 설명된다. In addition, a deposition station may be used to perform certain preliminary operations (eg, deposition of diffusion barrier layers, deposition of nucleation layers) and / or subsequent operations (eg, etching, another deposition, final feature filling). have. In certain embodiments, the deposition station may be specifically designed to perform deposition operation 203. The apparatus may include an additional deposition station for performing task 203. For example, initial deposition can be performed on the first deposition station. The substrate can then be moved to another station to be etched. In certain embodiments, which will be described further below, the etch stations can be located in different chambers to prevent cross-contamination between the deposition environment and the deposition environment using different materials and conditions for each operation. Then, if the process requires another deposition operation 203, the substrate can be returned to the first deposition station or moved to another deposition station. A plurality of deposition stations may also be used to perform parallel deposition operations 203 on a plurality of substrates. Additional details and apparatus embodiments are described below with reference to FIGS. 4 and 5A-B.

공정은 기판 상에 텅스텐-함유 물질을 증착하는 것(단계(203))으로 시작할 수 있다. 특정 실시예에서, 벌크 증착은, 수소에 의해 텅스텐-함유 전구체가 환원되어 텅스텐이 증착될 수 있는 화학 기상 증착(CVD) 공정을 포함한다. 텅스텐 헥사플루오라이드(WF6)가 사용되는 경우, 그 밖의 다른 다른 텅스텐 전구체(제한받지 않은 예를 들자면, 텅스텐 헥사클로라이드(WCl6), 유기 금속 전구체 및 플루오린 없는 전구체(가령, MDNOW(methylcyclopentadienyldicarbonylnitosyl-tungsten) 및 ENNOW(ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten)))에 의해 공정이 수행될 수 있다. 덧붙여, 벌크 텅스텐 층의 CVD 증착 중에, 일반적으로, 수소가 환원제로서 사용되지만, 본 발명의 범위 내에서, 그 밖의 다른 환원제, 가령 실란이, 수소를 대신하여, 또는 수소와 함께, 사용될 수 있다. 또 다른 실시예에서, 텅스텐 헥사카르보닐(W(CO)6)이, 환원제와 함께, 또는 환원제 없이, 사용될 수 있다. 앞서 설명된 PNL 공정과 달리, CVD 기법에서, WF6와 H2 또는 그 밖의 다른 반응제가 반응 챔버로 동시에 도입된다. 이는 혼합 반응물 기체의 연속 화학 반응을 발생시키며, 이러한 연속 화학 반응은 기판 표면 상에 텅스텐 막을 연속적으로 형성한다. 화학 기상 증착(CVD)을 이용하는 텅스텐 막 증착 방법이 미국 특허 출원 제12/202,126호(출원일: 2008년08월29일)에 기재되어 있으며, 상기 미국 특허 출원의 전체 내용은, 본원에서 증착 공정을 설명하기 위한 목적으로 포함된다. 다양한 실시예에 따르면, 본원에서 기재되는 방법은 특징부를 부분적으로 충전하는 구체적 방법에 국한되지 않으며, 임의의 적합한 증착 기법을 포함할 수 있다. The process may begin by depositing a tungsten-containing material on the substrate (step 203). In a particular embodiment, bulk deposition includes a chemical vapor deposition (CVD) process in which tungsten-containing precursors are reduced by hydrogen to deposit tungsten. When tungsten hexafluoride (WF 6 ) is used, other tungsten precursors (such as, but not limited to tungsten hexachloride (WCl 6 ), organometallic precursors and fluorine free precursors (eg, MDNOW (methylcyclopentadienyldicarbonylnitosyl-)) tungsten) and ENNOW (ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten))). In addition, during CVD deposition of the bulk tungsten layer, hydrogen is generally used as the reducing agent, but within the scope of the present invention, other reducing agents, such as silane, may be used in place of or with hydrogen. In another embodiment, tungsten hexacarbonyl (W (CO) 6 ) may be used, with or without a reducing agent. Unlike the PNL process described above, in the CVD technique, WF 6 and H 2 or other reactants are introduced simultaneously into the reaction chamber. This results in a continuous chemical reaction of the mixed reactant gas, which continuously forms a tungsten film on the substrate surface. A tungsten film deposition method using chemical vapor deposition (CVD) is described in US patent application Ser. No. 12 / 202,126 (filed Aug. 29, 2008), which is incorporated herein by reference in its entirety. Included for illustration purposes. According to various embodiments, the methods described herein are not limited to specific methods of partially filling features, and may include any suitable deposition technique.

도 3은 충전 공정의 여러 다른 스테이지에서의 특징부의 단면도의 일례를 도시한다. 특히, 단면도(321)는 초기 증착 작업(203) 중 하나를 완료한 후의 특징부의 일례를 도시한다. 이러한 공정 스테이지에서, 기판(303)은 언더-층(313) 위에 증착되는 텅스텐-함유 물질의 층(323)을 가질 수 있다. 가령, 언더-층(313)의 오버행(315) 및/또는 증착된 층(323)의 열악한 스텝 커버리지 때문에 오프닝 근방의 캐비티의 크기는, 특징부 내부에서의 캐비티의 크기보다 더 좁을 수 있으며, 이러한 것은 도 1과 관련하여 앞서 상세하게 설명하였다. 3 shows an example of a cross-sectional view of features at different stages of the filling process. In particular, cross-sectional view 321 shows an example of a feature after completing one of the initial deposition operations 203. In this process stage, the substrate 303 may have a layer 323 of tungsten-containing material deposited over the under-layer 313. For example, because of the overhang 315 of the under-layer 313 and / or poor step coverage of the deposited layer 323, the size of the cavity near the opening may be narrower than the size of the cavity inside the feature. This has been described in detail above with reference to FIG. 1.

도 2를 다시 참조하여, 증착된 층(가령, 층(323))이 특정 두께에 도달할 때까지, 증착 동작(203)이 진행된다. 이 두께는 캐비티 프로필과 오프닝 크기에 따라 달라질 수 있다. 특정 실시예에서, 오프닝 근방의 증착된 층의 평균 두께는, 임의의 언더-층이 존재하는 경우 이러한 언더-층을 포함하는 특징부 단면 크기의 약 5% 내지 25%일 수 있다. 또 다른 실시예에서, 증착 작업(203) 동안 특징부는 완전히 폐쇄되고, 선택적 제거 작업 동안 추후 재-개방될 수 있다.Referring again to FIG. 2, deposition operation 203 proceeds until the deposited layer (eg, layer 323) reaches a certain thickness. This thickness may vary depending on the cavity profile and opening size. In certain embodiments, the average thickness of the deposited layer near the opening may be about 5% to 25% of the size of the cross section of the feature comprising such under-layer, if any under-layer is present. In another embodiment, features may be fully closed during deposition operation 203 and later re-opened during selective removal operations.

특정 실시예에서, 공정 챔버에 다양한 센서가 구비되어, 인-시추(in-situ) 계측적 측정이 수행되어, 증착 작업(203)과 제거 작업(205)의 범위를 식별할 수 있다. 인-시추 계측의 예로는, 증착된 막의 두께를 판단하기 위한 광학 현미경 및 X선 형광분석기(X-ray Fluorescence)를 포함한다. 덧붙여, 에칭 작업 동안 생성된 텅스텐 플루오라이드(WFX)의 양을 검출하기 위해 적외선(IR) 분광기가 사용될 수 있다. 마지막으로, 언더-층, 가령, 텅스텐 핵생성 층 또는 확산 장벽 층이 에칭-저지 층으로서 사용될 수 있다. In certain embodiments, various sensors may be provided in the process chamber so that in-situ metrological measurements may be performed to identify the extent of deposition operation 203 and removal operation 205. Examples of in-drill metrology include optical microscopy and X-ray fluorescence to determine the thickness of the deposited film. In addition, an infrared (IR) spectrometer can be used to detect the amount of tungsten fluoride (WF X ) generated during the etching operation. Finally, an under-layer, such as a tungsten nucleation layer or a diffusion barrier layer, can be used as the etch-stop layer.

공정은 선택적 제거 작업(205)으로 계속된다. 에칭 공정의 특정 세부사항은 Chandrashekar 외 다수의 미국 특허 출원 제12/535,377호(출원일: 2009년08월04일, 발명의 명칭: METHOD FOR DEPOSITING TUNGSTEN FILM HAVING LOW RESISTIVITY, LOW ROUGHNESS AND HIGH REFLECTIVITY)에 기재되어 있으며, 상기 미국 특허 출원의 내용은 본원에서 참조로서 포함된다. 기판이 증착 스테이션에서 또 다른 스테이션으로 이동될 수 있고, 더 구체적인 실시예에서, 다른 조건에서 동작하는 또 다른 공정 챔버가 동일한 스테이션 상에서의 처리를 계속하거나, 증착 스테이션으로부터 먼저 이동되고(예컨대, 저장을 위해), 증착된 층의 선택적 제거를 위해 증착 스테이션으로 다시 되돌아 올 수 있다. The process continues with selective removal operation 205. Specific details of the etching process are described in Chandrashekar et al., US Patent Application No. 12 / 535,377 filed on Aug. 04, 2009, titled METHOD FOR DEPOSITING TUNGSTEN FILM HAVING LOW RESISTIVITY, LOW ROUGHNESS AND HIGH REFLECTIVITY. The contents of which are incorporated herein by reference. The substrate may be moved from a deposition station to another station, and in a more specific embodiment, another process chamber operating under different conditions may continue processing on the same station, or may be moved first from the deposition station (eg, store Can be returned back to the deposition station for selective removal of the deposited layer.

선택적 제거(즉, 특징부 내부보다 오프닝 근방에서 증착 물질을 더 많이 제거하는 것)를 이루기 위한 한 가지 방법은, 질량 전달 제어 체계에서 작업(205)을 수행하는 것이다. 이 체계에서, 특징부 내부의 제거 속도는 특징부 내부로 확산되는 여러 다른 에칭 물질 성분(가령, 초기 에칭제 물질, 활성화된 에칭제 화학종 및 재결합된 에칭제 화학종)의 양 및/또는 상대적 조성에 의해, 제한된다. 특정 예에서, 에칭 속도는 특징부 내부의 여러 다른 위치에서의 다양한 에칭제 성분의 농도에 따라 달라진다. 본원에서, 용어 “에칭”과 “제거”는 서로 대체 가능하게 사용된다. 선택적 제거는 임의의 제거 기법, 예컨대, 에칭과 그 밖의 다른 기법을 이용하여 수행될 수 있다. One way to achieve selective removal (ie, removing more deposition material near the opening than inside the features) is to perform operation 205 in a mass transfer control scheme. In this scheme, the rate of removal within a feature is determined by the amount and / or relative amount of different etchant material components (eg, initial etchant material, activated etchant species and recombined etchant species) that diffuse into the feature. By composition, it is limited. In certain instances, the etch rate depends on the concentration of various etchant components at different locations within the feature. As used herein, the terms “etching” and “removal” are used interchangeably. Selective removal may be performed using any removal technique, such as etching and other techniques.

질량 전달 제어 조건은, 부분적으로, 전체 에칭제 농도 변화에 의해 특징지워질 수 있다. 특정 실시예에서, 이 농도는 오프닝 근방에서보다 특징부 내부에서 더 낮아서, 특징부 내부에서보다 오프닝 근방에서 더 높은 에칭 속도를 도출한다. 따라서 이는 선택적 제거를 도출한다. 질량 전달 제어 공정 조건은, 일부 에칭제가 특징부 내부로 확산될 때, 이를 소모하기 위해 비교적 높은 에칭 속도를 유지하면서, 에칭제의 제한된 양을 공정 챔버로 공급함으로써(가령, 캐비티 프로파일과 크기에 대해 낮은 에칭제 유량을 이용하여), 얻어질 수 있다. 특정 실시예에서, 비교적 높은 에칭 운동 에너지와 비교적 낮은 에칭 공급량에 의해 발생될 수 있는 농도 구배(concentration gradient)가 상당하다. 특정 실시예에서, 오프닝 근방의 에칭 속도가 질량 제어적일 수 있으며, 이러한 조건은 선택적 제거를 얻기에 필수인 것은 아니다. Mass transfer control conditions can be characterized, in part, by changes in total etchant concentration. In certain embodiments, this concentration is lower inside the feature than in the vicinity of the opening, resulting in a higher etch rate near the opening than inside the feature. Thus, this leads to selective removal. Mass transfer control process conditions can be achieved by supplying a limited amount of etchant into the process chamber (eg, with respect to cavity profile and size) while maintaining a relatively high etch rate to consume some etchant as it diffuses into the features. Using a low etchant flow rate). In certain embodiments, there is a significant concentration gradient that can be generated by relatively high etch kinetic energy and relatively low etch feed rates. In certain embodiments, the etch rate near the opening can be mass controlled, and such conditions are not necessary to obtain selective removal.

높은 종횡비 특징부 내부에 전체 에칭제 농도 변동에 추가로, 선택적 제거는, 특징부 전체에 걸친 여러 다른 에칭제 성분의 상대적 농도에 의해 영향 받을 수 있다. 이들 상대적 농도는, 에칭 화학종의 분리 및 재결합의 상대적 동력에 따라 달라진다. 이하에서 더 설명되겠지만, 초기 에칭제 물질은, 원격 플라스마 발생기를 통해 전달, 및/또는 인-시추 플라스마에 의해 영향 받으며, 활성화된 에칭제 화학종(가령, 플루오린 원소, 라디칼)을 생성할 수 있는 것이 통상적이다. 그러나 활성화된 화학종은 덜 활동적인 재결합된 에칭 화학종(가령, 플루오린 분자)으로 재결합되는 경향, 및/또는 확산 경로를 따라 텅스텐-함유 물질과 반응하는 경향을 가진다. 따라서 증착된 텅스텐-함유 층의 여러 다른 부분이 여러 다른 농도의 여러 다른 에칭제 물질(가령, 초기 에칭제, 활성화된 에칭제 화학종, 재결합된 에칭제 화학종)에 노출될 수 있다. 이는 이하에서 설명될 바와 같이, 선택적 제거를 제어하기 위한 추가적인 기회를 제공한다.In addition to the overall etchant concentration variation inside the high aspect ratio features, selective removal may be affected by the relative concentrations of the different etchant components throughout the feature. These relative concentrations depend on the relative power of separation and recombination of the etch species. As will be described further below, the initial etchant material is affected by delivery through a remote plasma generator, and / or by in-drilling plasma, and can produce activated etchant species (eg, fluorine elements, radicals). It is common to have one. However, activated species tend to recombine with less active recombined etching species (eg, fluorine molecules), and / or react with tungsten-containing materials along the diffusion path. Thus, different portions of the deposited tungsten-containing layer may be exposed to different etchant materials at different concentrations (eg, initial etchant, activated etchant species, recombined etchant species). This provides an additional opportunity to control the selective removal, as described below.

예를 들면, 일반적으로, 활성화된 플루오린 화학종은 초기 에칭 물질 및 재결합된 에칭 물질보다, 텅스텐-함유 물질과 더 잘 반응한다. 덧붙여, 도 7에 잘 나타나 있는 바와 같이, 활성화된 플루오린 화학종은 재결합된 플루오린 화학종보다 온도 변동에 덜 민감한 것이 일반적이다. 따라서 제거가 활성화된 플루오린 화학종에 유력하게 영향을 미치는 방식으로, 공정 조건이 제어될 수 있다. 덧붙여, 화학종 처리 조건은 활성화된 플루오린 화학종이 특징부 내부보다 특징부 오프닝 근방에서 더 높은 농도로 존재하게 할 수 있다. 예를 들어, 일부 활성화된 화학종은, 특징부(특히, 작은 고 종횡비 특징부) 내부로 깊이 확산되면서, 소비(가령, 증착된 물질과 반응 및/또는 표면에 흡수)되거나, 및/또는 재결합될 수 있다. 또한 활성화된 화학종의 재결합은 고 종횡비 특징부 외부에서, 가령, 공정 챔버의 샤워헤드에서 발생할 수도 있으며, 챔버 압력에 따라 달라진다. 따라서 챔버 압력이 특정하게 제어되어, 챔버와 특징부의 다양한 포인트에서, 활성화된 에칭 화학종의 농도를 조정할 수 있다. 지금부터 이들 공정 조건과 그 밖의 다른 공정 조건이 더 자세히 설명될 것이다.For example, generally, activated fluorine species react better with tungsten-containing materials than initial and recombined etching materials. In addition, as shown in FIG. 7, activated fluorine species are generally less sensitive to temperature fluctuations than recombined fluorine species. Thus, process conditions can be controlled in such a way that removal has a strong effect on the activated fluorine species. In addition, species treatment conditions may cause activated fluorine species to be present at higher concentrations near the feature opening than inside the feature. For example, some activated species may be consumed (eg, reacted with and / or absorbed by the deposited material and / or absorbed on the surface) and / or recombined while deeply diffusing into features (especially small high aspect ratio features). Can be. Recombination of activated species may also occur outside the high aspect ratio features, such as in the showerhead of the process chamber, and depends on the chamber pressure. Thus, chamber pressure can be specifically controlled to adjust the concentration of activated etch species at various points in the chamber and features. From now on these process conditions and other process conditions will be explained in more detail.

특정 실시예에서, 선택적 제거 작업(205)은 초기 에칭제 물질을 공정 챔버로 도입하는 과정과, 초기 에칭제 물질을 이용하여, 증착된 층을 선택적으로 제거하는 과정을 포함한다. 에칭제 선택은 증착된 물질에 따라 다르다. 본원은 텅스텐 함유 물질, 가령, 텅스텐 및 텅스텐 니트라이드를 집중적으로 다루지만, 고 종횡비 특징부의 부분적 또는 완전한 충전을 위해 그 밖의 다른 물질도 사용될 수 있음을 이해해야 한다. 이들 물질의 일부 예로는, 티타늄, 티타늄 니트라이드, 탄탈럼, 탄탈럼 니트라이드, 루테늄 및 코발트가 있다. 이들 물질은 물리 기상 증착(PVD), 화학 기상 증착(CVD), 원자층 증착(ALD), 및 그 밖의 다른 증착 기법을 이용하여 증착될 수 있다. 일반적으로, 고 종횡비 특징부 내부에 형성된 임의의 물질, 가령, 확산 장벽 층, 핵생성 층 및/또는 충전 물질을 선택적으로 제거하기 위해 작업(205)이 사용될 수 있다.In certain embodiments, selective removal operation 205 includes introducing an initial etchant material into the process chamber and selectively removing the deposited layer using the initial etchant material. Etchant selection depends on the material deposited. While the present application focuses on tungsten containing materials such as tungsten and tungsten nitride, it should be understood that other materials may also be used for partial or complete filling of high aspect ratio features. Some examples of these materials are titanium, titanium nitride, tantalum, tantalum nitride, ruthenium and cobalt. These materials may be deposited using physical vapor deposition (PVD), chemical vapor deposition (CVD), atomic layer deposition (ALD), and other deposition techniques. In general, operation 205 may be used to selectively remove any material formed within high aspect ratio features, such as diffusion barrier layers, nucleation layers, and / or fill materials.

텅스텐 함유 물질 및 그 밖의 다른 임의의 물질의 선택적 제거를 위해 사용될 수 있는 초기 에칭제 물질의 예로는, 니트로겐 트리-플루오라이드(NF3), 테트라-플루오로-메탄(CF4), 테트라플루오로에틸렌(C2F4), 헥사플루오로에탄(C2F6) 및 옥타플루오로프로판(C3F8), 트리-플루오로-메탄(CHF3), 설퍼 헥사플루오라이드(SF6) 및 분자 플루오린(F2)을 포함한다. 통상적으로, 공정은 활성화된 화학종(가령, 라디칼, 이온 및/또는 고에너지 분자)을 생성하는 과정을 포함한다. 예를 들어, 초기 물질이 원격 플라스마 발생기를 통해 도입되거나, 인-시추 플라스마에 의해 영향 받는다. Examples of initial etchant materials that may be used for the selective removal of tungsten containing materials and any other materials include, but are not limited to, nitrogen tri-fluoride (NF 3 ), tetra-fluoro-methane (CF 4 ), tetrafluoro Roethylene (C 2 F 4 ), hexafluoroethane (C 2 F 6 ) and octafluoropropane (C 3 F 8 ), tri-fluoro-methane (CHF 3 ), sulfur hexafluoride (SF 6 ) And molecular fluorine (F 2 ). Typically, the process involves producing activated species (eg, radicals, ions and / or high energy molecules). For example, the initial material may be introduced via a remote plasma generator or affected by in-situ plasma.

일반적으로, 에칭제의 유량(flow rate)은 챔버의 크기, 에칭 속도, 에칭 균일도 및 그 밖의 다른 매개변수에 따라 달리진다. 통상, 유량은, 특징부 내부에서보다 오프닝 근방에서 더 많은 텅스텐-함유 물질이 제거되도록 하는 방식으로 선택된다. 특정 실시예에서, 이들 유량에 의해, 질량 전달 제어적 선택적 제거가 야기된다. 예를 들어, 스테이션당 195리터 챔버에 대한 유량은 약 25sccm 내지 10,000sccm이거나, 더 구체적인 실시예에서, 약 50sccm 내지 1,000sccm일 수 있다. 특정 실시예에서, 유량은 약 2,000sccm 이하, 구체적으로, 약 1,000sccm 이하, 더 구체적으로 약 500sccm 이하이다. 이들 값은, 300mm 웨이퍼 기판을 처리하도록 설정된 하나의 개별 스테이션에 대해 제공되는 것임을 알아야 한다. 해당업계 종사자라면, 예를 들어 이러한 유량들이 기판 크기에 따라 비율 조정될 수 있고, 장치 내 스테이션의 개수에 따라 비율 조정될 수 있으며(가령, 4-스테이션 장치에 대해 4배), 공정 챔버 부피에 따라, 그리고 그 밖의 다른 요인들에 따라 비율 조정될 수 있음을 알 것이다. In general, the flow rate of the etchant depends on the size of the chamber, the etch rate, the etch uniformity, and other parameters. Typically, the flow rate is chosen in such a way that more tungsten-containing material is removed near the opening than inside the feature. In certain embodiments, these flow rates result in mass transfer controlled selective removal. For example, the flow rate for a 195 liter chamber per station may be between about 25 sccm and 10,000 sccm, or in more specific embodiments, between about 50 sccm and 1,000 sccm. In certain embodiments, the flow rate is about 2,000 sccm or less, specifically about 1,000 sccm or less, more specifically about 500 sccm or less. It should be noted that these values are provided for one individual station set up to process 300 mm wafer substrates. If those skilled in the art, for example, these flow rates can be scaled according to the substrate size, scaled according to the number of stations in the device (eg 4 times for 4-station devices), and depending on the process chamber volume, It will be appreciated that the ratio may be adjusted according to other factors.

특정 실시예에서, 제거 작업(205)이 진행되기 전에, 기판은 가열되거나 냉각될 필요가 있다. 기판을 지정 온도까지로 만들기 위해 다양한 장치, 가령, 스테이션 내 가열(또는 냉각) 소자(가령, 받침대(pedestal)에 설치된 전기 저항 히터, 또는 받침대를 통해 순환되는 열전달 유체), 기판 위에 위치하는 적외선 램프, 플라스마 점화 등이 사용될 수 있다. In certain embodiments, the substrate needs to be heated or cooled before the removal operation 205 can proceed. Various devices to bring the substrate to a specified temperature, such as heating (or cooling) elements in a station (such as an electrical resistance heater installed in a pedestal, or heat transfer fluid circulated through the pedestal), and an infrared lamp located above the substrate. Plasma ignition or the like can be used.

증착된 층과 다양한 에칭제 화학종 간의 화학적 반응을 유도하는 것 뿐 아니라, 상기 화학적 반응의 속도(rate)를 제어하는 방식으로, 기판에 대한 지정 온도가 선택된다. 예를 들어, 특징부 내부보다 오프닝 근방에서 더 많은 물질이 제거되도록, 높은 제거 속도를 갖도록 온도가 선택될 수 있다. 덧붙여, 활성화된 화학종의 재결합(가령, 원자 플루오린을 분자 플루오린으로 재결합)을 제어하도록 및/또는 어느 화학종이 에칭에 유력하게 기여하는지를 제어하도록 온도가 선택될 수 있다. 결국, 기판 온도는, 에칭제의 화학적 조성물, 희망 에칭 속도, 활성화된 화학종의 희망 농도 분포, 여러 다른 화학종에 의한 선택적 제거에 대한 희망 기여도 및 그 밖의 다른 물질 및 공정 매개변수를 기초로, 선택될 수 있다. 특정 실시예에서, 기판은 약 300℃ 이하로, 더 구체적으로 약 250℃ 이하, 또는 약 150℃ 이하, 또는 약 100℃ 이하로 유지된다. 또 다른 실시예에서, 기판은 약 300℃ 내지 450℃로, 더 구체적인 실시예에서, 약 350℃ 내지 400℃로 가열된다. 다른 유형의 에칭제를 위해, 그 밖의 다른 온도 범위가 사용될 수 있다. In addition to inducing a chemical reaction between the deposited layer and various etchant species, the temperature specified for the substrate is chosen in such a way as to control the rate of the chemical reaction. For example, the temperature may be selected to have a high removal rate such that more material is removed near the opening than inside the feature. In addition, the temperature can be selected to control recombination of activated species (eg, recombination of atomic fluorine to molecular fluorine) and / or to control which species contributes strongly to etching. Eventually, the substrate temperature is based on the chemical composition of the etchant, the desired etch rate, the desired concentration distribution of the activated species, the desired contribution to selective removal by the different species, and other materials and process parameters, Can be selected. In certain embodiments, the substrate is maintained at about 300 ° C. or less, more specifically about 250 ° C. or less, or about 150 ° C. or less, or about 100 ° C. or less. In another embodiment, the substrate is heated to about 300 ° C. to 450 ° C., and in more specific embodiments, to about 350 ° C. to 400 ° C. For other types of etchant, other temperature ranges may be used.

활성화된 화학종은, 이들 화학종의 재결합된 것보다 더 빠를 뿐 아니라, 더 바람직한 선택적 제거를 제공한다. 따라서 활성화된 화학종의 상대 농도 및/또는 제거 기여도(removal contribution)를 증가시키기 위한 다양한 접근법이 개발되었다. 예를 들어, 활성화된 플루오린 화학종의 활성화 에너지는, 재결합된 플루오린의 활성화 에너지보다 훨씬 더 작다. 따라서 기판 온도를 낮춤으로써, 활성화된 화학종으로부터 더 높은 제거 기여도를 야기할 수 있다. 특정 온도(그 밖의 다른 공정 조건, 가령, 유량 및 챔버 압력)에서, 활성화된 화학종의 상대적 제거 기여도가 재결합된 화학종의 상대적 제거 기여도를 초과할 수 있다. Activated species are not only faster than recombination of these species, but also provide more desirable selective removal. Thus, various approaches have been developed to increase the relative concentration and / or removal contribution of activated species. For example, the activation energy of the activated fluorine species is much smaller than the activation energy of the recombined fluorine species. Thus, by lowering the substrate temperature, it is possible to cause higher removal contributions from activated species. At certain temperatures (other process conditions such as flow rate and chamber pressure), the relative removal contribution of the activated species may exceed the relative removal contribution of the recombined species.

도 7은 받침대 온도(pedestal temperature)의 함수로서 나타난 2가지 에칭 속도의 도표(활성화된 화학종(라인 702)과 재결합된 화학종(라인(704))이다. 20초 동안 400sccm으로 원격 플라스마 발생기를 통해 공정 챔버로 공급되는 니트로겐 트리-플루오라이드 전구체를 이용하여(라인(702)), 그리고 50초 동안 500sccm으로 공급되는 분자 플루오린 전구체를 이용하여(라인(704)) 에칭 테스트가 모델링되었다. 두 테스트 모두 동안 챔버 압력은 1Torr로 유지되었다. 받침대 온도를 낮춤으로써 재결합된 플루오린 분자에 대응하는 에칭 속도(라인(704))가 실질적으로 감소될 수 있다는 결과를 얻었다. 동시에, 활성화된 화학종에 대응하는 에칭 속도는 비교적 평평하게 유지되었다(라인(702)), 즉, 활성화된 화학종에 대응하는 에칭속도는 라인(704)만큼 받침대 온도에 민감하지 않다. 7 is a plot of two etch rates (activated species (line 702) and species recombined (line 704)) as a function of pedestal temperature. Remote plasma generator at 400 sccm for 20 seconds. The etch test was modeled using a nitrogen tri-fluoride precursor supplied to the process chamber (line 702) and a molecular fluorine precursor supplied at 500 sccm for 50 seconds (line 704). The chamber pressure was maintained at 1 Torr during both tests, resulting in that the etch rate (line 704) corresponding to the recombined fluorine molecules can be substantially reduced by lowering the pedestal temperature. The etch rate corresponding to was maintained relatively flat (line 702), ie, the etch rate corresponding to the activated species is not as sensitive to pedestal temperature as line 704.

특정 실시예에서, 접촉 기판 표면으로부터, 재결합된 화학종을 제거하거나 심지어 실질적으로 최소화(가령, 활성화된 화학종의 재결합을 최소화)시키는 것이 어려울 수 있다. 예를 들어, 장치는 샤워헤드를 포함하며(도 4를 참조하여 추후 상세히 설명), 상기 샤워헤드는 (가령, 원격 플라스마 발생기로부터 샤워헤드를 통해 흐르는) 이전에 활성화된 에칭제 화학종의 실질적 재결합을 야기한다. 이는, 예를 들어, 샤워헤드의 폐쇄된 공간 내 오랜 체류 시간과 높은 표면-대-부피 비 때문일 수 있다. 시스템에서 재결합이 여전히 존재하지만, 부분 제거의 재결합된 화학종의 영향이 이러한 작업 동안 기판 온도에 의해 낮아질 수 있다. 원자 플루오린은 분자 플루오린보다 훨씬 더 낮은 활성화 에너지를 가진다(0.33eV 대 0.55eV). 이러한 관계는 다른 활성화된 화학종 및 재결합된 화학종에 대해서도 일반적이다. 따라서 에칭 동작 동안 온도를 낮춤으로써, 재결합된 화학종의 에칭 기여도가 감소될 수 있다. In certain embodiments, it may be difficult to remove or even substantially minimize recombined species (eg, minimize recombination of activated species) from the contact substrate surface. For example, the apparatus includes a showerhead (described in detail later with reference to FIG. 4), wherein the showerhead substantially recombines previously activated etchant species (eg, flowing through the showerhead from a remote plasma generator). Cause. This may be due, for example, to long residence times and high surface-to-volume ratios in the closed space of the showerhead. Although recombination is still present in the system, the effect of the recombined species of partial removal can be lowered by the substrate temperature during this operation. Atomic fluorine has a much lower activation energy than molecular fluorine (0.33 eV versus 0.55 eV). This relationship is also common for other activated and recombined species. Thus, by lowering the temperature during the etching operation, the etch contribution of the recombined species can be reduced.

활성화된 화학종의 재결합에 영향을 미칠 수 있는 또 다른 공정 매개변수로는 챔버 내부 압력, 더 구체적으로, 챔버 내에 존재할 수 있는 여러 다른 물질(가령, 초기 에칭제 물질, 활성화된 화학종, 재결합된 화학종, 캐리어 기체, 반응 산물 등)의 부분압력이 있다. 더 높은 전압력(가령, 약 10Torr 이상의 전압력)이 활성화된 에칭제 화학종의 더 짧은 평균 자유 행로(mean free path)에 대응하는 것이 일반적이며, 이는, 화학종들 간 더 많은 충돌을 야기하고, 따라서 더 높은 재결합율을 도출한다. 덧붙여, 저압력 레벨에서, 텅스텐 표면 또는 이와 유사한 그 밖의 다른 표면으로의 일부 재결합된 화학종(가령, 분자 플루오린)의 점착 계수(sticking probability)가, 활성화된 화학종(가령, 원자 플루오린)의 점착 계수보다 낮음이 발견됐다. 낮은 점착 계수가 스텝 커버리지를 개선하는 경향이 있다. Another process parameter that may affect the recombination of activated species is the pressure inside the chamber, more specifically, several other materials that may be present in the chamber (eg, initial etchant material, activated species, recombined). Chemical species, carrier gas, reaction product, etc.). It is common for higher voltage forces (eg, about 10 Torr or higher) to correspond to shorter mean free paths of activated etchant species, which leads to more collisions between species Leads to higher recombination rates. In addition, at low pressure levels, the sticking probability of some recombined species (eg, molecular fluorine) to the tungsten surface or other similar surface may be reduced to the activated species (eg, atomic fluorine). It was found to be lower than the adhesion coefficient of. Low adhesion coefficients tend to improve step coverage.

도 8은 20초 동안 400sccm으로, 공정 챔버로 공급되는 니트로겐 트리-플루오라이드 전구체에 대한 챔버 압력의 함수로서의 에칭 속도의 도표이다. 기판은 이러한 실험 동안 300℃로 유지되었다. 결과는 01Torr 내지 5Torr에서 압력의 상승이 에칭 속도를 낮춤을 보여준다. 어떠한 특정 이론에도 구애받지 않고, 이러한 레벨에서 압력이 높을수록, 활성화된 화학종이, 더 낮은 반응성을 가져서 더 낮은 에칭 속도를 갖는 재결합된 화학종으로 더 빨리 재결합한다. 이러한 재결합 및 낮은 에칭 반응성은, 높은 전체 에칭 농도에 의해 야기되는 임의의 증가를 실제로 상쇄한다. 압력이 5Torr 이상 증가되면, 더 높은 농도의 에칭 물질이 에칭 속도의 적합한 증가를 야기한다. 이러한 압력 레벨에서 재결합된 화학종에 의해 주로, 제거가 제어된다. 따라서 활성화된 화학종으로부터 더 높은 기여도를 갖기 위해, 공정 챔버는 더 낮은 전체 압력 값으로 유지할 필요가 있다. 특정 실시예에서, 공정 챔버가 약 5Torr 이하로 유지되며, 더 구체적으로, 약 2Torr 이하로, 더 구체적으로는, 약 1 Torr 이하 또는 약 0.1Torr 이하로 유지된다. 8 is a plot of etch rate as a function of chamber pressure for the nitrogen tri-fluoride precursor fed to the process chamber at 400 sccm for 20 seconds. The substrate was kept at 300 ° C. during this experiment. The results show that an increase in pressure from 01 Torr to 5 Torr lowers the etch rate. Regardless of any particular theory, the higher the pressure at this level, the faster the activated species recombines into the recombined species that have a lower reactivity and have a lower etch rate. This recombination and low etch reactivity actually offset any increase caused by the high overall etch concentration. If the pressure is increased by more than 5 Torr, higher concentrations of etching material cause a moderate increase in etch rate. Removal is mainly controlled by the species recombined at this pressure level. Thus, in order to have a higher contribution from the activated species, the process chamber needs to be maintained at a lower overall pressure value. In certain embodiments, the process chamber is maintained at about 5 Torr or less, more specifically at about 2 Torr or less, and more specifically at about 1 Torr or less or about 0.1 Torr or less.

도 2를 다시 참조하면, 선택적 제거 작업(205)의 결과로서, 오프닝 근방에서의 증착된 층의 평균 두께는 특징부 내부에 증착된 층의 평균 두께보다 클 수 있다. 특정 실시예에서, 오프닝 근방의 감소는, 특징부 내부의 감소보다 약 10% 이상, 더 구체적인 실시예에서, 약 25% 이상이다. 일반적으로 제거 작업(205)이 기판 또는 임의의 언더-층(존재하는 경우)이 에칭제에 노출되는 포인트까지 수행될 수 있다. 나머지 층은 스텝 커버리지에 의해 특징지워질 수 있다. 특징 실시예에서, 에칭된 층의 스텝 커버리지는 약 75% 이상, 더 구체적으로, 약 100% 이상, 또는 약 125% 이상, 더 더욱 구체적으로는 약 150% 이상이다. Referring again to FIG. 2, as a result of the selective removal operation 205, the average thickness of the deposited layer near the opening may be greater than the average thickness of the layer deposited inside the feature. In certain embodiments, the reduction near the opening is at least about 10%, and in more specific embodiments at least about 25%, than the reduction within the features. Generally, removal operation 205 may be performed up to the point where the substrate or any under-layer (if present) is exposed to the etchant. The remaining layers can be characterized by step coverage. In a feature embodiment, the step coverage of the etched layer is at least about 75%, more specifically at least about 100%, or at least about 125%, even more specifically at least about 150%.

특정 실시예에서, 패시베이션된 표면이 형성되도록 제거 작업이 수행된다. 이 표면은 다음 번 증착 사이클에서 텅스텐-함유 물질이 증착되는 것을 막는다. 패시베이션된 표면을 형성하는 것이 도 2를 참조하여 기재되지만, 이렇게 한정되는 것은 아니며, 에칭 공정을 적정하게 이용함으로써, 임의의 텅스텐 증착 공정에서 수행될 수 있다. 패시베이션 및 이에 따른 일련의 텅스텐 증착은, 본원에서 기재된 바와 같이 에칭 조건을 적정하게 튜닝함으로써, 특징부 깊이 또는 증착 표면의 그 밖의 다른 기하학적 영역에 대해 선택적 또는 비-선택적일 수 있다.In certain embodiments, the removal operation is performed to form a passivated surface. This surface prevents the tungsten-containing material from being deposited in the next deposition cycle. Forming a passivated surface is described with reference to FIG. 2, but is not so limited, and may be performed in any tungsten deposition process by appropriately using an etching process. Passivation and thus a series of tungsten depositions can be selective or non-selective for feature depth or other geometric regions of the deposition surface by appropriately tuning the etching conditions as described herein.

도 2를 다시 참조하면, 특정 실시예에서, 선택적 제거 작업(205)이 특정 공정 조건에서 수행되어, 잔여 층(remaining layer)이라고 지칭될 수 있는 패시베이션된 표면을 갖는 층이 형성되게 한다. 특정 실시예에서, 패시베이션은 고 종횡비 특징부의 깊이를 따라 차이가 있는데, 이는, 앞서 언급된 바와 같이 이러한 깊이를 따라 달라지는 다른 에칭 조건(가령, 활성화된 화학종의 농도) 때문이다. 예를 들어, 이러한 작업 동안의 공정 조건은 특히, 특징부 내부보다 특징부의 오프닝 근방에 더 많은 패시베이션이 있도록, 튜닝될 수 있다. 일반적으로, 이들 조건은 낮은 압력(가령, 8Torr 이하, 심지어 5Torr 이하)과 연장된 에칭 시간(가령, 통상 30-나노미터 특징부에 대해 1초 이상, 심지어 5초 이상)에 대응한다. 이러한 현상은 도 9를 참조하여 이하에서 더 상세히 설명될 것이다. Referring again to FIG. 2, in certain embodiments, a selective removal operation 205 is performed at certain process conditions to form a layer having a passivated surface, which may be referred to as a remaining layer. In certain embodiments, passivation differs along the depth of the high aspect ratio features because of other etching conditions (eg, concentration of activated species) that vary along this depth, as mentioned above. For example, the process conditions during this operation can be tuned such that there is more passivation in particular near the opening of the feature than inside the feature. In general, these conditions correspond to low pressures (eg, 8 Torr or less, even 5 Torr or less) and extended etching times (eg, usually 1 second or more, even 5 seconds or more for 30-nanometer features). This phenomenon will be explained in more detail below with reference to FIG.

도 9는 서로 다른 에칭 조건을 이용하여 처리되는 5개의 웨이퍼 세트에 대한, 제 2 증착 사이클 증착 두께를 시간의 함수로서 나타낸 도표이다. 이 도표는 이들 에칭 조건에 의해 야기되는 여러 다른 패시베이션 레벨이 증착 속도에 미치는 영향을 도시한다. 이 실험에서, 5개의 웨이퍼 세트의 표면에 초기 텅스텐 층이 증착된다. 모두 5개의 세트에 대해 동일한 증착 조건이 사용되었다. 그 후, 서로 다른 에칭 조건을 이용하여 각각의 웨이퍼 세트가 처리되었다. 도 9의 라인(902)에 대응하는 제 1 웨이퍼 세트(도표에서 숫자 133, 354 및 545로 식별되는 상부 실선)는 전혀 에칭되지 않았다. 즉, 제 1 증착 사이클 후에, 임의의 중간 에칭 사이클을 갖지 않는 제 2 증착 사이클이 뒤 따른다. 라인(904)에 대응하는 제 2 웨이퍼 세트(숫자 526에 의해 식별되는 중간에 위치하는 점선. 그 밖의 다른 숫자는 다른 2개의 선에 인접해 있기 때문에 보이지 않음)는 7초 동안 18Torr로 에칭되었다. 라인(906)에 대응하는 제 3 웨이퍼 세트(숫자 126, 344 및 517로 식별되는 3개의 라인으로 구성된 상부 그룹의 하부 실선)가 17초 동안 18Torr로 에칭되었다. 라인(908)에 대응하는 제 4 웨이퍼 세트(숫자 54, 99 및 149로 식별됨)가 5초 동안 0.8Torr로 에칭되었다. 마지막으로, 라인(908)에 대응하는 제 5 웨이퍼 세트(숫자 5, 9 및 25에 의해 식별됨)가 10초 동안 0.8Torr로 에칭되었다. 이들 5개의 웨이퍼 세트가 3가지 시간 주기(즉, 5초, 15초 및 25초) 동안 동일한 증착 조건 하에서, 추가적인 텅스텐 층을 형성하였다. 이들 추가적인 텅스텐 층의 최종 두께는 도 9에 제공된다. 9 is a plot showing the second deposition cycle deposition thickness as a function of time for five wafer sets processed using different etching conditions. This diagram shows the effect of the different passivation levels caused by these etching conditions on the deposition rate. In this experiment, an initial tungsten layer is deposited on the surface of five wafer sets. The same deposition conditions were used for all five sets. Thereafter, each wafer set was processed using different etching conditions. The first wafer set (upper solid line, identified by numerals 133, 354, and 545 in the diagram) corresponding to line 902 in FIG. 9 was not etched at all. That is, after the first deposition cycle, a second deposition cycle is followed which does not have any intermediate etching cycles. The second set of wafers corresponding to line 904 (the dotted line located in the middle identified by number 526. Other numbers are not visible because they are adjacent to the other two lines) were etched at 18 Torr for 7 seconds. The third set of wafers corresponding to line 906 (the lower solid line of the upper group consisting of three lines identified by numbers 126, 344 and 517) were etched at 18 Torr for 17 seconds. The fourth set of wafers (identified by numbers 54, 99 and 149) corresponding to line 908 were etched at 0.8 Torr for 5 seconds. Finally, a fifth set of wafers (identified by numbers 5, 9 and 25) corresponding to line 908 was etched at 0.8 Torr for 10 seconds. These five wafer sets formed additional tungsten layers under the same deposition conditions for three time periods (ie, 5 seconds, 15 seconds and 25 seconds). The final thickness of these additional tungsten layers is provided in FIG. 9.

도 9는 처음 3개의 웨이퍼 세트(즉, 에칭되지 않거나, 18Torr에서 에칭되는 웨이퍼들)를 도시하며, 이들은 나머지 2개의 웨이퍼 세트(즉, 0.8Torr로 에칭되는 웨이퍼들)보다, 훨씬 더 두꺼운 제 2 증착 사이클에서 증착되는 추가 텅스텐 층을 가진다. 도 8을 참조하여 앞서 설명된 바와 같이, 압력 레벨이 더 높을수록, 에칭 동안 활성화된 에칭 화학종의 재결합(가령, 원자 플루오린을 분자 플루오린으로 재결합)을 야기하고, 어느 정도까지는, 화학적 반응을 야기할 수 있다. 에칭 동안 서로 다른 압력 레벨에서 처리되는 최종 에칭된 층은, 자신들의 노출된 표면에서, 서로 다른 특성, 가령 화학적 조성 및/또는 물리적 구조를 가질 수 있다. 이는, 차례로, 나중에 증착된 텅스텐 층의 증착에 영향을 미친다(도 9 참조). 특히, 도 9는 낮은 압력일수록, 그리고 더 긴 시간일수록, 적어도 다음 번 층의 증착을 막는 더 많은 패시베이션 잔여 층을 야기함을 보여준다. 동시에, 도 8에서 나타나는 바와 같이, 압력 레벨이 앉을수록, 더 공격적인 에칭이 도출된다. 압력과 에칭 지속시간의 조합이 주의 깊게 제어되어, 초기 증착된 층이 완전히 제거되는 것과 그 아래 위치하는 확산 장벽 층이 손상되는 것이 방지될 수 있다. 9 shows the first three wafer sets (ie, wafers that are not etched or etched at 18 Torr), and they are a much thicker second than the other two wafer sets (ie wafers etched at 0.8 Torr). It has an additional tungsten layer that is deposited in the deposition cycle. As described above with reference to FIG. 8, higher pressure levels result in recombination of activated etching species (eg, recombination of atomic fluorine into molecular fluorine) during etching and, to some extent, chemical reaction May cause. The final etched layers processed at different pressure levels during etching may have different properties, such as chemical composition and / or physical structure, on their exposed surfaces. This, in turn, affects the deposition of the later deposited tungsten layer (see FIG. 9). In particular, FIG. 9 shows that the lower the pressure and the longer the time, the more passivation residual layers that prevent deposition of at least the next layer. At the same time, as shown in Figure 8, the more the pressure level sits, the more aggressive the etch results. The combination of pressure and etch duration can be carefully controlled to prevent the initial deposited layer from being completely removed and damaging the diffusion barrier layer located below it.

특징부 오프닝 근방에서 약간의 패시베이션이 바람직하지만, 특징부 내부의 패시베이션은 덜 바람직하며, 특정 실시예에서는 피해져야 한다. 특정 공정 조건에서, 잔여 층은 특징부 내부에서보다 오프닝 근방에서 더 패시베이션화되는 방식으로, 에칭 동안 고 종횡비 특징부가 차등적으로 패시베이션화됨을 알았다. 어떠한 특정 이론에도 구애받지 않고, 낮은 압력 레벨에서의 에칭이, 특징부 내부보다 특징부 오프닝 근방에서 더 높은 농도의 활성화된 에칭제 화학종이 존재하는 고 종횡비 특징부 내 질량 전달 제어 상태를 야기할 수 있다. 오프닝 근방의 층을 에칭하는 동안, 일부 활성화된 화학종은 재결합되고 특징부 내부로 확산되는 동안, 그 밖의 다른 일부 활성화된 에칭제 화학종이 소비된다. Some passivation is desirable near the feature opening, but passivation inside the feature is less desirable and should be avoided in certain embodiments. In certain process conditions, it was found that the high aspect ratio features are differentially passivated during etching in such a way that the remaining layer is more passivated near the opening than inside the features. Regardless of any particular theory, etching at low pressure levels can result in mass transfer control states in high aspect ratio features in which there is a higher concentration of activated etchant species near the feature opening than inside the feature. have. While etching the layer near the opening, some other activated etchant species are consumed while some activated species recombine and diffuse into the features.

특징부 오프닝 근방의 과도한 패시베이션을 방지하고, 추후 작업을 위해 특징부를 완전히 충전하고 폐쇄하도록 충분한 증착을 가능하게 하기 위해, 특징부의 오프닝 근방의 패시베이션도 주의 깊게 제어되어야 한다. 이에 대한 것이 도 10 및 11에 반영되어 있다. 특히, 도 10은 초기 텅스텐 증착 및 이에 뒤 따르는 3-초 에칭, 그리고 추가적인 텅스텐 증착 후의 30-나노미터 특징부의 단면 스캐닝 전자 현미경(SEM: Scnning Electron Microscopy) 이미지를 도시한다. 이러한 특징부의 하부 영역은 완전히 충전되었지만, 상부 영역은 미충전 상태로 남겨져 있다. 서로 다른 패시베이션에 의해 초래되는 점진 바텀-업 충전(gradual bottom-up fill)이 특징부의 너무 이른 폐쇄 및 심(seam) 형성을 방지하지만, 도 10에서 나타나는 것과 같이 미충전 특징부에 과도한 패시베이션이 초래될 수 있으며, 이는 바람직하지 않거나 허용되지 않을 수 있다. 도 11은 동일하게 초기 텅스텐 증착, 1-초 에칭, 동일한 추가 텅스텐 증착 후의 또 다른 30-나노미터 특징부의 단면 SEM 이미지를 도시한다. 이러한 특징부의 상부 부분은 완전히 충전되었다. 일부 경우, 특징부 오프닝 근방에 있는 일부 패시베이션이 바람직할 수 있어도, 오버-패시베이션(over-passivation)은 피해진다. Passivation near the opening of the feature must also be carefully controlled to prevent excessive passivation near the feature opening and to allow sufficient deposition to fully fill and close the feature for later work. This is reflected in FIGS. 10 and 11. In particular, FIG. 10 shows a Scnning Electron Microscopy (SEM) image of a 30-nanometer feature after initial tungsten deposition followed by a 3-second etch and further tungsten deposition. The lower region of this feature is fully charged but the upper region is left unfilled. A gradual bottom-up fill caused by different passivation prevents premature closure and seam formation of the features, but results in excessive passivation on the unfilled features as shown in FIG. This may be undesirable or may not be acceptable. FIG. 11 likewise shows a cross-sectional SEM image of another 30-nanometer feature after initial tungsten deposition, one-second etching, and the same additional tungsten deposition. The upper part of this feature is fully filled. In some cases, over-passivation is avoided, although some passivation near the feature opening may be desirable.

이를 고려하여, 공정 조건이 특정하게 튜닝되어, 원하는 공정 결과(가령, 실질적으로 보이드가 없는 방식으로, 고 종횡비 특징부를 완전히 충전하는 것)를 얻을 수 있다. 이들 공정 조건들 중 일부는 5Torr 이하, 또는 2Torr 이하, 또는 심지어 1Torr 이하의 압력에서 제거 작업을 수행하는 것을 포함한다. 일부 실시예에서, 압력은 약 0.1Torr 내지 5Torr, 더 구체적으로, 약 0.5Torr 내지 3Torr로 유지된다. 에칭 작업의 지속시간은 일반적으로, 초기 층의 두께에 따라 달리지고, 상기 초기 층의 두께는 특징부 크기의 약 절반 이하로 유지하여 특징부의 폐쇄를 방지하는 것이 일반적이다. 예를 들어, 30-나노미터 특징부를 포함하는 기판 표면 위에 증착되는 초기 층은 15나노미터 이하인 것이 일반적이다. 이러한 층은, 아래 위치하는 층을 손상하지 않으면서, 약 1초 상기 동안, 더 구체적으로 약 3초 이상 동안, 심지어 약 5초 이상 동안 에칭될 수 있다. 특정 실시예에서, 에칭 작업의 지속시간은 약 1 내지 약 10초, 더 구체적으로는 약 3 내지 약 5초이다. 잔여 층과 특징부 크기를 참조하여, 에칭 조건이 또한 기재될 수 있다. 특정 실시예에서, 잔여 층은 특징부 오프닝의 10% 이하의 두께를 가진다. In view of this, the process conditions can be specifically tuned to obtain the desired process result (eg, full filling of the high aspect ratio features in a substantially void free manner). Some of these process conditions include performing the removal operation at a pressure of 5 Torr or less, or 2 Torr or less, or even 1 Torr or less. In some embodiments, the pressure is maintained at about 0.1 Torr to 5 Torr, more specifically about 0.5 Torr to 3 Torr. The duration of the etch operation generally depends on the thickness of the initial layer, and it is common to keep the thickness of the initial layer below about half the size of the feature to prevent closure of the feature. For example, the initial layer deposited over a substrate surface including 30-nanometer features is typically no greater than 15 nanometers. Such a layer can be etched for about 1 second above, more specifically for at least about 3 seconds, even for at least about 5 seconds, without damaging the underlying layer. In certain embodiments, the duration of the etching operation is about 1 to about 10 seconds, more specifically about 3 to about 5 seconds. Referring to the remaining layer and feature size, etching conditions may also be described. In certain embodiments, the remaining layer has a thickness of 10% or less of the feature opening.

특정 실시예에서, 기판은 증착 동작(203) 동안 폐쇄되고, 선택적 제거 동작(205) 동안 폐쇄 상태를 유지하는 하나 이상의 특징부를 포함할 수 있다. 예를 들어, 기판은 작은 크기 특징부, 중간 크기 특징부 및 큰 크기의 특징부를 포함할 수 있다. 일부 작은 크기 특징부는 최초 증착 작업 동안 폐쇄될 수 있고, 다시 개방되지 않을 수 있다. 중간 크기 특징부는 후기 사이클 동안 폐쇄되고, 다른 더 큰 크기 특징부가 충전되는 동안 폐쇄 상태를 유지할 수 있다. 일부 실시예에서, 특징부는 기판의 여러 가지 수직 레벨에, 가령, 듀얼-다마신 배열로 위치할 수 있다. 낮은 레벨 상의 특징부가 높은 레벨 상의 특징부보다 더 빨리 폐쇄될 수 있다. In certain embodiments, the substrate may include one or more features that are closed during deposition operation 203 and remain closed during selective removal operation 205. For example, the substrate can include small size features, medium size features, and large size features. Some small size features may be closed during the initial deposition operation and may not open again. The mid-size features may be closed during later cycles and remain closed while other larger size features are being charged. In some embodiments, features may be located at various vertical levels of the substrate, such as in a dual-damascene arrangement. Features on the low level may close faster than features on the high level.

특정 실시예에서, 증착 작업(203)은 특징부를 단지 일시적으로 폐쇄할 수 있다. 최종 충전 작업 동안 특징부를 폐쇄하는 것(가령, 이하에서 설명될 작업(213))과 달리, 또는 여러 다른 크기 및 여러 다른 수직 위치의 복수의 특징부를 갖는 경우와 달리, 이러한 일시적 폐쇄 동안의 심은. 여전히 허용되기엔 너무 크거나, 필드 영역에 너무 가까이서 시작될 수 있다. 이러한 실시예에서, 작업(205)의 제 1 파트가 특징부를 재-개방하도록 사용되고, 그 후, 작업(205)의 다음 파트가 증착된 물질을 선택적으로 제거하도록 사용되도록, 선택적 제거 작업(205)이 설계될 수 있다. 이러한 2가지 파트에서 공정 조건은 서로 동일하거나, 서로 상이할 수 있다. 예를 들어, 작업(205)의 제 1 파트 동안 에칭제 유량이 높아지고, 그 후, 특징부가 다시 개방됨에 따라 감소한다. In certain embodiments, deposition operation 203 may only temporarily close features. Unlike closing a feature during a final filling operation (eg, operation 213 to be described below), or unlike having a plurality of features of different sizes and different vertical positions, the shim during this temporary closure. It may still be too large to allow, or start too close to the field area. In this embodiment, selective removal operation 205 such that the first part of operation 205 is used to re-open the feature, and then the next part of operation 205 is used to selectively remove the deposited material. This can be designed. The process conditions in these two parts may be the same or different from each other. For example, the etchant flow rate is increased during the first part of operation 205, and then decreases as features are reopened.

결정 블록(207)에 의해 나타나는 바와 같이, 증착 작업(203)과 선택적 제거 작업(205)을 포함하는 증착-제거 사이클이 1회 이상 반복될 수 있다. 예를 들어, 특히 큰 오버행을 갖는 작은 크기의 특징부의 경우, 1회 사이클 후, 원하는 스텝 커버리지를 얻는 것이 어려울 수 있다. 또 다른 사이클을 진행할지의 여부에 대한 결정(207) 시, 오버행 크기, 특징부 크기, 특징부 종횡비, 특징부 휨 정도(feature bowing), 심 크기, 심 위치 요건을 고려한다. As represented by decision block 207, the deposition-removal cycle, including deposition operation 203 and selective removal operation 205, may be repeated one or more times. For example, especially for small size features with large overhangs, after one cycle, it may be difficult to achieve the desired step coverage. In determining 207 whether to proceed with another cycle, the overhang size, feature size, feature aspect ratio, feature bowing, shim size, shim location requirements are considered.

특정 실시예에서, 다음 번 사이클의 하나 또는 두 작업 모두에 대한 공정 매개변수가 변경될 수 있다(209). 예를 들어, 증착된 층이 여전히 얇은 층이고, 에칭 동안 오염의 위험도가 높기 때문에, 초기 사이클 동안의 순수 증착율(net deposition)이 다음 번 사이클의 것보다 더 클 필요가 있을 수 있다. 동시에, 캐비티는 초기에 더 개방되어 있고 폐쇄의 위험이 낮아진다. 예를 들어, 초기 증착 사이클이 (가령, 더 낮은 온도 및/또는 챔버 압력에 의해 구동되는) 더 느린 증착 속도로 수행되어, 부분 제조된 기판 상에 증착된 텅스텐 함유 물질의 양을 더 잘 제어할 수 있다. 앞서 언급된 바와 같이 더 느린 속도는 더 등각적인(conformal) 증착을 야기할 수 있으며, 이는 특정 특징부 타입(가령, 작고, 고 종횡비의 특징부)에 있어서, 보다 필요한 것일 수 있다. 뒤 이은 증착 사이클이 (가령, 높은 온도 및/또는 높은 챔버 압력에 의해 구동된) 더 빠른 증착 속도로 수행될 수 있는데, 왜냐하면 증착되는 두께가 덜 중요하거나, 및/또는 캐비티가 성급하게 폐쇄될 가능성이 낮아지도록, 이전 증착-에칭 사이클이 캐비티의 프로파일을 이미 수정한 후일 수 있기 때문이다. 다른 실시예에서, 후기 사이클의 증착 동작은 더 느린 증착 속도로 수행될 수 있는데, 왜냐하면, 잔여 캐비티는 더 작고, 성급하게 폐쇄될 가능성이 높기 때문이다. 마찬가지로, 에칭 공정 조건도 사이클별로 수정될 수 있는데, 가령, 증착된 층이 여전히 얇을 때 덜 공격적인 에칭 조건 하에서 수행되고, 결국더 공격적인 에칭 조건 하에서 수행되도록 수정될 수 있다. In certain embodiments, process parameters may be changed 209 for one or both tasks of the next cycle. For example, because the deposited layer is still a thin layer and there is a high risk of contamination during etching, the net deposition during the initial cycle may need to be greater than that of the next cycle. At the same time, the cavity is initially more open and the risk of closure is lower. For example, an initial deposition cycle may be performed at a slower deposition rate (eg, driven by lower temperature and / or chamber pressure) to better control the amount of tungsten containing material deposited on the partially fabricated substrate. Can be. As mentioned above, slower rates can lead to more conformal deposition, which may be more necessary for certain feature types (eg, small, high aspect ratio features). Subsequent deposition cycles can be performed at faster deposition rates (eg, driven by high temperatures and / or high chamber pressures), since the thickness deposited is less important, and / or the cavity is likely to close prematurely. This is because the previous deposition-etch cycle may have been after modifying the profile of the cavity to be lowered. In other embodiments, late cycle deposition operations can be performed at slower deposition rates because the remaining cavities are smaller and more likely to close prematurely. Likewise, the etching process conditions can be modified cycle-by-cycle, for example, to be performed under less aggressive etching conditions when the deposited layer is still thin, and eventually under more aggressive etching conditions.

도 3을 다시 참조하면, 단면도(331)는 선택적 제거 후의 특징부를 도시한다. 따라서 단면도(321 및 331)는 제 1 사이클, 또는 더 일반적으로는 초기 사이클 중 하나를 나타낼 수 있다. 이 사이클 동안 증착된 층(323)은 너무 얇아서, 다양한 심 형성 원인들(가령, 오버행(315))을 완전히 보상, 또는 상쇄시킬 수 없다. 예를 들어, 선택적 제거 작업 후, 단면도(331)에 도시된 캐버티는 특징부 내부에서보다, 오프닝 근방에서 여전히 더 좁다. 특정 실시예에서, 이러한 차이(얼마나 더 좁은지)는, 증착-제거 사이클을 반복하지 않고 공정이 최종 충전 작업으로 진행하기에 충분히 작을 수 있다. Referring again to FIG. 3, cross-sectional view 331 shows features after selective removal. Thus, cross-sectional views 321 and 331 may represent one of a first cycle, or more generally an initial cycle. The layer 323 deposited during this cycle is too thin to fully compensate for or offset various cause of seam formation (eg, overhang 315). For example, after the selective removal operation, the cavity shown in cross-sectional view 331 is still narrower near the opening than inside the feature. In certain embodiments, this difference (how narrower) may be small enough for the process to proceed to the final filling operation without repeating the deposition-removal cycle.

단면도(341 및 351)는 후기 사이클 동안의, 그리고 후기 사이클 후의 기판(303)을 도시한다. 먼저, 단면도(341)는 에칭된 층(333) 위에 형성된 새로 증착된 층(343)을 도시한다. 층(343)의 특징부는 이전 사이클 동안 얻어진 더 낮은 스텝 커버리지를 반영하는 개선된 프로파일을 가질 수 있다. 그러나 캐비티의 프로파일은 최종 충전으로의 진행을 여전히 허용하지 않으며, 이러한 캐비티를 추가로 성형하기 위해 또 다른 에칭 작업이 필요할 수 있다. 단면도(351)는, 충전을 완료하기 위한 최종 증착 저 스테이지에서의 기판(303)을 나타낸다. 상기 캐비티는 캐비티 내부에서보다 오프닝 근방에서 더 넓다. 특정 실시예에서, 새로 증착된 층의 스텝 커버리지는, 초기에 증착된 층의 스텝 커버리지보다 약 10% 이상이고, 더 구체적으로 약 20% 이상, 또는 약 30% 이상이다. Cross-sectional views 341 and 351 show the substrate 303 during and after a later cycle. First, cross-sectional view 341 illustrates a newly deposited layer 343 formed over the etched layer 333. The features of layer 343 may have an improved profile that reflects the lower step coverage obtained during the previous cycle. However, the profile of the cavity still does not allow progress to final filling, and another etching operation may be needed to further mold this cavity. Sectional view 351 shows the substrate 303 at the final deposition low stage to complete the filling. The cavity is wider near the opening than inside the cavity. In certain embodiments, the step coverage of the newly deposited layer is at least about 10%, more specifically at least about 20%, or at least about 30%, than the step coverage of the initially deposited layer.

도 2를 다시 참조하여, 특정 실시예에서, 증착 작업(203)과 선택적 제거 작업(205)이 동시에 수행될 수 있으며, 이들은 단계(204)에서 나타난다. 예를 들어, 전구체와 에칭제가 공정 챔버로 동시에 도입되어, 증착과 에칭 반응이 동시에 발생하도록 할 수 있다. 오프닝 근방에서보다 특징부 내부에서 더 우수한 순수 증착율을 얻기 위해, 적어도 초기에는, 에칭제와 텅스텐-함유 전구체의 유량은, 에칭 반응이 질량 전달 제어이어서 에칭제 농도에 따라 달라지기에 충분하도록 정해진다. 동시에, 증착 반응이 질량 전달 제어가 아니고, 특징부 내부와 오프닝에 거의 동일한 속도로 진행한다. 작업(204) 동안, 에칭제 또는 전구체 유량, 또는 둘 모두가 (가령, 점진적으로(gradually), 또는 단계적으로(stepwise)) 조정될 수 있고, 일부 포인트에서 공정 챔버로의 에칭제의 흐름이 중단될 수 있다. 이 포인트에서, 공정은 최종 충전 작업(213)으로 전환될 수 있다. Referring again to FIG. 2, in certain embodiments, the deposition operation 203 and the optional removal operation 205 may be performed at the same time, which appear at step 204. For example, precursors and etchant may be introduced simultaneously into the process chamber so that deposition and etching reactions occur simultaneously. In order to obtain a better net deposition rate inside the feature than near the opening, at least initially, the flow rate of the etchant and the tungsten-containing precursor is determined such that the etching reaction is mass transfer control and therefore depends on the etchant concentration. . At the same time, the deposition reaction is not mass transfer control, but proceeds at about the same speed inside the feature and at the opening. During operation 204, the etchant or precursor flow rate, or both, may be adjusted (eg, gradually or stepwise), and at some point the flow of etchant to the process chamber may be stopped. Can be. At this point, the process can be transferred to the final filling operation 213.

특징부를 부분적으로 충전하고 특징부 프로파일을 성형하기 위해 하나 이상의 증착-제거 사이클이 수행된 후, 공정은 최종 충전 작업(213)으로 진행할 수 있다. 이 작업은 일부 양태에서 증착 작업(203)과 유사할 수 있다. 주요 차이점은, 작업(213)은 특징부가 완전히 폐쇄될 때까지 진행되며, 특징부를 개방하기 위한 에칭 작업이 뒤 따르지 않는다는 것이다. 다시 도 3을 참조하면, 최종 충전 작업 후의 단면도(361)가 기판(303)의 일례를 나타낸다. 특정 실시예에서, 특징부는 여전히 심(363)(그러나 도 1에 도시된 종래 기술의 충전 특징부에서보다 더 작음)을 갖고, 종래 기술의 충전 특징부에서보다 필드 영역에서 멀리 떨어져 위치하는 기준 포인트를 가진다. 특정 실시예에서, 상기 심(363)은 특징부 깊이에 대해 필드 영역으로부터 약 20% 이상에서 끝난다(즉, DFET에 대한 DREF의 비는 약 20% 이상). After one or more deposition-removal cycles have been performed to partially fill the features and form the feature profiles, the process may proceed to final fill operation 213. This operation may be similar to deposition operation 203 in some aspects. The main difference is that operation 213 proceeds until the feature is fully closed, and is not followed by an etching operation to open the feature. Referring again to FIG. 3, a cross-sectional view 361 after the final filling operation shows an example of the substrate 303. In a particular embodiment, the feature still has a shim 363 (but smaller than in the prior art charging feature shown in FIG. 1), and is a reference point located farther from the field area than in the prior art charging feature. Has In a particular embodiment, the shim 363 ends at about 20% or more from the field region with respect to feature depth (ie, the ratio of D REF to D FET is at least about 20%).

또 다른 실시예에서, 오프닝 근방에서보다 특징부 내부에서 더 많은 텅스텐을 증착함으로써, 특징부가 충전된다. 특징부 내 위치(가령, 오프닝 근방 또는 특징부 내부)에 따라 텅스텐-함유 물질이 표면에 서로 다른 레벨로 증착되는 것을 억제함으로써 차등 증착 속도가 얻어질 수 있다. 특히, 특징부 내부 표면보다 오프닝 근방 표면이 더 억제될 수 있다. 특정 실시예에서, 증착 작업 전에 억제제가 공정 챔버로 도입된다. 에칭의 맥락에서 앞서 설명된 것과 유사한 질량 전달 제어 체계에서 특징부의 노출된 표면이 이러한 억제제로 처리된다. 그러나 에칭 작업과 달리, 억제 동안 표면으로부터 어떠한 물질도 제거되지 않는다(즉, 어떠한 순수 에칭도 없음). 예컨대, 특정 공정 조건에서, 증착된 층의 플루오린-기반 에칭이, 잔여 에칭 층의 표면 상에 잔류물(가령, 특정 텅스텐 플루오라이드를 함유하는 잔류물)의 형성을 초래할 수 있다. 이들 잔류물은 다음 번 증착 작업에서 억제제로서 기능한다. 덧붙여, 특정 공정 조건에서, 증차고딘 층으로부터 어떠한 물질도 순수 제거되지 않고, 증착된 층이 특징부 내부에서보다 오프닝 근방에서 더 일반적인 억제 층을 형성한다. 차등 증착 속도를 이용하여 특징부를 충전하는 것이 앞서 설명된 증착-제거 작업과 함께, 또는 상기 증착-제거 작업을 대신하여 실행될 수 있다.
In yet another embodiment, the feature is filled by depositing more tungsten inside the feature than near the opening. Different deposition rates can be obtained by inhibiting tungsten-containing material from depositing at different levels on the surface depending on the location in the feature (eg near the opening or within the feature). In particular, the near proximal surface can be suppressed more than the feature inner surface. In certain embodiments, an inhibitor is introduced into the process chamber prior to the deposition operation. The exposed surfaces of the features are treated with these inhibitors in a mass transfer control scheme similar to that described previously in the context of etching. However, unlike etching operations, no material is removed from the surface during suppression (ie no pure etching). For example, at certain process conditions, fluorine-based etching of the deposited layer may result in the formation of residues (eg, residues containing certain tungsten fluorides) on the surface of the residual etching layer. These residues function as inhibitors in the next deposition operation. In addition, under certain process conditions, no material is purely removed from the incremental godine layer, and the deposited layer forms a more general suppression layer near the opening than inside the feature. Filling features using differential deposition rates may be performed in conjunction with, or in place of, the deposition-removal operations described above.

장치Device

본 발명의 방법은 다양한 제조업체로부터 이용가능한 다양한 타입의 증착 장치에서 수행될 수 있다. 적합한 장치의 예로는, Novellus System, Inc 사(캘리포니아 산호세 소재)의 Novellus Concept 2 Altus, Concept-2 Altus-S, Concept 3 Altus 증착 시스템, concept 3 Inova 증착 시스템, 또는 그 밖의 다른 임의의 상업적으로 이용가능한 CVD 공정 시스템이 있다. 일부 경우에서, 예를 들어, US 특허 제6977014호(본원에서 참조로서 인용됨)에서 기재된 바와 같이, 공정은 단일 챔버에서 수행될 수 있다. 일부 경우에서는 공정이 다수 증착 스테이션 상에서 순차적으로 수행될 수 있다. US 특허 제6143082호(본원에서 참조로서 인용됨)를 참조하라. 일부 실시예에서, 텅스텐-풍부 장벽 증착 공정은, 단일 증착 챔버 내의 2개의, 또는 4개의, 또는 5개의, 또는 그 이상의 증착 스테이션 중 하나인 첫 번째 스테이션에서, 또는 첫 번째와 두 번째 스테이션에서 수행된다. 첫 번째 스테이션에서, 기판 표면에서 국소화된 대기를 생성하는 개별 기체 공급 시스템을 이용하여 환원 기체와 유기금속 전구체가 반도체 기판의 표면으로 유입될 수 있다. The method of the present invention can be carried out in various types of deposition apparatus available from various manufacturers. Examples of suitable devices include Novellus Concept 2 Altus, Concept-2 Altus-S, Concept 3 Altus Deposition System, Concept 3 Inova Deposition System, or any other commercial use of Novellus System, Inc. of San Jose, CA. There is a possible CVD process system. In some cases, the process may be performed in a single chamber, as described, for example, in US Pat. No. 6,770,714, which is incorporated herein by reference. In some cases the process may be performed sequentially on multiple deposition stations. See US patent 6143082, which is incorporated herein by reference. In some embodiments, the tungsten-rich barrier deposition process is performed at the first station, or at the first and second stations, which are one of two, four, or five, or more deposition stations in a single deposition chamber. do. At the first station, the reducing gas and organometallic precursor can be introduced to the surface of the semiconductor substrate using a separate gas supply system that produces a localized atmosphere at the substrate surface.

도 6은 본 발명의 실시예에 따라, 텅스텐 얇은 필름 증착 공정을 실시하기에 적합한 CVD 공정 시스템의 블록 다이어그램이다. 상기 시스템(600)은 수송 모듈(transfer module, 603)을 포함한다. 상기 수송 모듈(603)은, 처리되는 기판이 다양한 반응기 모듈(reactor module) 사이로 이동됨에 따른 상기 기판의 오염의 위험을 최소화하기 위해, 청정하고, 가압된(pressurized) 환경을 제공한다. 본 발명의 실시예에 따르는 PNL 증착과 CVD를 수행할 수 있는 다중 스테이션 반응기(609)가 상기 수송 모듈(603) 상에 장착된다. 챔버(609)는 자신들의 작업을 순차적으로 수행할 수 있는 다수의 스테이션(611, 613, 615, 617)을 포함할 수 있다. 예를 들어, 스테이션(611)이 PNL 증착을 수행하고, 스테이션(713)이 다중-펄스 환원제 처리를 수행하며, 스테이션(615 및 617)이 CVD를 수행하도록, 챔버(609)가 구성될 수 있다. 6 is a block diagram of a CVD process system suitable for carrying out a tungsten thin film deposition process, in accordance with an embodiment of the present invention. The system 600 includes a transfer module 603. The transport module 603 provides a clean, pressurized environment to minimize the risk of contamination of the substrate as the substrate being processed is moved between various reactor modules. A multi-station reactor 609 capable of performing PNL deposition and CVD according to an embodiment of the present invention is mounted on the transport module 603. Chamber 609 may include a number of stations 611, 613, 615, 617 capable of sequentially performing their tasks. For example, chamber 609 may be configured such that station 611 performs PNL deposition, station 713 performs multi-pulse reducing agent treatment, and stations 615 and 617 perform CVD. .

또한, 플라스마, 또는 화학(비-플라스마) 선-세정(pre-clean)을 수행하기에 적합한 하나 이상의 단일, 또는 다중 스테이션 모듈(607)이 상기 수송 모듈(603) 상에 장착될 수 있다. 또한 상기 모듈은 그 밖의 다른 다양한 처리, 예를 들어, 포스트 라이너 텅스텐 나이트라이드 처리를 위해 사용될 수 있다, 또한 상기 시스템(600)은 웨이퍼가 처리 전, 처리 후에 저장되는 곳인 하나 이상(이 경우에서는 2개)의 웨이퍼 소스 모듈(wafer source module, 601)을 포함한다. 대기중 수송 챔버(619)에서 대기중 로봇(atmospheric robot)(도면상 도시되지 않음)이 먼저 웨이퍼를 소스 모듈(601)로부터 로드락(loadlock, 621)으로 이동시킨다. 수송 모듈(603) 내의 웨이퍼 수송 장치(일반적으로 로봇 아암 유닛)가 웨이퍼를 로드락(621)에서 상기 수송 모듈(603) 상에 장착되어 있는 모듈로, 그리고 상기 장착되어 있는 모듈들 사이에서 이동시킨다.In addition, one or more single or multiple station modules 607 may be mounted on the transport module 603 suitable for performing plasma, or chemical (non-plasma) pre-clean. The module can also be used for a variety of other processing, for example, post liner tungsten nitride processing, and the system 600 can also be used at least one (in this case 2, where wafers are stored before and after processing). Two wafer source modules (601). In an atmospheric transport chamber 619, an atmospheric robot (not shown) first moves the wafer from the source module 601 to a loadlock 621. A wafer transport device (generally a robot arm unit) in the transport module 603 moves the wafer from the load lock 621 to a module mounted on the transport module 603 and between the mounted modules. .

특정 실시예에서, 증착 동안의 공정 상태(process condition)를 제어하기 위해, 시스템 제어기가 사용된다. 통상적으로, 상기 제어기는 하나 이상의 메모리 장치와 하나 이상의 프로세서를 포함할 것이다. 상기 프로세서는 CPU, 또는 컴퓨터, 또는 아날로그/디지털 입력/출력 연결, 또는 스텝퍼 모터 제어기, 보드 등을 포함할 수 있다. In certain embodiments, a system controller is used to control process conditions during deposition. Typically, the controller will include one or more memory devices and one or more processors. The processor may include a CPU or computer, or an analog / digital input / output connection, or a stepper motor controller, board, or the like.

상기 제어기는 증착 장치의 모든 행동을 제어할 수 있다. 상기 시스템 제어기 실행 시스템은 타이밍, 기체의 혼합, 챔버 압력, 챔버 온도, 웨이퍼 온도, RF 전력 레벨, 웨이퍼 척, 페데스털 위치 및 그 밖의 다른 특정 공정의 매개변수를 제어하기 위한 인스트럭션의 세트를 포함하는 소프트웨어를 제어한다. 일부 실시예에서, 상기 제어기와 연계되는 메모리 장치에 저장되는 그 밖의 다른 프로그램이 사용될 수 있다.The controller can control all the actions of the deposition apparatus. The system controller execution system includes a set of instructions for controlling timing, gas mixture, chamber pressure, chamber temperature, wafer temperature, RF power level, wafer chuck, pedestal position and other specific process parameters. To control the software. In some embodiments, other programs stored in a memory device associated with the controller may be used.

통상적으로, 상기 제어기와 연계되는 사용자 인터페이스가 존재할 것이다. 상기 사용자 인터페이스는 장치 및/또는 공정 상태의 디스플레이 스크린, 그래픽적 소프트웨어 디스플레이와, 사용자 입력 장치(가령, 포인팅 장치, 키보드, 터치 스크린, 마이크로폰 등)를 포함할 수 있다.Typically, there will be a user interface associated with the controller. The user interface may include a display screen of the device and / or process status, a graphical software display, and a user input device (eg, pointing device, keyboard, touch screen, microphone, etc.).

프로세스 시퀀스로 증착과 그 밖의 다른 공정을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독가능형 프로그래밍 언어, 가령, C, C++m, 파스칼, 포트란 등으로 쓰여질 수 있다. 프로세서에 의해, 컴파일된 객체 코드, 또는 스크립트가 실행되어, 프로그램에서 식별되는 작업을 수행할 수 있다.Computer program code for controlling deposition and other processes in a process sequence may be written in any conventional computer readable programming language, such as C, C ++ m, Pascal, Fortran, and the like. The processor may execute the compiled object code, or script, to perform the tasks identified in the program.

제어기 매개변수는 공정 상태, 가령, 공정 기체 조성 및 유량, 온도, 압력, 플라스마 상태, RF 전력 레벨, 저주파수 RF 주파수, 냉각 기체 압력, 챔버 벽 온도에 관한 것이다. 이들 매개변수는 사용자에게, 레시피(recipe)의 형태로 제공되며, 사용자 인터페이스를 이용하여 입력될 수 있다. Controller parameters relate to process conditions such as process gas composition and flow rate, temperature, pressure, plasma state, RF power level, low frequency RF frequency, cooling gas pressure, chamber wall temperature. These parameters are provided to the user in the form of a recipe and can be entered using a user interface.

공정을 모니터링하기 위한 신호는 시스템 제어기로의 아날로그 및/또는 디지털 입력 연결에 의해 제공될 수 있다. 공정을 제어하기 위한 신호는 증착 장치의 아날로그 및 디지털 출력 연결에 따른 출력이다. Signals for monitoring the process may be provided by analog and / or digital input connections to the system controller. The signal for controlling the process is the output according to the analog and digital output connections of the deposition apparatus.

시스템 소프트웨어는 다양한 서로 다른 방식으로 설계, 또는 구성될 수 있다. 예를 들어, 다양한 챔버 구성요소의 서브루틴, 또는 제어 객체는, 본 발명의 증착 공정을 실행하기 위한 챔버 구성요소의 작업을 제어하기 위해 쓰여질 수 있다. 이러한 목적을 위한 프로그램, 또는 프로그램의 섹션의 예로는 기판 포지셔닝 코드(substrate positioning code), 공정 기체 제어 코드, 압력 제어 코드, 가열기 제어 코드 및 플라스마 제어 코드가 있다.System software can be designed or configured in a variety of different ways. For example, subroutines, or control objects, of various chamber components can be written to control the operation of the chamber components to perform the deposition process of the present invention. Examples of programs, or sections of programs, for this purpose are substrate positioning code, process gas control code, pressure control code, heater control code, and plasma control code.

기판 포지셔닝 프로그램은 기판을 페데스털, 또는 척으로 로드(load)하고, 상기 기판과 기판의 나머지 부분, 가령 기체 입구 및/또는 표적 사이의 공간을 제어하기 위한 챔버 구성요소를 제어하기 위한 프로그램 코드를 포함할 수 있다. 공정 기체 제어 프로그램은 기체 조성과 유량을 제어하기 위한 코드와, 선택적으로 챔버 내의 압력을 안정화시키기 위해 증착 전에 기체를 챔버로 유입시키기 위한 코드를 포함할 수 있다. 가령, 압력 제어 프로그램은, 챔버의 배기 시스템의 쓰로틀 밸브(throttle valve)를 조정함으로써, 챔버 내의 압력을 제어하기 위한 코드를 포함할 수 있다. 가열기 제어 프로그램은 기판을 가열하기 위해 사용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수 있다. 대안적으로, 상기 가열기 제어 프로그램은 열 전달 기체(heat transfer gas), 가령 헬륨을 웨이퍼 척으로 전달하는 것을 제어할 수 있다.A substrate positioning program is program code for loading a substrate into a pedestal or chuck and controlling chamber components to control the space between the substrate and the rest of the substrate, such as a gas inlet and / or target. It may include. The process gas control program may include code for controlling gas composition and flow rate, and optionally code for introducing gas into the chamber prior to deposition to stabilize the pressure in the chamber. For example, the pressure control program may include code for controlling the pressure in the chamber by adjusting the throttle valve of the chamber's exhaust system. The heater control program may include code for controlling the current to the heating unit used to heat the substrate. Alternatively, the heater control program may control the transfer of a heat transfer gas, such as helium, to the wafer chuck.

증착 동안 모니터링할 수 있는 챔버 센서의 예로는 질량 유량 제어기(mass flow controller), 압력 센서(가령, 검압계) 및 페데스털이나 척에 위치하는 열전대가 있다. 이들 센서로부터의 데이터를 이용하여, 적정하게 프로그래밍된 피드백 및 제어 알고리즘이 사용되어, 요망 공정 상태가 유지될 수 있다. 앞서 단일, 또는 다중 챔버 반도체 공정 툴에서의 본 발명의 실시예의 구현예가 설명되었다.
Examples of chamber sensors that can be monitored during deposition include mass flow controllers, pressure sensors (eg, pressure gauges) and thermocouples located on pedestals or chucks. Using data from these sensors, appropriately programmed feedback and control algorithms can be used to maintain the desired process conditions. Embodiments of embodiments of the present invention in single or multi-chamber semiconductor processing tools have been described above.

실험Experiment

증착된 물질 및 최종 심의 선택적 제거에 대한 여러 다른 공정 조건의 영향을 판단하기 위해, 일련의 실험이 수행되었다. 기판 온도를 증가시키고, 에칭제 유량을 감소시키는 것이 특징부 내부에서 질량 전달 제어(mass transport limited)된 에칭을 야기하고, 이로 인해, 특징부 내부에서보다 오프닝 근방에서 더 많은 물질이 제거될 수 있음을 발견했다.In order to determine the effect of different process conditions on the selective removal of the deposited material and the final shim, a series of experiments were performed. Increasing the substrate temperature and decreasing the etchant flow rate result in mass transport limited etching inside the feature, thereby allowing more material to be removed near the opening than inside the feature. Found.

하나의 실험에서, 서로 다른 에칭 조건 및 스텝 커버리지에 미치는 이들의 영향이 평가되었다. 약 250나노미터의 단면의 오프닝과, 약 10:1의 종횡비를 갖는 특징부를 갖는 기판이 사용되었다. 먼저 아르곤과 주소 분위기에서, 약 395℃의 기판 온도에서, 그리고 텅스텐 플루오라이드(WF6)의 약 200sccm 유량에서, 특징부가 텅스텐으로 부분적으로 충전됐다. 그 후, 몇 개의 기판의 단면이 취해져서, 특징부 내부의 텅스텐 분포가 분석될 수 있었다. 층은, 특징부 오프닝 주변(평균적으로 약 639옹스트롬 두께)에서보다, 특징부 내부에서(평균적으로 약 862옹스트롬 두께) 다소 더 얇았으며, 이는 약 62%의 스텝 커버리지를 도출했다. In one experiment, their effects on different etching conditions and step coverage were evaluated. Substrates were used having openings of about 250 nanometers in cross section and features having aspect ratios of about 10: 1. First, the features were partially filled with tungsten in an argon and address atmosphere, at a substrate temperature of about 395 ° C., and at a flow rate of about 200 sccm of tungsten fluoride (WF6). Thereafter, several substrate cross sections were taken so that the tungsten distribution inside the features could be analyzed. The layer was somewhat thinner inside the feature (on average about 862 angstroms thick) than around the feature opening (on average about 639 angstroms thick), which resulted in about 62% step coverage.

나머지 기판이 2개의 그룹으로 나뉘었다. 제 1 그룹의 기판이, 기준 공정 조건을 이용하여 에칭되었다: 약 8Torr의 챔버압력, 약 350℃의 기판 온도, 약 2,000sccm의 니트로겐 트리-플루오라이드(NF3)의 유량, 약 4초의 에칭 지속시간. 이 그룹의 복수의 기판의 단면이 취해져서, 특징부 내부의 텅스텐 분포를 추가로 분석할 수 있었다. 오프닝 두께(오프닝 근방의 텅스텐 층의 두께)는 평균 약 497 옹스트롬이었으며, 내부 두께는 평균 약 464옹스트롬이었고, 스텝 커버리지는 약 107%였다. The remaining substrates were divided into two groups. The first group of substrates were etched using reference process conditions: a chamber pressure of about 8 Torr, a substrate temperature of about 350 ° C., a flow rate of nitrogen tri-fluoride (NF 3) of about 2,000 sccm, and an etching duration of about 4 seconds. time. Cross sections of a plurality of substrates in this group were taken, and the tungsten distribution inside the features could be further analyzed. The opening thickness (tungsten layer thickness near the opening) averaged about 497 angstroms, the internal thickness averaged about 464 angstroms, and the step coverage was about 107%.

제 2 웨이퍼 그룹은, 다른("개선된") 공정 조건을 이용하여 에칭되었다. 이들 새로운 조건은 특징부를 질량 전달 제어된(mass-transport limited) 방식으로 에칭할 수 있게 한다고 판단되며, 따라서, 스텝 커버리지가 훨씬 더 개선될 수 있다고 판단된다. 기판 온도는 약 395℃까지로 증가되었으며, 에칭제 유량은 약 400sccm까지로 감소되었다. 에칭은 약 12초 동안, 약 2Torr로 유지된 챔버에서 수행되었다. 잔여 에칭된 층은, 오프닝 근방에서보다(평균 약 344옹스트롬 두께), 특징부 내부에서(평균 약 555옹스트롬 두께) 상당히 더 두꺼웠다. 계산된 스텝 커버리지는 약 161%이다. The second wafer group was etched using different (“improved”) process conditions. It is believed that these new conditions enable the etching of features in a mass-transport limited manner, so that step coverage can be improved even further. The substrate temperature was increased to about 395 ° C. and the etchant flow rate was reduced to about 400 sccm. Etching was performed in a chamber maintained at about 2 Torr for about 12 seconds. The remaining etched layer was significantly thicker inside the features (average about 555 angstroms thick) than near the opening (average about 344 angstroms thick). The calculated step coverage is about 161%.

도 6A는 앞서 언급된 실험에서 사용된 것과 유사한 조건에서 특징부(601) 내에 형성된 텅스텐-함유 층(605)을 포함하는 부분 제조된 반도체 기판(603)에서 제공되는 특징부(601)를 개략적으로 도시한다. 도면은 또한 층 두께의 서로 다른 포인트에서의 측정을 도시한다. 도 6B는 2개의 서로 다른 공정 조건에 대한 에칭 전과 후의 앞서 설명된 실험에 대한 텅스텐-함유 층의 두께 분포의 그래프를 도시한다. 이 그래프의 수평 축은 도 6A에 도시된 측정 포인트에 대응한다. 그래프에 제공된 두께 값은 필드 영역에 대한 각각의 값으로 정규화되었다(포인트 1 내지 16). 하부 얇은 선(607)은 어떠한 에칭이 있기 전의 특징부 내부의 두께 분포를 나타낸다. 이 라인은 증착 후에, 층이 오프닝 근방에서보다 특징부 내부에서 다소 더 얇음을 나타낸다. 중간의 두꺼운 라인(609)은 기준 에칭 조건을 이용해 에칭된 기판에 대한 두께 분포를 나타낸다. 이 분포는 라인(607)에 의해 나타난 스텝 커버리지보다 더 큰 스텝 커버리지를 나타낸다. 마지막으로, 상단의 얇은 라인(611)은 "개선된" 조건을 이용하여 에칭된 텅스텐의 분포를 나타낸다. 개선된 스텝 커버리지를 나타낸다. 가장 낮은(가장 깊은) 측정 포인트(포인트 8, 9 및 10으로서, 특징부 바닥으로부터 대략 30-40% 깊이에 위치하는 포인트)에서의 두께는 필드 영역 근방(포인트 1, 2, 15 및 16)에서의 두께보다, 거의 2배 더 크다.
6A schematically illustrates a feature 601 provided in a partially fabricated semiconductor substrate 603 that includes a tungsten-containing layer 605 formed in the feature 601 under conditions similar to those used in the aforementioned experiments. Illustrated. The figure also shows the measurements at different points of layer thickness. 6B shows a graph of the thickness distribution of the tungsten-containing layer for the previously described experiments before and after etching for two different process conditions. The horizontal axis of this graph corresponds to the measurement point shown in FIG. 6A. The thickness values provided in the graph were normalized to the respective values for the field area (points 1 to 16). Lower thin line 607 shows the thickness distribution inside the feature before any etching. This line shows that after deposition, the layer is somewhat thinner inside the feature than near the opening. The middle thick line 609 shows the thickness distribution for the substrate etched using the reference etch conditions. This distribution represents greater step coverage than the step coverage represented by line 607. Finally, the thin line 611 at the top shows the distribution of tungsten etched using the "improved" condition. It shows an improved step coverage. The thickness at the lowest (deepest) measurement point (points 8, 9 and 10, approximately 30-40% from the bottom of the feature) is near the field area (points 1, 2, 15 and 16). It is almost twice larger than the thickness of.

결론conclusion

본원에서 예제 및 실시예들은 설명을 위한 목적으로 기재된 것이며, 이러한 관점에서, 다양한 변형예나 변경예가 해당 업계 종사자에게 자명할 것이다. 다양한 세부사항이 명료성을 위해 생략되었지만, 다양한 설계적 치환예가 구현될 수 있다. 따라서 본 발명의 예제들은 제한이 아니라 설명적 예시로서 여겨질 것이다.
The examples and embodiments herein are described for purposes of illustration, and in this regard, various modifications or changes will be apparent to those skilled in the art. While various details have been omitted for clarity, various design alternatives may be implemented. The examples of the present invention are therefore to be regarded as illustrative in nature and not as restrictive.

Claims (30)

부분 제조된 반도체 기판 상에서 고 종횡비(high aspect ratio)의 특징부를 충전하는 방법에 있어서, 상기 방법은
텅스텐-함유 전구체와 환원제를 공정 챔버로 도입시키는 단계와,
텅스텐-함유 전구체와 환원제 간의 화학 기상 증착 반응을 통해, 텅스텐-함유 물질의 층을 부분 제조된 반도체 기판 상에 증착하는 단계로서, 상기 텅스텐-함유 물질의 층이 고 종횡비의 특징부를 부분적으로 충전하는 특징의, 증착 단계와,
활성화된 에칭 물질을 공정 챔버로 도입시키는 단계와,
잔여 층(remaining layer)을 형성하기 위해, 활성화된 에칭 물질을 이용하여 텅스텐-함유 물질의 층의 일부분을 제거하는 단계와,
텅스텐-함유 전구체와 환원제를 공정 챔버로 다시 도입시키는 단계와,
텅스텐-함유 전구체와 환원제 간의 화학 기상 증착 반응을 통해 부분 제조된 반도체 기판 상에 텅스텐-함유 물질의 추가 층을 선택적으로 증착하는 단계로서, 추가 층은 특징부 오프닝 근방보다 특징부 내부에서 더 두껍도록 하는 특징의, 추가 층 선택적 증착 단계
를 포함하는 것을 특징으로 하는 고 종횡비의 특징부를 충전하는 방법.
A method of filling a high aspect ratio feature on a partially fabricated semiconductor substrate, the method comprising:
Introducing a tungsten-containing precursor and a reducing agent into the process chamber,
Depositing a layer of tungsten-containing material onto a partially fabricated semiconductor substrate through a chemical vapor deposition reaction between the tungsten-containing precursor and the reducing agent, wherein the layer of tungsten-containing material partially fills the high aspect ratio features. Characterized by a deposition step,
Introducing an activated etching material into the process chamber,
Removing a portion of the layer of tungsten-containing material using an activated etching material to form a remaining layer,
Introducing the tungsten-containing precursor and reducing agent back into the process chamber;
Selectively depositing an additional layer of tungsten-containing material on the partially fabricated semiconductor substrate through a chemical vapor deposition reaction between the tungsten-containing precursor and the reducing agent, wherein the additional layer is thicker inside the feature than near the feature opening. Of additional layer selective deposition step
Method for filling a high aspect ratio feature, characterized in that it comprises a.
제 1 항에 있어서, 제거하는 단계 동안 공정 챔버는 5Torr 이하의 압력으로 유지되는 것을 특징으로 하는 고 종횡비의 특징부를 충전하는 방법. The method of claim 1, wherein the process chamber is maintained at a pressure of 5 Torr or less during the removing step. 제 1 항에 있어서, 상기 잔여 층은 선택적으로 패시베이션되어, 잔여 층의 패시베이션이 특징부 내부에서보다 특징부 오프닝 근방에서 더 패시베이션되는 것을 특징으로 하는 고 종횡비의 특징부를 충전하는 방법. The method of claim 1, wherein the residual layer is selectively passivated such that the passivation of the residual layer is more passivated near the feature opening than inside the feature. 제 1 항에 있어서, 잔여 층은 특징부 내부에서보다 특징부 오프닝 근방에서 더 얇은 것을 특징으로 하는 고 종횡비의 특징부를 충전하는 방법. The method of claim 1, wherein the remaining layer is thinner near the feature opening than inside the feature. 제 1 항에 있어서, 제거하는 단계 동안, 특징부 내부에서보다 특징부 오프닝 근방에서 텅스텐-함유 물질이 더 많이 제거되는 것을 특징으로 하는 고 종횡비의 특징부를 충전하는 방법. 2. The method of claim 1, wherein during the removing step, more tungsten-containing material is removed near the feature opening than inside the feature. 제 1 항에 있어서, 상기 추가 층 선택적 증착 단계는, 보이드(void) 없는 방식으로 고 종횡비의 특징부의 하부 절반 이상을 충전하는 단계를 포함하는 것을 특징으로 하는 고 종횡비의 특징부를 충전하는 방법. 2. The method of claim 1, wherein the further layer selective deposition step comprises filling at least a lower half of the high aspect ratio features in a void free manner. 제 1 항에 있어서, 제거하는 단계는 질량 전달 방식(mass transport regime)으로 수행되는 것을 특징으로 하는 고 종횡비의 특징부를 충전하는 방법. The method of claim 1 wherein the step of removing is performed by a mass transport regime. 제 1 항에 있어서, 증착 단계, 제거하는 단계 및 선택적 증착 단계는 상이한 환경 조건으로 유지되는 상이한 공정 챔버에서 수행되는 것을 특징으로 하는 고 종횡비의 특징부를 충전하는 방법. The method of claim 1, wherein the depositing, removing and selective deposition steps are performed in different process chambers maintained at different environmental conditions. 제 1 항에 있어서, 상기 방법은
포토레지스트를 부분 제조된 반도체 기판에 도포하는 단계와,
포토레지스트를 노광시키는 단계와,
포토레지스트를 패터닝하여, 패턴을 형성하고, 상기 패턴을 부분 제조된 반도체 기판에 전사하는 단계
를 더 포함하는 것을 특징으로 하는 고 종횡비의 특징부를 충전하는 방법.
The method of claim 1 wherein the method is
Applying the photoresist to the partially fabricated semiconductor substrate,
Exposing the photoresist,
Patterning the photoresist to form a pattern and transferring the pattern onto the partially fabricated semiconductor substrate
Method for filling a high aspect ratio feature, characterized in that it further comprises.
부분 제조된 반도체 기판 상에 제공되는 고 종횡비의 특징부를 충전하는 방법에 있어서, 상기 방법은
텅스텐-함유 전구체와 환원제를 공정 챔버로 도입시키는 단계와,
텅스텐-함유 전구체와 환원제 간의 화학 기상 증착 반응을 통해, 부분 제조된 반도체 기판 상에 텅스텐-함유 물질의 층을 증착하는 단계로서, 상기 층은 고 종횡비의 특징부를 부분적으로 충전하는 특징의, 증착 단계와,
활성화된 에칭 물질을 공정 챔버로 도입시키는 단계와,
잔여 층(remaining layer)을 형성하기 위해 텅스텐-함유 물질의 층의 일부분을 선택적으로 제거하는 단계로서, 상기 잔여 층은 고 종횡비의 특징부의 깊이 방향을 따라 변하는 패시베이션 레벨을 가지며, 특징부 내부에서보다 특징부 오프닝 근방에서 더 패시베이션되는 특징의, 선택적 제거 단계
를 포함하는 것을 특징으로 하는 고 종횡비의 특징부를 충전하는 방법.
A method of filling a high aspect ratio feature provided on a partially fabricated semiconductor substrate, the method comprising
Introducing a tungsten-containing precursor and a reducing agent into the process chamber,
Depositing a layer of tungsten-containing material on a partially fabricated semiconductor substrate via a chemical vapor deposition reaction between the tungsten-containing precursor and the reducing agent, wherein the layer partially fills high aspect ratio features. Wow,
Introducing an activated etching material into the process chamber,
Selectively removing a portion of the layer of tungsten-containing material to form a remaining layer, wherein the residual layer has a passivation level that varies along the depth direction of the high aspect ratio feature and is more than within a feature. Selective removal step of features that are more passivated near the feature opening
Method for filling a high aspect ratio feature, characterized in that it comprises a.
제 10 항에 있어서, 특정 깊이에서의 잔여 층의 패시베이션 레벨이, 상기 특정 깊이에서의 텅스텐-함유 물질의 층으로부터 제거되는 텅스텐-함유 물질의 양과 상관(correlate)되는 것을 특징으로 하는 고 종횡비의 특징부를 충전하는 방법. 11. The high aspect ratio feature of claim 10, wherein the passivation level of the remaining layer at a particular depth is correlated with the amount of tungsten-containing material removed from the layer of tungsten-containing material at the particular depth. How to charge wealth. 부분 제조된 반도체 기판을 처리하기 위한 방법에 있어서, 상기 방법은
50나노미터 이하 크기와 4 이상의 종횡비를 갖는 고 종횡비의 특징부를 포함하며, 상기 고 종횡비의 특징부 내에 증착되는 보호성 층(protective layer)을 포함하는 부분 제조된 반도체 기판을 공정 챔버로 제공하는 단계와,
텅스텐-함유 전구체와 환원제를 공정 챔버로 도입시키는 단계와,
텅스텐-함유 전구체와 환원제 간의 화학 기상 증착 반응을 통해, 상기 텅스텐-함유 물질의 층은 고 종횡비 특징부의 크기의 절반 이하의 두께를 갖는 텅스텐-함유 물질의 층을 부분 제조된 반도체 기판 상에 증착하는 단계와,
활성화된 에칭 물질을 공정 챔버로 도입시키는 단계와,
특정 시간 동안 5Torr 이하의 압력으로 활성화된 에칭 물질을 이용하여 층의 일부분을 제거하는 단계와,
텅스텐-함유 전구체와 환원제를 공정 챔버로 도입시키는 단계와,
텅스텐-함유 전구체와 환원제 간의 화학 기상 증착 반응을 통해, 부분 제조된 반도체 기판 상에 텅스텐-함유 물질의 추가 층을 선택적으로 증착하는 단계로서, 특징부 내부에서의 내부 증착 속도는 특징부 오프닝 근방의 외부 증착 속도보다 2배 더 높으며, 상기 특징부의 하부 절반 이상을 충전하도록 하는, 선택적 증착 단계
를 포함하는 것을 특징으로 하는 부분 제조된 반도체 기판을 처리하기 위한 방법.
A method for processing a partially fabricated semiconductor substrate, the method comprising
Providing to the process chamber a partially fabricated semiconductor substrate comprising a high aspect ratio feature having a size of less than 50 nanometers and having an aspect ratio of 4 or more, the protective substrate being deposited within the high aspect ratio feature; Wow,
Introducing a tungsten-containing precursor and a reducing agent into the process chamber,
Through chemical vapor deposition reaction between a tungsten-containing precursor and a reducing agent, the layer of tungsten-containing material deposits a layer of tungsten-containing material on a partially fabricated semiconductor substrate having a thickness less than half the size of the high aspect ratio feature. Steps,
Introducing an activated etching material into the process chamber,
Removing a portion of the layer using an etching material activated at a pressure of 5 Torr or less for a certain time;
Introducing a tungsten-containing precursor and a reducing agent into the process chamber,
Selectively depositing an additional layer of tungsten-containing material on the partially fabricated semiconductor substrate through a chemical vapor deposition reaction between the tungsten-containing precursor and the reducing agent, wherein the internal deposition rate within the feature is determined by the proximity of the feature opening. A selective deposition step that is twice as high as an external deposition rate and allows to fill at least the bottom half of the feature
And a method for processing a partially fabricated semiconductor substrate.
제 12 항에 있어서, 상기 특정 시간은 층의 두께에 의해 결정되는 것을 특징으로 하는 부분 제조된 반도체 기판을 처리하기 위한 방법. 13. The method of claim 12, wherein the specific time is determined by the thickness of the layer. 제 12 항에 이어서, 고 종횡비의 특징부의 크기는 30나노미터이고, 고 종횡비의 특징부의 깊이는 250나노미터이며, 제거하는 단계의 지속시간은 1초 내지 10초인 것을 특징으로 하는 부분 제조된 반도체 기판을 처리하기 위한 방법. 13. The partially fabricated semiconductor of claim 12, wherein the feature of the high aspect ratio is 30 nanometers, the depth of the feature of the high aspect ratio is 250 nanometers, and the duration of the removing step is from 1 second to 10 seconds. Method for processing a substrate. 제 12 항에 있어서, 외부 증착 속도는 선택적 증착의 초기 30초 이상동안 분(minute)당 100옹스트롬 이하인 것을 특징으로 하는 부분 제조된 반도체 기판을 처리하기 위한 방법. 13. The method of claim 12, wherein the external deposition rate is less than 100 Angstroms per minute during the initial 30 seconds or more of the selective deposition. 부분 제조된 반도체 기판 상에 고 종횡비의 특징부를 충전하기 위한 반도체 공정 장치에 있어서, 상기 장치는
제 1 공정 챔버와,
제 2 공정 챔버와,
제어기
를 포함하며,
상기 제 1 공정 챔버는, 기판을 포지셔닝하기 위한 하나 이상의 증착 스테이션을 갖고, 상기 제 1 공정 챔버는, 화학 기상 증착 반응을 통해, 부분 제조된 반도체 기판 상에 텅스텐-함유 물질의 층 또는 텅스텐-함유 물질의 추가 층을 증착하도록 구성되며, 상기 하나 이상의 증착 스테이션은 증착 동안 기판의 온도를 제어하기 위한 증착 가열 소자를 포함하며,
상기 제 2 공정 챔버는, 기판을 포지셔닝하기 위한 하나 이상의 에칭 스테이션을 갖고, 상기 제 2 공정 챔버는 층의 일부분을 선택적으로 제거하도록 구성되며, 상기 하나 이상의 에칭 스테이션은 에칭 동안 기판의 온도를 제어하기 위한 에칭 가열 소자를 포함하며,
제어기는,
텅스텐-함유 전구체와 환원제를 제 1 공정 챔버로 도입시키는 명령(program instruction)과,
상기 텅스텐-함유 전구체와 환원제를 상기 제 1 공정 챔버로 도입한 후, 1초 내지 10초의 시간 동안 5Torr 이하의 압력으로, 활성화된 에칭 물질을 제 2 공정 챔버로 도입시키는 명령과,
활성화된 에칭 물질을 제 2 공정 챔버로 도입시킨 후, 텅스텐-함유 전구체와 환원제를 제 1 공정 챔버 또는 또 다른 공정 챔버로 도입시키는 명령
을 수행하는 것을 특징으로 하는 고 종횡비의 특징부를 충전하기 위한 반도체 공정 장치.
A semiconductor processing apparatus for filling high aspect ratio features on a partially fabricated semiconductor substrate, the apparatus comprising:
A first process chamber,
A second process chamber,
Controller
Including;
The first process chamber has one or more deposition stations for positioning a substrate, wherein the first process chamber is, through a chemical vapor deposition reaction, a layer of tungsten-containing material or a tungsten-containing material on a partially fabricated semiconductor substrate. Configured to deposit an additional layer of material, wherein the one or more deposition stations include a deposition heating element for controlling the temperature of the substrate during deposition,
The second process chamber has one or more etching stations for positioning the substrate, the second process chamber is configured to selectively remove a portion of the layer, and the one or more etching stations are configured to control the temperature of the substrate during etching. An etching heating element for
The controller,
A program instruction for introducing the tungsten-containing precursor and the reducing agent into the first process chamber,
Introducing the tungsten-containing precursor and the reducing agent into the first process chamber, and then introducing an activated etching material into the second process chamber at a pressure of 5 Torr or less for a time of 1 to 10 seconds;
Introducing the activated etching material into the second process chamber and then introducing the tungsten-containing precursor and reducing agent into the first process chamber or another process chamber.
A semiconductor processing apparatus for filling a high aspect ratio feature, characterized in that to perform.
제 16 항에 있어서, 상기 반도체 공정 장치는
웨이퍼 스테퍼(wafer stepper)
를 더 포함하는 것을 특징으로 하는 고 종횡비의 특징부를 충전하기 위한 반도체 공정 장치.
The method of claim 16, wherein the semiconductor processing apparatus
Wafer stepper
A semiconductor processing apparatus for filling a high aspect ratio feature further comprising.
부분 제조된 반도체 기판 상에 제공되는 고 종횡비의 특징부를 충전하기 위한 반도체 공정 장치의 제어를 위한 프로그램 명령이 기록된 컴퓨터 판독형 저장 매체에 있어서, 상기 프로그램 명령은,
텅스텐-함유 전구체와 환원제를 제 1 공정 챔버로 도입시키는 코드와,
1초 내지 10초의 시간 동안 5Torr 이하의 압력으로, 활성화된 에칭 물질을 제 2 공정 챔버로 도입시키는 코드와,
텅스텐-함유 전구체와 환원제를 제 1 공정 챔버 또는 또 다른 공정 챔버로 도입시키는 코드
를 포함하는 것을 특징으로 하는 프로그램 명령이 기록된 컴퓨터 판독형 저장 매체.
A computer readable storage medium having recorded thereon program instructions for controlling a semiconductor processing apparatus for filling high aspect ratio features provided on a partially manufactured semiconductor substrate, the program instructions comprising:
A cord for introducing a tungsten-containing precursor and a reducing agent into the first process chamber,
A cord for introducing the activated etching material into the second process chamber at a pressure of 5 Torr or less for a time of 1 to 10 seconds;
Code for introducing a tungsten-containing precursor and a reducing agent into a first process chamber or another process chamber
And a program command recorded thereon.
부분 제조된 반도체 기판 상에 제공된 고 종횡비 특징부를 충전하기 위한 방법에 있어서, 상기 방법은
텅스텐-함유 전구체와 환원제를 공정 챔버로 도입시키는 단계와,
텅스텐-함유 전구체와 환원제 간의 화학 기상 증착 반응을 통해, 텅스텐-함유 물질의 층을 부분 제조된 반도체 기판 상에 증착하는 단계로서, 상기 텅스텐-함유 물질의 층은 고 종횡비의 특징부를 부분적으로 충전하는 특징의, 증착 단계와,
활성화된 에칭 물질을 공정 챔버로 도입시키는 단계와,
활성화된 에칭 물질의 재결합을 제한하는 공정 조건에서, 활성화된 에칭 물질을 이용하여 증착된 층의 일부분을 선택적으로 제거하는 단계
를 포함하는 것을 특징으로 하는 고 종횡비 특징부를 충전하기 위한 방법.
A method for filling a high aspect ratio feature provided on a partially fabricated semiconductor substrate, the method comprising
Introducing a tungsten-containing precursor and a reducing agent into the process chamber,
Depositing a layer of tungsten-containing material onto a partially fabricated semiconductor substrate through a chemical vapor deposition reaction between a tungsten-containing precursor and a reducing agent, the layer of tungsten-containing material partially filling high aspect ratio features. Characterized by a deposition step,
Introducing an activated etching material into the process chamber,
Selectively removing a portion of the deposited layer using the activated etching material under process conditions that limit recombination of the activated etching material
And a high aspect ratio feature.
제 19 항에 있어서, 상기 공정 조건은 250℃ 이하의 부분 제조된 반도체 기판의 온도와 5Torr 이하의 공정 챔버의 압력을 포함하는 것을 특징으로 하는 고 종횡비 특징부를 충전하기 위한 방법. 20. The method of claim 19, wherein the process conditions include a temperature of a partially fabricated semiconductor substrate of 250 ° C. or less and a pressure of a process chamber of 5 Torr or less. 제 19 항에 있어서, 고 종횡비의 특징부의 오프닝 근방의 증착 층의 두께의 감소율은, 고 종횡비의 특징부 내부에서의 증착 층의 두께의 감소율보다 25% 이상 큰 것을 특징으로 하는 고 종횡비 특징부를 충전하기 위한 방법. 20. The filling of high aspect ratio features as claimed in claim 19, wherein the rate of decrease of the thickness of the deposited layer near the opening of the high aspect ratio feature is at least 25% greater than the rate of decrease of the thickness of the deposited layer inside the high aspect ratio feature. How to. 제 19 항에 있어서, 증착된 층의 일부분을 제거하는 질량 전달 방식(mass transport regime)으로 수행되는 것을 특징으로 하는 고 종횡비 특징부를 충전하기 위한 방법. 20. The method of claim 19, wherein the method is performed in a mass transport regime to remove a portion of the deposited layer. 제 19 항에 있어서, 활성화된 에칭 물질은, 초기 에칭 물질로부터 활성화된 에칭 물질을 생성하도록 구성된 원격 플라스마 발생기로부터 공정 챔버로 도입되는 것을 특징으로 하는 고 종횡비 특징부를 충전하기 위한 방법. 20. The method of claim 19, wherein the activated etch material is introduced into the process chamber from a remote plasma generator configured to produce an activated etch material from the initial etch material. 제 19 항에 있어서, 상기 방법은
제 2 증착 층을 형성하기 위해 증착 단계를 반복하는 단계와,
제 2 에칭 층을 형성하기 위해 선택적 제거 단계를 반복하는 단계
를 더 포함하는 것을 특징으로 하는 고 종횡비 특징부를 충전하기 위한 방법.
20. The method of claim 19, wherein the method is
Repeating the deposition step to form a second deposition layer,
Repeating the selective removal step to form a second etching layer
Further comprising a high aspect ratio feature.
제 24 항에 있어서, 반복되는 선택적 제거 단계는 이전 선택적 제거 단계와 상이한 공정 조건에서 수행되는 것을 특징으로 하는 고 종횡비 특징부를 충전하기 위한 방법. 25. The method of claim 24, wherein the repeating selective removal step is performed at different process conditions than the previous selective removal step. 제 24 항에 있어서, 고 종횡비의 특징부의 오프닝 근방의 제 2 증착 층의 두께의 감소율은 고 종횡비의 특징부 내부의 제 2 증착 층의 두께의 감소율보다 10% 이상 큰 것을 특징으로 하는 고 종횡비 특징부를 충전하기 위한 방법. 25. The high aspect ratio feature of claim 24, wherein a rate of decrease in thickness of the second deposited layer near the opening of the high aspect ratio feature is at least 10% greater than a rate of decrease of the thickness of the second deposited layer inside the high aspect ratio feature. Method for charging wealth. 제 19 항에 있어서, 상기 방법은
텅스텐-함유 전구체와 환원제 간의 화학 기상 증착 반응을 통해, 부분 제조된 반도체 기판 상에 텅스텐-함유 물질을, 고 종횡비의 특징부가 폐쇄될 때까지 증착하는 단계
를 더 포함하는 것을 특징으로 하는 고 종횡비 특징부를 충전하기 위한 방법.
20. The method of claim 19, wherein the method is
Depositing a tungsten-containing material on the partially fabricated semiconductor substrate through the chemical vapor deposition reaction between the tungsten-containing precursor and the reducing agent until the high aspect ratio features are closed
Further comprising a high aspect ratio feature.
제 27 항에 있어서, 폐쇄된 고 종횡비의 특징부는, 고 종횡비의 특징부의 필드 영역에서부터 깊이의 20% 이상인 곳에서 끝나는 심(seam)을 갖는 것을 특징으로 하는 고 종횡비 특징부를 충전하기 위한 방법. 28. The method of claim 27, wherein the closed high aspect ratio features have a seam ending at at least 20% of the depth from the field region of the high aspect ratio feature. 제 19 항에 있어서, 증착 단계와 선택적 제거 단계는 상이한 환경적 조건으로 유지되는 상이한 챔버에서 수행되는 것을 특징으로 하는 고 종횡비 특징부를 충전하기 위한 방법. 20. The method of claim 19, wherein the deposition and selective removal steps are performed in different chambers maintained at different environmental conditions. 부분 제조된 반도체 기판 상에 제공된 고 종횡비의 특징부를 충전하기 위한 반도체 공정 장치에 있어서, 상기 장치는,
제 1 공정 챔버와,
제 2 공정 챔버와,
제어기
를 포함하며,
상기 제 1 공정 챔버는 기판을 포지셔닝하기 위한 하나 이상의 증착 스테이션을 갖고, 상기 제 1 공정 챔버는 화학 기상 증착 반응을 통해, 부분 제조된 반도체 기판 상에 텅스텐-함유 물질의 층을 증착하도록 구성되고, 상기 하나 이상의 증착 스테이션은 증착 동안 기판의 온도를 제어하기 위한 증착 가열 소자를 포함하며,
상기 제 2 공정 챔버는 기판을 포지셔닝하기 위한 하나 이상의 에칭 스테이션을 가지며, 상기 제 2 공정 챔버는 증착된 층의 일부분을 선택적으로 제거하도록 구성되고, 상기 하나 이상의 에칭 스테이션은 에칭 동안 기판의 온도를 제어하기 위한 에칭 가열 소자를 포함하고,
상기 제어기는,
텅스텐-함유 전구체와 환원제 간의 화학 기상 증착 반응을 통해, 텅스텐-함유 물질의 층이 고 종횡비의 특징부를 부분적으로 충전하도록, 부분 제조된 반도체 기판 상에 텅스텐-함유 물질의 층을 증착하는 명령과,
활성화된 에칭 물질을 제 2 공정 챔버로 도입시키는 명령과,
활성화된 에칭 물질의 재결합을 방지하는 공정 조건에서 활성화된 에칭 물질을 이용하여 증착된 층의 일부분을 선택적으로 제거하는 명령
을 수행하는 것을 특징으로 하는 반도체 공정 장치.
A semiconductor processing apparatus for filling a high aspect ratio feature provided on a partially fabricated semiconductor substrate, the apparatus comprising:
A first process chamber,
A second process chamber,
Controller
Including;
The first process chamber has one or more deposition stations for positioning a substrate, the first process chamber is configured to deposit a layer of tungsten-containing material on a partially manufactured semiconductor substrate via a chemical vapor deposition reaction, The at least one deposition station comprises a deposition heating element for controlling the temperature of the substrate during deposition,
The second process chamber has one or more etching stations for positioning the substrate, the second process chamber is configured to selectively remove a portion of the deposited layer, and the one or more etching stations control the temperature of the substrate during etching. An etching heating element for
The controller,
Through a chemical vapor deposition reaction between the tungsten-containing precursor and the reducing agent, depositing a layer of tungsten-containing material on the partially fabricated semiconductor substrate such that the layer of tungsten-containing material partially fills the high aspect ratio features;
Instructions for introducing the activated etching material into the second process chamber,
Selectively remove a portion of the deposited layer using the activated etching material under process conditions that prevent recombination of the activated etching material
A semiconductor processing apparatus, characterized in that for performing.
KR1020110068603A 2010-07-09 2011-07-11 Depositing tungsten into high aspect ratio features KR101340793B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US12/833,823 2010-07-09
US12/833,823 US9034768B2 (en) 2010-07-09 2010-07-09 Depositing tungsten into high aspect ratio features
US13/016,656 US8124531B2 (en) 2009-08-04 2011-01-28 Depositing tungsten into high aspect ratio features
US13/016,656 2011-01-28

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020130054726A Division KR20130071447A (en) 2010-07-09 2013-05-15 Depositing tungsten into high aspect ratio features

Publications (2)

Publication Number Publication Date
KR20120005992A true KR20120005992A (en) 2012-01-17
KR101340793B1 KR101340793B1 (en) 2013-12-11

Family

ID=45611887

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020110068603A KR101340793B1 (en) 2010-07-09 2011-07-11 Depositing tungsten into high aspect ratio features
KR1020130054726A KR20130071447A (en) 2010-07-09 2013-05-15 Depositing tungsten into high aspect ratio features

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020130054726A KR20130071447A (en) 2010-07-09 2013-05-15 Depositing tungsten into high aspect ratio features

Country Status (1)

Country Link
KR (2) KR101340793B1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170017789A (en) * 2015-08-07 2017-02-15 램 리써치 코포레이션 Atomic layer etching of tungsten for enhanced tungsten deposition fill
CN113451126A (en) * 2021-07-07 2021-09-28 北京北方华创微电子装备有限公司 Wafer etching method
CN113862634A (en) * 2012-03-27 2021-12-31 诺发系统公司 Tungsten feature fill
US11239094B2 (en) 2016-12-19 2022-02-01 Lam Research Corporation Designer atomic layer etching
US11450513B2 (en) 2018-03-30 2022-09-20 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003142484A (en) * 2001-10-31 2003-05-16 Mitsubishi Electric Corp Method of manufacturing semiconductor device
US6802944B2 (en) * 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US7655567B1 (en) * 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US20100144140A1 (en) * 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113862634A (en) * 2012-03-27 2021-12-31 诺发系统公司 Tungsten feature fill
KR20170017789A (en) * 2015-08-07 2017-02-15 램 리써치 코포레이션 Atomic layer etching of tungsten for enhanced tungsten deposition fill
US11069535B2 (en) 2015-08-07 2021-07-20 Lam Research Corporation Atomic layer etch of tungsten for enhanced tungsten deposition fill
KR20210125967A (en) * 2015-08-07 2021-10-19 램 리써치 코포레이션 Atomic layer etching of tungsten for enhanced tungsten deposition fill
US11239094B2 (en) 2016-12-19 2022-02-01 Lam Research Corporation Designer atomic layer etching
US11721558B2 (en) 2016-12-19 2023-08-08 Lam Research Corporation Designer atomic layer etching
US11450513B2 (en) 2018-03-30 2022-09-20 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
CN113451126A (en) * 2021-07-07 2021-09-28 北京北方华创微电子装备有限公司 Wafer etching method
CN113451126B (en) * 2021-07-07 2024-02-27 北京北方华创微电子装备有限公司 Wafer etching method

Also Published As

Publication number Publication date
KR20130071447A (en) 2013-06-28
KR101340793B1 (en) 2013-12-11

Similar Documents

Publication Publication Date Title
US10395944B2 (en) Pulsing RF power in etch process to enhance tungsten gapfill performance
US11410883B2 (en) Tungsten feature fill with nucleation inhibition
US8124531B2 (en) Depositing tungsten into high aspect ratio features
US9034768B2 (en) Depositing tungsten into high aspect ratio features
TWI706509B (en) Feature fill with multi-stage nucleation inhibition
KR101327258B1 (en) Depositing tungsten into high aspect ratio features
US9548228B2 (en) Void free tungsten fill in different sized features
JP6494940B2 (en) Void-free tungsten filling to different size features
US10381266B2 (en) Tungsten feature fill with nucleation inhibition
KR102064627B1 (en) Tungsten feature fill
US10566211B2 (en) Continuous and pulsed RF plasma for etching metals
US20220359280A1 (en) Tungsten feature fill with nucleation inhibition
WO2013148444A1 (en) Tungsten feature fill with nucleation inhibition
KR101340793B1 (en) Depositing tungsten into high aspect ratio features
SG190631A1 (en) Depositing tungsten into high aspect ratio features

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161124

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20171127

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20181123

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20191127

Year of fee payment: 7