KR20110001893A - Electronic device including a well region - Google Patents

Electronic device including a well region Download PDF

Info

Publication number
KR20110001893A
KR20110001893A KR1020100057451A KR20100057451A KR20110001893A KR 20110001893 A KR20110001893 A KR 20110001893A KR 1020100057451 A KR1020100057451 A KR 1020100057451A KR 20100057451 A KR20100057451 A KR 20100057451A KR 20110001893 A KR20110001893 A KR 20110001893A
Authority
KR
South Korea
Prior art keywords
region
doped
layer
conductive
semiconductor layer
Prior art date
Application number
KR1020100057451A
Other languages
Korean (ko)
Other versions
KR101675779B1 (en
Inventor
개리 에이치. 로첼트
고든 엠. 그리브나
Original Assignee
세미컨덕터 콤포넨츠 인더스트리즈 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세미컨덕터 콤포넨츠 인더스트리즈 엘엘씨 filed Critical 세미컨덕터 콤포넨츠 인더스트리즈 엘엘씨
Publication of KR20110001893A publication Critical patent/KR20110001893A/en
Application granted granted Critical
Publication of KR101675779B1 publication Critical patent/KR101675779B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/2815Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects part or whole of the electrode is a sidewall spacer or made by a similar technique, e.g. transformation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823892Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/4175Source or drain electrodes for field effect devices for lateral devices where the connection to the source or drain region is done through at least one part of the semiconductor substrate thickness, e.g. with connecting sink or with via-hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66659Lateral single gate silicon transistors with asymmetry in the channel direction, e.g. lateral high-voltage MISFETs with drain offset region, extended drain MISFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66681Lateral DMOS transistors, i.e. LDMOS transistors
    • H01L29/66689Lateral DMOS transistors, i.e. LDMOS transistors with a step of forming an insulating sidewall spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66681Lateral DMOS transistors, i.e. LDMOS transistors
    • H01L29/66696Lateral DMOS transistors, i.e. LDMOS transistors with a step of recessing the source electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41758Source or drain electrodes for field effect devices for lateral devices with structured layout for source or drain region, i.e. the source or drain region having cellular, interdigitated or ring structure or being curved or angular
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

PURPOSE: An electronic device including the well region is provided to realize the miniaturizing of the electronic device and to improve the performance of the electronic device by reducing the parasitic resistance and the inductance. CONSTITUTION: A semiconductor layer(104) is formed on a flasking conducting region(102). A vertical conductive structure is electrically connected to the flasking conducting region. A first doping structure(106) is electrically connected to the flasking conducting region. A well region comprises a first part of the semiconductor layer.

Description

우물 영역을 포함하는 전자 장치{ELECTRONIC DEVICE INCLUDING A WELL REGION}ELECTRONIC DEVICE INCLUDING A WELL REGION}

본 발명은 전자 장치 및 상기 전자 장치를 형성하는 공정에 관한 것이며, 더욱 상세하게는, 고립 우물 영역(insolated well region)을 포함하는 전자 장치 및 상기 전자 장치를 형성하는 공정에 관한 것이다.The present invention relates to an electronic device and a process for forming the electronic device, and more particularly, to an electronic device comprising an isolated well region and a process for forming the electronic device.

금속 산화물 전계 효과 트랜지스터(MOSFET)는 일반적인 유형의 전력 스위칭 장치이다. MOSFET은 소스 영역(source region), 드레인 영역(drain region), 소스 영역과 드레인 영역 사이에 뻗어있는 채널 영역, 및 채널 영역에 인접하여 제공되는 게이트 구조(gate structure)를 포함한다. 게이트 구조는 채널 영역에 인접하면서, 얇은 유전층에 의해 채널 영역과 분리되어 위치되는 게이트 전극층(gate electrode layer)을 포함한다.Metal oxide field effect transistors (MOSFETs) are a common type of power switching device. The MOSFET includes a source region, a drain region, a channel region extending between the source region and the drain region, and a gate structure provided adjacent to the channel region. The gate structure includes a gate electrode layer adjacent to the channel region and positioned separately from the channel region by a thin dielectric layer.

MOSFET이 온-상태(on state)에 있을 때, 게이트 구조에 전압이 인가되어 소스와 드레인 영역 사이에 전도 채널 영역(conduction channel region)을 형성하며, 이로 인해서 장치에 전류가 흐를 수 있다. 오프-상태(off state)에서, 게이트 구조에 인가되는 임의의 전압이 충분히 낮아 전도 채널이 형성되지 않으며, 따라서 전류 흐름이 발생하지 않는다. 오프-상태인 동안 장치는 소스와 드레인 영역 사이의 높은 전압을 지원해야 한다.When the MOSFET is in the on state, a voltage is applied to the gate structure to form a conduction channel region between the source and drain regions, which can cause current to flow in the device. In the off state, any voltage applied to the gate structure is sufficiently low that no conduction channel is formed and therefore no current flow occurs. While in the off-state, the device must support high voltages between the source and drain regions.

특정 이용에서, 서로 다른 두 전압 사이를 스위칭하는 출력을 발생시키기 위하여 한 쌍의 전력 트랜지스터가 이용될 수 있다. 상기 출력은 하이사이드 전력 트랜지스터(high-side power transistor)의 소스에, 로우사이드 전력 트랜지스터(low-side power transistor)의 드레인에 연결될 수 있다. 하이사이드 전력 트랜지스터가 활성화될 때, 출력은 하이사이드 전력 트랜지스터의 드레인의 전압에 대응하는 전압에 있을 것이며, 로우사이드 전력 트랜지스터가 활성화될 때, 출력은 로우사이드 전력 트랜지스터의 소스에 대응하는 전압에 있을 것이다. 특정 실시예에서, 하이사이드 전력 트랜지스터와 로우사이드 전력 트랜지스터는 통상적으로 본딩된 와이어 또는 그 밖의 다른 유사한 인터커넥트(interconnect)에 의해 상호 연결되는 별개의 다이 상의 개별소자 트랜지스터이다. 더욱이, 두 전력 트랜지스터에 대한 제어 회로소자 또한 별개의 다이 상에 있다. 인터커넥트는 하이사이드 및 로우사이드 전력 트랜지스터를 포함한 전자 장치에 대한 불필요한 기생 특성들을 증가시킨다.In certain uses, a pair of power transistors may be used to generate an output that switches between two different voltages. The output may be connected to a source of a high-side power transistor and to a drain of a low-side power transistor. When the high side power transistor is activated, the output will be at a voltage corresponding to the voltage of the drain of the high side power transistor, and when the low side power transistor is activated, the output will be at a voltage corresponding to the source of the low side power transistor. will be. In certain embodiments, high side power transistors and low side power transistors are discrete element transistors on separate dies that are typically interconnected by bonded wires or other similar interconnects. Moreover, the control circuitry for the two power transistors is also on separate dies. The interconnect increases unwanted parasitic characteristics for electronic devices including high side and low side power transistors.

실시예가 예로서 도시되며, 첨부된 도면에 도시된 바에 제한되지 않는다.
도 1은 매몰 전도 영역(buried conductive region), 반도체층, 매몰 도핑 영역(buried doped region)을 포함하는 작업부재의 횡단면도를 도시한다.
도 2는 또 다른 반도체층 및 그 밖의 다른 매몰 도핑 영역을 형성한 이후의 도 1의 작업부재의 횡단면도를 도시한다.
도 3은 복합 반도체층의 형성을 완성하기 위해 또 다른 반도체층을 형성한 이후의 도 2의 작업부재의 횡단면도를 도시한다.
도 4는 주입 차단층(implant screen layer)과 반도체층 내의 수직 도핑 부분을 형성한 이후의 도 3의 작업부재의 횡단면도를 도시한다.
도 5는 패드층, 저지층(stopping layer), 또 다른 마스크층(another masking layer), 및 반도체층 내의 수직 도핑 영역(vertical doped region)을 형성한 이후의 도 4의 작업부재의 횡단면도를 도시한다.
도 6은 희생 측벽 스페이서(sacrificial sidewall spacer), 반도체층을 통과해 뻗어있는 트렌치(trench), 및 절연 측벽 스페이서(insulating sidewall spacer)를 형성한 이후의 도 5의 작업부재의 횡단면도를 도시한다.
도 7은 전도성 구조물(conductive structure)을 형성한 이후의 도 6의 작업부재의 횡단면도를 도시한다.
도 8은 전도성 플러그(conductive plug)를 형성한 이후의 도 7의 작업부재의 횡단면도를 도시한다.
도 9는 절연층 및 패턴화된 전도층(patterned conductive layer)을 형성한 이후의 도 8의 작업부재의 횡단면도를 도시한다.
도 10은 하이사이드 전력 트랜지스터 및 로우사이드 전력 트랜지스터를 형성한 이후의 도 9의 작업부재의 횡단면도를 도시한다.
도 11 내지 15는 도 1 내지 9에 관하여 기술된 바와 같이 일 이상의 섹션 내의 예시적 전자적 구성요소를 형성한 이후의 도 9의 작업부재의 횡단면도를 도시한다.
도 16은 대안적 실시예를 따라 반도체층 및 반도체층 내의 도핑 영역을 형성한 이후의 도 1의 작업부재의 횡단면도를 도시한다.
도 17은 대안적 실시예를 따라 트렌치, 전도성 구조물, 및 전도성 플러그를 형성한 이후의 도 4의 작업부재의 횡단면도를 도시한다.
도 18은 대안적 실시예를 따라 트렌치, 도핑 반도체 스페이서(doped semiconductor spacer), 절연 측벽 스페이서, 및 전도성 구조물을 형성한 이후의 도 4의 작업부재의 횡단면도를 도시한다.
도 19는 대안적 실시예를 따라 트렌치 및 절연 측벽 스페이서를 형성한 이후의 도 4의 작업부재의 횡단면도를 도시한다.
도 20은 대안적 실시예를 따라 트렌치를 뻗게 하고, 전도성 구조물 및 전도성 플러그를 형성한 이후의 도 18의 작업부재의 횡단면도를 도시한다.
해당업계 종사자라면 도면의 요소가 간결성과 명확성을 위해 도시된 것이고 반드시 비율-조정된 것이 아님을 이해할 것이다. 예를 들어, 일부 요소는 본 발명 실시예의 이해도를 향상시키기 위하여 그 밖의 다른 요소와 비교해 과장되었다.
Embodiments are shown by way of example and not by way of limitation in the figures thereof.
1 shows a cross-sectional view of a work piece comprising a buried conductive region, a semiconductor layer and a buried doped region.
FIG. 2 shows a cross sectional view of the working member of FIG. 1 after forming another semiconductor layer and other buried doped regions. FIG.
3 shows a cross sectional view of the working member of FIG. 2 after forming another semiconductor layer to complete the formation of the composite semiconductor layer.
4 shows a cross-sectional view of the working member of FIG. 3 after forming an implant screen layer and a vertical doped portion in the semiconductor layer.
FIG. 5 shows a cross sectional view of the working member of FIG. 4 after forming a pad layer, a stopping layer, another masking layer, and a vertical doped region in the semiconductor layer. .
FIG. 6 shows a cross-sectional view of the working member of FIG. 5 after forming a sacrificial sidewall spacer, a trench extending through the semiconductor layer, and an insulating sidewall spacer.
FIG. 7 shows a cross sectional view of the working member of FIG. 6 after forming a conductive structure. FIG.
8 shows a cross-sectional view of the working member of FIG. 7 after forming a conductive plug. FIG.
9 shows a cross sectional view of the working member of FIG. 8 after forming an insulating layer and a patterned conductive layer.
10 shows a cross-sectional view of the working member of FIG. 9 after forming the high side power transistor and the low side power transistor.
11-15 illustrate cross-sectional views of the working member of FIG. 9 after forming exemplary electronic components in one or more sections as described with respect to FIGS. 1-9.
16 illustrates a cross-sectional view of the working member of FIG. 1 after forming a semiconductor layer and a doped region within the semiconductor layer in accordance with an alternative embodiment.
17 illustrates a cross-sectional view of the working member of FIG. 4 after forming the trench, the conductive structure, and the conductive plug in accordance with an alternative embodiment.
FIG. 18 illustrates a cross-sectional view of the working member of FIG. 4 after forming a trench, a doped semiconductor spacer, an insulating sidewall spacer, and a conductive structure in accordance with an alternative embodiment.
19 illustrates a cross-sectional view of the working member of FIG. 4 after forming trench and insulated sidewall spacers in accordance with an alternative embodiment.
20 illustrates a cross-sectional view of the working member of FIG. 18 after stretching the trench and forming the conductive structure and the conductive plug in accordance with an alternative embodiment.
Those skilled in the art will understand that elements of the drawings are shown for brevity and clarity and are not necessarily to scale. For example, some of the elements have been exaggerated compared to other elements to improve the understanding of embodiments of the present invention.

도면과 조합되어 이하의 설명이 본원에 개시된 내용의 이해를 돕기 위해 제공되었다. 이하의 설명은 본 발명 내용의 특정 구현예와 실시예에 초점을 맞출 것이다. 이는 본 발명 내용의 설명을 돕기 위한 것이며, 발명 내용의 범위나 적용 가능성을 제한하기 위한 것으로 이해되어서는 안 된다. 응용을 위하여 그 밖의 다른 내용도 물론 이용될 수 있다.The following description, in combination with the drawings, is provided to aid in understanding the disclosure disclosed herein. The following description will focus on specific embodiments and examples of the present disclosure. This is intended to help explain the present disclosure and should not be construed as limiting the scope or applicability of the disclosure. Other content may of course also be used for the application.

본원에서 사용될 때, 영역 또는 구조와 관련된 "수평 배향적"및 "수직 배향적"이라는 용어는 이러한 영역 또는 구조를 통해 흐르는 전류의 주요 방향을 언급하는 것이다. 더욱 상세히 말하면, 전류가 영역 또는 구조를 통해 수직 방향, 수평 방향, 또는 수직 및 수평 방향의 조합으로 흐를 수 있다. 전류가 영역 또는 구조를 통해 수직 방향 또는 방향들의 조합으로 흐르고, 여기서 수직적 요소가 수평적 요소보다 큰 경우, 이러한 영역 또는 구조는 수직 배향적이라고 언급될 것이다. 이와 유사하게, 전류가 영역 또는 구조를 통해 수평 방향 또는 방향들의 조합으로 흐르고, 여기서 수평적 요소가 수직적 요소보다 큰 경우, 이러한 영역 또는 구조는 수직 배향적이라고 언급될 것이다.As used herein, the terms "horizontally oriented" and "vertically oriented" associated with an area or structure refer to the main direction of the current flowing through that area or structure. More specifically, the current can flow through the region or structure in a vertical direction, horizontal direction, or a combination of vertical and horizontal directions. If current flows through the region or structure in a vertical direction or a combination of directions, where the vertical element is larger than the horizontal element, it will be said that this region or structure is vertically oriented. Similarly, when current flows through a region or structure in a horizontal direction or a combination of directions, where the horizontal element is larger than the vertical element, it will be said that this region or structure is vertically oriented.

"정상 동작" 및 "정상 동작 상태"라는 용어는 전자적 구성요소 또는 장치가 동작하도록 설계된 상태를 일컫는다. 이러한 상태는 전압, 전류, 커패시턴스, 저항 또는 그 밖의 다른 전기적 파라미터에 관한 데이터 시트 또는 그 밖의 다른 정보로부터 획득될 수 있다. 따라서, 정상 동작은 설계 제한을 훨씬 능가하는 전기적 요소나 장치의 동작은 포함하지 않는다. The terms "normal operation" and "normal operation state" refer to states in which electronic components or devices are designed to operate. This state can be obtained from data sheets or other information regarding voltage, current, capacitance, resistance, or other electrical parameters. Thus, normal operation does not include the operation of electrical components or devices that far exceed design limitations.

"포함", "포함하는", "구비한" 등의 용어는 비배타적인 포함을 커버하도록 의도된 것이다. 예를 들어, 특징 목록을 포함하는 방법, 제품, 또는 장치가 반드시 그 특징에만 제한되는 것이 아니며, 목록에 나타나지 않은 그 밖의 다른 특징, 또는 방법, 제품 또는 장치 고유의 특징도 포함할 수 있다. 또한, "또는"은 '포함적-또는'을 의미하는 것이지, '배타적-또는'을 의미하는 것이 아니다. 가령, 조건 A 또는 B는 이하의 경우 중 임의의 한 경우를 의미한다. 즉, A는 진실(또는, 존재함) 및 B는 거짓(또는, 존재하지 않음), A는 거짓(또는, 존재하지 않음) 및 B는 진실(또는, 존재함), 그리고, A와 B 모두 진실(또는 존재함) 중 임의의 한 경우를 의미한다.Terms such as "comprising", "comprising", "comprising" and the like are intended to cover non-exclusive inclusions. For example, a method, product, or device that includes a feature list is not necessarily limited to that feature, and may include other features that are not listed, or features that are specific to the method, product, or device. In addition, "or" means "inclusive-or", not "exclusive-or". For example, condition A or B means any one of the following cases. That is, A is true (or exists) and B is false (or does not exist), A is false (or does not exist) and B is true (or exists), and both A and B It means any one of the truth (or existence).

또한, 본원에서 기재되는 요소 및 구성요소를 기재함에 있어, 단수형 표면이 사용되었다. 이는 단지 편리함을 위한 것이며, 본 발명 범위에 대한 일반적 인식을 제공하기 위한 것이다. 따라서, 달리 언급되어있지 않는 한, 본 명세서는, 하나 또는 하나 이상을 포함하고, '단일'에는 '복수'도 포함하는 것으로 이해되어야 한다(그 역의 경우도 같다). 가령, 단일 아이템이 설명되어 있는 경우, 단일 아이템을 대신하여 둘 이상의 아이템이 이용될 수 있다. 이와 유사하게, 둘 이상의 아이템이 설명되어 있는 경우, 단일의 아이템이 둘 이상의 아이템을 대신할 수 있다.In addition, in describing the elements and components described herein, singular surfaces were used. This is for convenience only and to provide a general awareness of the scope of the invention. Thus, unless stated to the contrary, the specification is to be understood to include one or more than one, and to include a plural number as well as vice versa. For example, where a single item is described, more than one item may be used in place of a single item. Similarly, if more than one item is described, a single item may replace more than one item.

원소 주기율표 내의 열에 대응하는 족 번호는 CRC Handbook of Chemistry and Physics, 81st Edition (2000-2001)에서 볼 수 있는 바와 같이 "New Notation" 협약을 사용한다.The family number corresponding to the column in the periodic table of elements is CRC Handbook of Chemistry and New Physics , 81 st Edition (2000-2001), use the "New Notation" convention.

달리 정의되지 않는 한, 본원에 사용된 기술적 및 과학적 용어는 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 일반적으로 이해될 수 있는 것과 동일한 의미를 가진다. 물질, 방법 및 예시는 오직 예시적 목적일 뿐 제한하려는 의도는 아니다. 본원에서 설명되지 않은 범위에서, 특정 물질 및 처리 행위에 관한 많은 세부사항은 종래의 것이며, 반도체 및 전자 과목 내의 교과서와 그 밖의 다른 자료에서 찾을 수 있을 것이다.Unless defined otherwise, technical and scientific terms used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this invention belongs. The materials, methods, and examples are illustrative only and not intended to be limiting. To the extent not described herein, many details regarding specific materials and processing behaviors are conventional and may be found in textbooks and other materials within semiconductor and electronic courses.

도 1 내지 9에서, 동일한 작업부재 상에 서로 다른 유형의 전자적 구성요소를 형성할 때의 공정 운영의 효과에 대한 이해를 높이기 위해 작업부재의 6개의 서로 다른 섹션이 도시된다. 전자적 구성요소는 동일한 집적 회로의 부분일 수 있다. 도면의 상부에 도시된 것은 하이사이드 전력 트랜지스터에 대응하고, 전자적 구성요소가 잠재적으로 하이사이드 전력 트랜지스터에 연결되거나 그 밖에 이와 연관되며, 동일한 도면의 하부에 도시된 것은 로우사이드 전력 트랜지스터에 대응하고, 전자적 구성요소가 잠재적으로 로우사이드 전력 트랜지스터에 연결되거나 그 밖에 이와 연관된다.In Figures 1-9, six different sections of the work piece are shown to increase understanding of the effect of process operation when forming different types of electronic components on the same work piece. Electronic components may be part of the same integrated circuit. Shown at the top of the figure corresponds to a high side power transistor, electronic components are potentially connected to or otherwise associated with the high side power transistor, shown at the bottom of the same figure corresponds to a low side power transistor, Electronic components are potentially connected to or otherwise associated with the low side power transistor.

도 1은 작업부재(100)의 일부분의 횡단면도를 도시한다. 집적 회로의 여러 다른 섹션들이 도 1에 도시된다. 더욱 상세히 말하면, 섹션(122)은 매몰 전도 영역(buried conductive region)(102)에 전기적으로 연결되는 p-우물 영역(p-well region)이 형성될 집적 회로의 일부분을 포함하고, 섹션(124)은 n-우물 영역이 형성될 집적 회로의 또 다른 부분을 포함하며, 섹션(126)은 하이사이드 전력 트랜지스터가 형성될 집적 회로의 또 다른 부분을 포함한다. 섹션(132)은 p-우물 영역이 형성될 집적 회로의 일부분을 포함하고, 섹션(134)은 또 다른 n-우물 영역이 형성될 집적 회로의 또 다른 부분을 포함하며, 섹션(136)은 로우사이드 전력 트랜지스터가 형성될 집적회로의 또 다른 부분을 포함한다.1 shows a cross-sectional view of a portion of a work member 100. Several other sections of the integrated circuit are shown in FIG. 1. More specifically, section 122 includes a portion of an integrated circuit in which a p-well region is to be formed that is electrically connected to buried conductive region 102 and section 124 is formed. Includes another portion of the integrated circuit in which the n-well region is to be formed, and section 126 includes another portion of the integrated circuit in which the high side power transistor is to be formed. Section 132 includes a portion of an integrated circuit where a p-well region is to be formed, section 134 includes another portion of an integrated circuit where another n-well region is to be formed, and section 136 is a row. And another part of the integrated circuit in which the side power transistor is to be formed.

특정 실시예에서, 섹션(126)의 하이사이드 트랜지스터를 지원하거나, 하이사이드 트랜지스터와 함께 사용되는 전자적 구성요소가 섹션(122 및 124) 내에 형성될 수 있고, 로우사이드 트랜지스터를 지원하거나, 로우사이드 트랜지스터와 함께 사용되는 전자적 구성요소가 섹션(132 및 134) 내에 형성될 수 있다.In certain embodiments, electronic components that support the high side transistors of section 126, or are used in conjunction with the high side transistors, may be formed in sections 122 and 124, support low side transistors, or low side transistors. Electronic components used in conjunction with may be formed in sections 132 and 134.

도 1에서, 작업부재(100)가 매몰 전도 영역(buried conductive region)(102)을 포함한다. 매몰 전도 영역(102)은 14족 원소(가령, 탄소, 규소, 게르마늄, 또는 이들의 임의의 조합)을 포함할 수 있고, n-형 또는 p-형으로 고농도 도핑될 수 있다. 본 명세서의 목적을 위하여, 고농도 도핑은 최고 도펀트 농도가 1019 atoms/cm3 이상임을 의미하고, 저농도 도핑은 최고 도펀트 농도가 1019 atoms/cm3 미만임을 의미한다. 매몰 전도 영역(102)은 고농도로 도핑된 기판(가령, n-형 고농도 도핑 웨이퍼)의 부분일 수 있고, 또는, 반대되는 전도성 유형의 기판 위에 놓이거나, 기판과 매몰 전도 영역(102) 사이에 놓인 매몰 절연층(buried insulating layer)(도시되지 않음) 위에 놓이는 매몰 도핑 영역(buried doped region)일 수 있다. 일 실시예에서, 매몰 전도 영역(102)은 인, 비소, 안티몬, 또는 이들의 임의의 조합과 같은 n-형 도펀트로 고농도 도핑된다. 특정 실시예에서, 매몰 전도 영역(102)은, 매몰 전도 영역(102)의 확산이 저농도로 유지되는 경우 비소 또는 안티몬을 포함할 수 있고, 특정 실시예에서, 매몰 전도 영역(102)은, 뒤이어 형성되는 반도체층을 형성하는 동안 자동 도핑의 레벨을 낮추기 위해(비소와 비교하여) 안티몬을 포함할 수 있다. 매몰 전도 영역(102)은 하이사이드 전력 트랜지스터의 소스, 및 로우사이드 전력 트랜지스터의 드레인을 서로 전기적으로 연결하기 위해 이용될 것이며, 전자 장치를 위한 출력 노드의 부분이 된다. 따라서, 매몰 전도 영역(102)은 하이사이드 및 로우사이드 전력 트랜지스터의 제어 전극에 대한 제어 신호에 따라 변화할 수 있으며, 따라서, 매몰 전도 영역(102)의 전압이 실질적으로 일정하지 않고, 시간 또는 또 다른 파라미터에 따라 변할 수 있다. In FIG. 1, the working member 100 includes a buried conductive region 102. Buried conducting region 102 may comprise a Group 14 element (eg, carbon, silicon, germanium, or any combination thereof) and may be heavily doped in n-type or p-type. For purposes of this specification, high doping has a maximum dopant concentration of 10 19 atoms / cm 3. Low doping means that the highest dopant concentration is less than 10 19 atoms / cm 3 . The buried conductive region 102 may be part of a heavily doped substrate (eg, an n-type heavily doped wafer) or may be placed on a substrate of opposite conductivity type, or between the substrate and the buried conductive region 102. It may be a buried doped region overlying a buried insulating layer (not shown). In one embodiment, the buried conducting region 102 is heavily doped with n-type dopants such as phosphorus, arsenic, antimony, or any combination thereof. In certain embodiments, the buried conductive region 102 may comprise arsenic or antimony when the diffusion of the buried conductive region 102 is maintained at a low concentration, and in certain embodiments, the buried conductive region 102 is followed by Antimony may be included (as compared to arsenic) to lower the level of automatic doping while forming the semiconductor layer to be formed. The buried conducting region 102 will be used to electrically connect the source of the high side power transistor and the drain of the low side power transistor to each other and become part of the output node for the electronic device. Accordingly, the buried conducting region 102 can vary according to the control signal for the control electrodes of the high side and low side power transistors, so that the voltage of the buried conducting region 102 is not substantially constant, and the time or It may vary depending on other parameters.

반도체층(104)이 매몰 전도 영역(102) 위에 형성된다. 반도체층(104)은 14족 원소(가령, 탄소, 규소, 게르마늄, 또는 이들의 임의의 조합), 매몰 전도 영역(102)과 관련하여 기술된 것과 같은 임의의 도펀트, 또는 반대되는 전도성 유형의 도펀트를 포함할 수 있다. 실시예에서, 반도체층(104)은 저농도로 도핑된 n-형 또는 p-형 에피택셜 실리콘층이며, 이러한 실리콘층은 대략 0.2 마이크론에서 대략 1.0 마이크론의 두께와, 대략 1017 atoms/cm3이하(또 다른 실시예에서, 대략 1014 atoms/cm3이상)의 도핑 농도를 가진다. 반도체층(104)은 모든 작업부재(100)의 위에 형성된다.The semiconductor layer 104 is formed over the buried conductive region 102. The semiconductor layer 104 may be a Group 14 element (eg, carbon, silicon, germanium, or any combination thereof), any dopant as described with respect to the buried conducting region 102, or a dopant of opposite conductivity type. It may include. In an embodiment, the semiconductor layer 104 is a lightly doped n-type or p-type epitaxial silicon layer, the silicon layer having a thickness of about 0.2 microns to about 1.0 microns and about 10 17 atoms / cm 3 or less (In another embodiment, a doping concentration of approximately 10 14 atoms / cm 3 or more). The semiconductor layer 104 is formed on all the work members 100.

하이사이드 전력 트랜지스터 내 및 섹션(122, 124 및 134) 내의 반도체층(104)의 부분은, 매몰 전도 영역(102)과 반대되는 전도성 유형의 도펀트로 고농도 도핑되어, 매몰 도핑 영역(buried doped region)(106)을 형성한다. 매몰 도핑 영역(106)은 하이사이드 전력 트랜지스터 내의 고립을 도울 수 있고, 하이사이드 전력 트랜지스터 내의 기생 특징, 및 집적 회로의 그 밖의 다른 부분 내의 전자적 구성요소 중 다수를 줄일 수 있다. 특정 실시예에서, 매몰 도핑 영역(106)은 대략 1019 atoms/cm3 이상의 최고 도펀트 농도를 가지는 p-형 도펀트를 갖는다. 섹션(122, 124, 126 및 134) 내의 매몰 도핑 영역(106)은, 형성될 도핑 구조물의 수평 부분일 수 있다.Portions of the semiconductor layer 104 in the high side power transistor and in the sections 122, 124, and 134 are heavily doped with dopants of a conductivity type opposite to the buried conducting region 102, thereby buried doped regions. Form 106. The buried doped region 106 may help isolation within the high side power transistor and reduce many of the parasitic features within the high side power transistor, and electronic components within other portions of the integrated circuit. In a particular embodiment, the buried doped region 106 has a p-type dopant having a highest dopant concentration of approximately 10 19 atoms / cm 3 or greater. The buried doped region 106 in the sections 122, 124, 126 and 134 may be a horizontal portion of the doped structure to be formed.

도 2를 참조하면, 반도체층(204)이, 반도체층(104)(도시되지 않음) 및 매몰 전도 영역(106) 위에 형성된다. 특정 실시예에서, 반도체층(104 및 204)은 동일한 전도성 유형을 가지고, 모두 저농도로 도핑될 수 있다. 따라서, 도 2의 도시 내의 점선은 반도체층(104)이 끝나고 반도체층(204)이 시작하는 대략적인 위치를 도시한다. 반도체층(204)은 14족 원소(가령, 탄소, 규소, 게르마늄, 또는 이들의 임의의 조합), 매몰 전도 영역(102)과 관련하여 기술된 것과 같은 도펀트 중 임의의 것, 또는 반대되는 전도성 유형의 도펀트를 포함할 수 있다. 실시예에서, 반도체층(204)은 저농도로 도핑된 n-형 또는 p-형 에피택셜 실리콘층이며, 이러한 실리콘층은 대략 0.5 마이크론에서 대략 5.0 마이크론의 두께와, 대략 1017 atoms/cm3이하(또 다른 실시예에서, 대략 1014 atoms/cm3이상)의 도핑 농도를 가진다.Referring to FIG. 2, a semiconductor layer 204 is formed over the semiconductor layer 104 (not shown) and the buried conductive region 106. In certain embodiments, semiconductor layers 104 and 204 have the same conductivity type and may both be lightly doped. Thus, the dotted line in the illustration of FIG. 2 shows the approximate location where the semiconductor layer 104 ends and the semiconductor layer 204 begins. The semiconductor layer 204 may be a Group 14 element (eg, carbon, silicon, germanium, or any combination thereof), any of the dopants as described with respect to the buried conducting region 102, or the opposite conductivity type. It may include a dopant of. In an embodiment, the semiconductor layer 204 is a lightly doped n-type or p-type epitaxial silicon layer, the silicon layer having a thickness of about 0.5 microns to about 5.0 microns and about 10 17 atoms / cm 3 or less (In another embodiment, a doping concentration of approximately 10 14 atoms / cm 3 or more).

섹션(124 및 134) 내의 반도체층(204)의 부분은 n-형 도펀트로 고농도 도핑되어 그 밖의 다른 매몰 도핑 영역(206)을 형성한다. 매몰 도핑 영역(206)은 선택사항이며, 형성될 n-우물 영역을 추가로 고립시키는 것을 도울 수 있다. 특정 실시예에서, 매몰 도핑 영역(206)은 대략 1019 atoms/cm3 이상의 최고 도펀트 농도를 가지는 n-형 도펀트를 갖는다. 섹션(132) 내의 반도체층(204)의 부분은 p-형 도펀트로 고농도 도핑되어 또 다른 매몰 도핑 영역(208)을 형성한다. 특정 실시예에서, 매몰 도핑 영역(208)은 대략 1019 atoms/cm3 이상의 최고 도펀트 농도를 가지는 p-형 도펀트를 갖는다. 섹션(124, 132, 및 134) 내의 매몰 도핑 영역(206 및 208)은 형성될 도핑 구조물의 수평 부분이다. Portions of semiconductor layer 204 in sections 124 and 134 are heavily doped with n-type dopants to form other buried doped regions 206. The buried doped region 206 is optional and may help further isolate the n-well region to be formed. In a particular embodiment, the buried doped region 206 has an n-type dopant having a highest dopant concentration of approximately 10 19 atoms / cm 3 or greater. A portion of semiconductor layer 204 in section 132 is heavily doped with p-type dopant to form another buried doped region 208. In a particular embodiment, the buried doped region 208 is approximately 10 19 atoms / cm 3 It has a p-type dopant having the highest dopant concentration of above. Buried doped regions 206 and 208 in sections 124, 132, and 134 are horizontal portions of the doped structure to be formed.

도 3을 참조하면, 반도체층(302)이, 반도체층(204) 및 매몰 도핑 영역(206 및 208) 위에 형성된다. 반도체층(104(도 3에 표시되지 않음), 204, 및 302)의 조합이 복합 반도체층(304)을 형성한다. 특정 실시예에서, 반도체층(104, 204, 및 302)은 동일한 전도성 유형을 가지며, 저농도로 도핑될 수 있다. 따라서, 도 3 내의 점선은 반도체층(204)이 끝나고 반도체층(302)이 시작하는 대략적인 위치를 도시한다. 반도체층(302)은 14족 원소(가령, 탄소, 규소, 게르마늄, 또는 이들의 임의의 조합), 매몰 전도 영역(102)과 관련하여 기술된 것과 같은 도펀트 중 임의의 것, 또는 반대되는 전도성 유형의 도펀트를 포함할 수 있다. 실시예에서, 반도체층(302)은 저농도로 도핑된 n-형 또는 p-형 에피택셜 실리콘층이며, 이러한 실리콘층은 대략 0.5 마이크론에서 대략 5.0 마이크론 범위의 두께와 대략 1017 atoms/cm3 이하(또 다른 실시예에서 대략1014 atoms/cm3 이상)의 도핑 농도를 가진다. Referring to FIG. 3, a semiconductor layer 302 is formed over the semiconductor layer 204 and the buried doped regions 206 and 208. The combination of semiconductor layer 104 (not shown in FIG. 3), 204, and 302 forms a composite semiconductor layer 304. In certain embodiments, semiconductor layers 104, 204, and 302 have the same conductivity type and may be lightly doped. Thus, the dotted line in FIG. 3 shows the approximate location where the semiconductor layer 204 ends and the semiconductor layer 302 begins. The semiconductor layer 302 may be a Group 14 element (eg, carbon, silicon, germanium, or any combination thereof), any of the dopants, such as those described with respect to the buried conductive region 102, or the opposite conductivity type. It may include a dopant of. In an embodiment, the semiconductor layer 302 is a lightly doped n-type or p-type epitaxial silicon layer, the silicon layer having a thickness ranging from approximately 0.5 microns to approximately 5.0 microns and approximately 10 17 atoms / cm 3. And a doping concentration of about 10 14 atoms / cm 3 or more in another embodiment.

복합 반도체층(304)은 주표면(305)을 갖는다. 매몰 도핑 영역(106, 206 및 208) 밖의 복합 반도체층(304) 내의 도펀트 농도와, 복합 반도체층(304) 내의 영역에 대하여 임의로 추가적인 선택적 도핑을 하기 전의 도펀트 농도는 바탕 도펀트 농도(background dopant concentration)로서 언급될 수 있다. 그 이후의 실시예에서 반도체층(104, 204, 및 302)의 조합은 반도체층(304)으로서 언급될 것이며, 복합 반도체층(304)을 구성하는 개개의 층 사이의 점선은 포함되지 않을 것이다. 일 실시예에서, 매몰 도핑 영역(206 및 208)은 반도체층(304)의 주표면과 매몰 전도 영역(102) 중 일 이상의 영역, 또는 매몰 도핑 영역(106) 사이의 중간 지점의 상승부(elevation)에 놓인다. 또 다른 실시예에서, 매몰 도핑 영역(106)은 주표면(305)과 이격되어 있으며, 주표면(305)보다는 상기 주표면(305)과 반대쪽에 있는 반도체층(304)의 표면에 가깝게 놓인다.The composite semiconductor layer 304 has a major surface 305. The dopant concentration in the composite semiconductor layer 304 outside the buried doped regions 106, 206, and 208, and the dopant concentration prior to any optional selective doping with respect to the region in the composite semiconductor layer 304 are the background dopant concentration. May be referred to as. In subsequent embodiments the combination of semiconductor layers 104, 204, and 302 will be referred to as semiconductor layer 304, and no dashed lines between the individual layers making up composite semiconductor layer 304 will be included. In one embodiment, the buried doped regions 206 and 208 are raised at an intermediate point between the major surface of the semiconductor layer 304 and one or more of the buried conductive regions 102, or the buried doped region 106. ) In another embodiment, the buried doped region 106 is spaced apart from the major surface 305 and lies closer to the surface of the semiconductor layer 304 opposite the major surface 305 than the major surface 305.

도 4에 도시된 바와 같이, 주입 차단층(mplant screen layer)(402)이 주표면(305) 위에 형성될 수 있다. 주입 차단층(402)은 산화물, 질화물, 또는 산질화물을 포함할 수 있고, 대략 2 nm에서 50 nm 범위의 두께를 가질 수 있다. 주입 차단층(402)은 열적 성장 또는 증착 기법에 의해 형성될 수 있다. As shown in FIG. 4, an implant screen layer 402 may be formed over the major surface 305. The injection barrier layer 402 may comprise an oxide, nitride, or oxynitride and may have a thickness in the range of approximately 2 nm to 50 nm. The injection barrier layer 402 may be formed by thermal growth or deposition techniques.

마스크층(masking layer)(도시되지 않음)이 주입 차단층(402) 위에 형성되며, 패턴화되어 도핑 구조물(416)의 수직 부분(406)이 형성될 개구부만을 형성한다. 섹션(124 및 134) 내의 반도체층(304)의 일부분이 n-형 도펀트로 고농도 도핑되어 도핑 구조물(416)의 수직 부분(406)을 형성한다. 특정 실시예에서, 수직 부분(406)은 대략 1019 atoms/cm3 이상의 최고 도펀트 농도를 가지는 n-형 도펀트를 갖는다. 마스크층이 제거되며, 또 다른 마스크층(도시되지 않음)이 주입 차단층(402) 위에 형성되고 패턴화되어 도핑 구조물(418)의 수직 부분(408)이 형성될 개구부만을 형성한다. 섹션(132) 내의 반도체층(304)의 일부분이 p-형 도펀트로 고농도 도핑되어 도핑 구조물(418)의 수직 부분(408)을 형성한다. 특정 실시예에서, 수직 부분(408)은 대략 1019 atoms/cm3 이상의 최고 도펀트 농도를 가지는 p-형 도펀트를 갖는다. 그 후 나머지 마스크층이 제거된다. A masking layer (not shown) is formed over the injection barrier layer 402 and is patterned to form only openings in which the vertical portion 406 of the doped structure 416 will be formed. A portion of semiconductor layer 304 in sections 124 and 134 is heavily doped with n-type dopant to form vertical portion 406 of doped structure 416. In a particular embodiment, vertical portion 406 has an n-type dopant having a highest dopant concentration of approximately 10 19 atoms / cm 3 or greater. The mask layer is removed, and another mask layer (not shown) is formed over the injection barrier layer 402 and patterned to form only the opening in which the vertical portion 408 of the doped structure 418 is to be formed. A portion of semiconductor layer 304 in section 132 is heavily doped with p-type dopant to form vertical portion 408 of doped structure 418. In a particular embodiment, vertical portion 408 has a p-type dopant having a highest dopant concentration of approximately 10 19 atoms / cm 3 or greater. After that, the remaining mask layer is removed.

도핑 구조물(416)은 수직 부분(406) 및 수평 부분(가령, 매몰 도핑 영역(206))을 포함하고, 도핑 구조물(418)은 수직 부분(408) 및 수평 부분(가령, 매몰 도핑 영역(208))을 포함한다. 도핑 구조물(416 및 418)은 튜브(tub) 형태(3차원 묘사에서 볼 수 있는 바와 같이(도시되지 않음))이며, 도 4에 도시된 횡단면도에서는 U자 형태이다. 도핑 구조물(416 및 418)은 반도체층(304)의 내부 부분(426 및 428)을 각각 형성한다. 내부 부분(426 및 428)은 도핑 구조물(416 및 418)에 비해 더 낮은 도펀트 농도를 가진다. 내부 부분(426 및 428)은, 서로에 대해 그리고 도핑 구조물(416 및 418) 및 매몰 영역(106)과 이격된 영역의 반도체층(304)과 비교할 때, 동일하거나 다른 전도성 유형, 도펀트 및 농도를 가질 수 있다. 우물 영역(well region)이 도핑 구조물(416)과 내부 부분(426)의 조합, 및 도핑 구조물 (418)과 내부 부분(428)의 조합을 포함할 수 있다. 로우사이드 및 하이사이드 전력 트랜지스터보다 낮은 전압으로 동작하도록 설계된 전자적 구성요소가 우물 영역 내에 형성될 수 있고, 로우사이드 및 하이사이드 전력 트랜지스터로부터의 유의한 간섭 또는 그 밖의 다른 역효과 없이 정상적으로 동작할 수 있다. 이어지는 도면에서, 도핑 구조물(406 및 418)은 자신의 분리된 수평 및 수직 부분 없이 도시될 것이다. The doping structure 416 includes a vertical portion 406 and a horizontal portion (eg, investment doped region 206), and the doping structure 418 includes a vertical portion 408 and a horizontal portion (eg, investment doped region 208). )). Doped structures 416 and 418 are tubular (as shown in the three-dimensional depiction (not shown)) and U-shaped in the cross-sectional view shown in FIG. Doped structures 416 and 418 form inner portions 426 and 428 of semiconductor layer 304, respectively. Inner portions 426 and 428 have lower dopant concentrations as compared to doping structures 416 and 418. The inner portions 426 and 428 may have the same or different conductivity types, dopants and concentrations with respect to each other and when compared to the doped structures 416 and 418 and the semiconductor layer 304 in a region spaced from the buried region 106. Can have The well region may comprise a combination of the doping structure 416 and the inner portion 426, and a combination of the doping structure 418 and the inner portion 428. Electronic components designed to operate at lower voltages than the low side and high side power transistors can be formed within the well region and can operate normally without significant interference or other adverse effects from the low side and high side power transistors. In the figures that follow, the doping structures 406 and 418 will be shown without their separate horizontal and vertical portions.

도 5에서, 패드층(502) 및 저지층(504)(가령, 연마-저지층(polish-stop layer) 또는 식각-저지층(etch-stop layer))이 열성장 기법, 증착 기법, 또는 이들의 조합을 이용하여 반도체층(304) 위에 연이어 형성된다. 패드층(502) 및 저지층(504) 각각은 산화물, 질화물, 산질화물, 또는 이들의 조합을 포함할 수 있다. 실시예에서, 패드층(306)은 저지층(308)과 상이한 조성을 가진다. 특정 실시예에서, 패드층(306)은 산화물을, 저지층(308)은 질화물을 포함한다.In FIG. 5, the pad layer 502 and the stop layer 504 (eg, a polish-stop layer or an etch-stop layer) are thermal growth techniques, deposition techniques, or their It is formed successively on the semiconductor layer 304 using a combination of. Each of the pad layer 502 and the stop layer 504 may include an oxide, nitride, oxynitride, or a combination thereof. In an embodiment, the pad layer 306 has a different composition than the stop layer 308. In a particular embodiment, the pad layer 306 comprises an oxide and the stop layer 308 comprises a nitride.

저지층(504) 위에 패턴화된 마스크층(patterned masking layer)(522)이 형성된다. 패턴화된 마스크층(522) 내의 개구부가, 수직 도핑 영역이 형성될 곳에 형성된다. 수직 도핑 영역은 섹션(122, 124, 126 및 134) 내에 형성된다. 따라서, 패턴화된 마스크층(522)은 섹션(132 및 136)의 저지층(504) 모두를 실질적으로 덮는다. 특정 실시예에서, 패드층(502)과 저지층(504)의 노출 부분이 제거되어 반도체층(304)의 일부분이 노출된다. 또 다른 실시예(도시되지 않음)에서, 패드층(502), 또는 패드층(502)과 저지층(504) 모두의 노출 부분이 식각되지 않는다. 패드층(502), 또는 패드층(502)과 저지층(504) 모두의 존재는 뒤이은 주입공정 동안, 주입 채널링 현상을 줄이는데 도움을 줄 수 있다.A patterned masking layer 522 is formed over the stop layer 504. Openings in the patterned mask layer 522 are formed where vertical doped regions are to be formed. Vertical doped regions are formed in sections 122, 124, 126, and 134. Thus, the patterned mask layer 522 substantially covers both the stop layer 504 of the sections 132 and 136. In certain embodiments, exposed portions of pad layer 502 and stop layer 504 are removed to expose portions of semiconductor layer 304. In another embodiment (not shown), the exposed portions of the pad layer 502 or both the pad layer 502 and the stop layer 504 are not etched. The presence of the pad layer 502, or both the pad layer 502 and the stop layer 504, may help to reduce the implant channeling phenomenon during subsequent implantation processes.

패턴화된 마스크층(522)의 개구부 아래의 반도체층의 부분이 주입처리되어 도핑 구조물(526)의 수직 도핑 영역(524)을 형성한다. 주입은 단일 주입공정 또는 복수의 주입공정으로서 수행될 수 있다. 복수의 주입공정이 수행되는 경우, 서로 다른 에너지, 서로 다른 종, 또는 서로 다른 에너지와 종이 수직 도핑 영역(524)을 위해 이용될 수 있다. 수직 도핑 영역(524)의 전도성 유형은 매몰 도핑 영역(106)과는 동일하고, 매몰 전도 영역(102)의 전도성 유형과는 반대일 수 있다. 특정 실시예에서, 수직 도핑 영역(524)은 p-형이고, 대략 1018 atoms/cm3 이상의 도펀트 농도를 가진다. 수직 도핑 영역(524) 및 매몰 도핑 영역(106)의 조합은 섹션(122, 124, 126, 및 134) 내의 반도체층(304)의 부분을 고립시키는데 도움이 될 수 있다. 도핑 구조물(526)은, 매몰 도핑 영역(106)과 수직 도핑 영역(524)의 조합을 포함한다. 이어지는 도면에서, 도핑 구조물 (526)은 자신의 분리된 매몰 도핑 영역(106)과 수직 도핑 영역(524) 없이 도시될 수 있다. 주입공정 이후, 패턴화된 마스크층(522)은 제거된다. 본 명세서에서 후술되는 또 다른 실시예에서, 그 밖의 다른 기법을 이용하여 수직 도핑 영역이 형성될 수 있고, 또 다른 실시예에서 상기 수직 도핑 영역은 생략될 수 있다.A portion of the semiconductor layer below the opening of the patterned mask layer 522 is implanted to form a vertical doped region 524 of the doped structure 526. Injection may be performed as a single injection process or as a plurality of injection processes. When a plurality of implantation processes are performed, they may be used for different energy, different species, or different energy and paper vertical doped regions 524. The conductivity type of the vertical doped region 524 is the same as the buried doped region 106 and may be the opposite of the conductivity type of the buried conductive region 102. In a particular embodiment, the vertical doped region 524 is p-type and approximately 10 18 atoms / cm 3 It has the above dopant concentration. The combination of the vertical doped region 524 and the buried doped region 106 may help to isolate portions of the semiconductor layer 304 within the sections 122, 124, 126, and 134. Doped structure 526 includes a combination of buried doped region 106 and vertical doped region 524. In the figures that follow, the doped structure 526 may be shown without its separate buried doped region 106 and vertical doped region 524. After the implantation process, the patterned mask layer 522 is removed. In another embodiment described below, vertical doped regions can be formed using other techniques, and in another embodiment the vertical doped regions can be omitted.

패드층(502) 및 저지층(504)이 제거되는 위치 위에 또 다른 패턴화된 마스크층(도시되지 않음)이 형성되며, 뒤이어 트렌치가 형성된다. 공정 중 이와 같은 지점에서, 패드층(502) 및 저지층(504)은 섹션(132 및 136) 내에 패턴화될 수 있다. 패드층(502), 또는 패드층(502)과 저지층(504) 모두가 섹션(122, 124, 126, 및 134) 내에서 패턴화되지 않는 경우, 섹션(122, 124, 126, 및 134) 내의 패드층(502), 또는 패드층(502)과 저지층(504)은 섹션(132, 136 중 하나 이상) 내의 대응하는 부분과 패턴화된다. 패드층(502) 및 저지층(504)이 적합한 섹션 내에 패턴화된 이후에, 그 밖의 다른 패턴화된 마스크층이 제거된다.Another patterned mask layer (not shown) is formed over the location where the pad layer 502 and the stop layer 504 are removed, followed by a trench. At this point in the process, the pad layer 502 and the stop layer 504 may be patterned in the sections 132 and 136. If the pad layer 502, or both the pad layer 502 and the stop layer 504, are not patterned within the sections 122, 124, 126, and 134, the sections 122, 124, 126, and 134. Pad layer 502, or pad layer 502 and stop layer 504, is patterned with corresponding portions within sections 132, 136. After the pad layer 502 and the stop layer 504 are patterned in suitable sections, other patterned mask layers are removed.

도 6에 도시된 바와 같이, 측벽 스페이서(622)가 패드층(502) 및 저지층(504) 내의 개구부에 인접하게 형성된다. 측벽 스페이서(622)는 뒤이어 형성되는 트렌치의 폭 및 상기 트렌치의 측벽을 따라 놓인 도핑 구조물(526)의 나머지 부분의 폭을 결정하는데 이용될 수 있다. 측벽 스페이서(622)는 희생층을 증착시키고, 희생층을 이방성으로 식각함으로써 형성될 수 있다. 특정 실시예에서, 희생층은 산화물, 질화물, 산질화물, 또는 이들의 임의의 조합을 포함할 수 있다. 특정 실시예에서, 희생층 및 저지층(504)은 서로 다른 조성을 가진다. 희생층의 두께는 대략 900 nm 또는 대략 700 nm 이하이거나, 대략 50 nm 또는 대략 100 nm 이상일 수 있다.As shown in FIG. 6, sidewall spacers 622 are formed adjacent the openings in pad layer 502 and stop layer 504. The sidewall spacers 622 may be used to determine the width of subsequent trenches and the width of the remaining portion of the doped structure 526 lying along the sidewalls of the trenches. The sidewall spacers 622 may be formed by depositing a sacrificial layer and anisotropically etching the sacrificial layer. In certain embodiments, the sacrificial layer can include oxides, nitrides, oxynitrides, or any combination thereof. In certain embodiments, the sacrificial layer and the stop layer 504 have different compositions. The thickness of the sacrificial layer may be about 900 nm or about 700 nm or less, or about 50 nm or about 100 nm or more.

반도체층(304) 및 도핑 구조물(526)의 노출 부분이 식각되어, 주표면(305)에서부터 매몰 전도 영역(102)쪽으로 뻗는 트렌치(624)를 형성한다. 트렌치(624)는 반도체층(304), 또는 도핑 구조물(526) 및 매몰 도핑 영역(106)을 부분적으로 또는 완전히 통과해 뻗어 있다. 트렌치(624)의 폭이 그리 넓지 않기 때문에 뒤이어 형성되는 전도층이 트렌치(624)를 채울 수 없다. 특정 실시예에서, 트렌치(624) 각각의 폭은 대략 0.3 마이크론 또는 대략 0.5 마이크론 이상이며, 또 다른 특정 실시예에서 트렌치(624) 각각의 폭은 대략 4 마이크론 또는 대략 2 마이크론 이하이다. 본 명세서를 읽은 후라면, 기술된 특정 치수 이외에 더 좁거나 더 넓은 폭이 사용될 수 있음이 해당업계 종사자에게 이해될 것이다. 트렌치(624)는 매몰 전도 영역(102)으로 뻗어 있을 수 있으나, 필요하거나 바람직한 경우 더 얕아질 수 있다. 트렌치(624)는 이방성 식각을 이용하여 형성될 수 있다. 실시예에서, 정해진 식각 시간(timed etch)으로 수행될 수 있고, 또 다른 실시예에서 종료점 검출(가령, 매몰 전도 영역(102)으로부터 비소 또는 안티몬과 같은 도펀트 종 검출)과 정해진 과도식각 시간(timed overetch)의 조합이 이용될 수 있다.The exposed portions of the semiconductor layer 304 and the doping structure 526 are etched to form trenches 624 that extend from the major surface 305 toward the buried conductive region 102. The trench 624 extends partially or completely through the semiconductor layer 304, or the doped structure 526 and the buried doped region 106. Since the width of trench 624 is not so wide, subsequent conductive layers cannot fill trench 624. In certain embodiments, the width of each of trenches 624 is greater than about 0.3 microns or about 0.5 microns, and in another particular embodiment, the width of each of trenches 624 is less than about 4 microns or about 2 microns. After reading this specification, it will be understood by those skilled in the art that narrower or wider widths may be used in addition to the specific dimensions described. The trench 624 may extend into the buried conducting region 102, but may be shallower if necessary or desirable. Trench 624 may be formed using anisotropic etching. In embodiments, it may be performed with a predetermined timed etch, and in another embodiment end point detection (e.g., detection of dopant species such as arsenic or antimony from the buried conducting region 102) and a predetermined timed etch time. overetch) may be used.

절연 측벽 스페이서(Insulating sidewall spacer)(626)가 트렌치(624)의 노출된 측벽을 따라 형성될 수 있다. 절연 스페이서는 산화물, 질화물, 산질화물, 또는 이들의 임의의 조합을 포함할 수 있다. 절연 측벽 스페이서(626)가 형성되는 층이 열적으로 성장하거나 또는 증착되며, 이방성으로 식각되어 트렌치(624)의 하부로부터 층이 제거된다. 필요하거나 바람직한 경우, 트렌치(624)가 매몰 전도 영역(102)에 가깝게, 또는 추가로 상기 매몰 전도 영역(102) 내부로 뻗어 있도록 식각공정이 수행될 수 있다. 또 다른 실시예에서, 절연 측벽 스페이서(626)는 모든 트렌치(624) 내에서 필요하지 않거나, 또는 아예 형성되지 않는다. 특정 실시예에서, 절연 측벽 스페이서(626)는 섹션(132, 134, 및 136)의 트랜치(624) 내에서만 이용되며, 섹션(122, 124, 및 126)의 트렌치(624) 내에서는 이용되지 않는다. 그 밖의 다른 실시예에서, 절연 측벽 스페이서(626)를 포함하거나 포함하지 않는 임의의 섹션의 여러 다른 조합이 이용될 수 있다.Insulating sidewall spacers 626 may be formed along the exposed sidewalls of the trench 624. Insulating spacers may include oxides, nitrides, oxynitrides, or any combination thereof. The layer on which the insulating sidewall spacer 626 is formed is thermally grown or deposited and is anisotropically etched to remove the layer from the bottom of the trench 624. If necessary or desired, an etching process may be performed such that trench 624 extends close to the buried conductive region 102 or further into the buried conductive region 102. In another embodiment, insulating sidewall spacers 626 are not needed or formed at all in trench 624. In certain embodiments, insulating sidewall spacers 626 are used only within trenches 624 of sections 132, 134, and 136, not within trenches 624 of sections 122, 124, and 126. . In other embodiments, various other combinations of any section with or without insulating sidewall spacers 626 may be used.

저지층(504) 위 및 트렌치(624) 내에 전도층이 형성되며, 특정 실시예에서, 전도층은 트렌치(624)를 실질적으로 채운다. 전도층은 다결정일 수 있고, 금속 함유 물질 또는 반도체 함유 물질을 포함할 수 있다. 실시예에서, 전도층은 비정질 실리콘 또는 폴리실리콘과 같이 고농도로 도핑된 반도체 물질을 포함할 수 있다. 또 다른 실시예에서, 전도층은 접착 필름, 차단 필름, 및 전도성 필름 물질과 같은 복수의 필름을 포함한다. 특정 실시예에서, 접착 필름은 티타늄, 탄탈 등과 같은 내화성 금속을 포함할 수 있다. 차단 필름은 티타늄 질화물, 탄탈 질화물 등과 같은 내화성 금속 질화물, 또는 TaSiN 과 같은 내화성 금속-반도체-질화물을 포함할 수 있다. 그리고 전도성 필름 물질은 텅스텐 또는 텅스텐 규화물을 포함할 수 있다. 특정 실시예에서, 전도층은 Ti/TiN/W을 포함할 수 있다. 필름의 개수와 이들 필름의 구성에 대한 선택은 전기적 성능, 뒤이은 가열 사이클(heat cycle)의 온도, 또 다른 척도, 또는 이들의 임의의 조합에 따라 결정된다. 내화성 금속 및 내화성 금속 함유 복합물은 높은 온도를 견딜 수 있고(가령, 이러한 물질의 녹는점은 1400℃ 이상일 수 있다), 순응적으로 증착될 수 있으며, 고농도로 도핑된 n-형 실리콘보다 낮은 몸체 저항을 가진다. 본 명세서를 읽은 후라면, 특정 이용을 위하여 해당 업계 종사자가 그들의 요구에 맞는 전도층의 조성을 결정할 수 있을 것이다. A conductive layer is formed over the stop layer 504 and in the trench 624, and in certain embodiments, the conductive layer substantially fills the trench 624. The conductive layer can be polycrystalline and can include a metal containing material or a semiconductor containing material. In an embodiment, the conductive layer can include a heavily doped semiconductor material, such as amorphous silicon or polysilicon. In yet another embodiment, the conductive layer includes a plurality of films, such as an adhesive film, a barrier film, and a conductive film material. In certain embodiments, the adhesive film may comprise refractory metals such as titanium, tantalum, and the like. The barrier film may comprise refractory metal nitrides such as titanium nitride, tantalum nitride, or the like, or refractory metal-semiconductor-nitrides such as TaSiN. And the conductive film material may comprise tungsten or tungsten silicide. In certain embodiments, the conductive layer may comprise Ti / TiN / W. The choice of the number of films and the composition of these films depends on the electrical performance, the temperature of the subsequent heat cycle, another measure, or any combination thereof. Refractory metals and refractory metal-containing composites can withstand high temperatures (eg, the melting point of such materials can be 1400 ° C. or higher), can be deposited adaptively, and have a lower body resistance than highly doped n-type silicon. Has After reading this specification, those skilled in the art will be able to determine the composition of the conductive layer to suit their needs for a particular use.

도 7에 도시된 바와 같이, 저지층(504) 위에 놓인 전도층의 부분이 제거되어 트렌치(624) 내에 전도성 구조물(conductive structure)(724)을 형성할 수 있다. 제거는 화학-기계적 연마 또는 블랭킷 식각(blanket etching) 기법을 이용하여 수행될 수 있다. 저지층(504)은 연마-저지층(polish-stop layer) 또는 식각-저지층(etch-stop layer)으로서 이용될 수 있다. 연마 또는 식각 공정은, 작업부재에 걸친 전도층 두께의 불균일성과, 연마 또는 식각 작업의 불균일성 중 하나 이상의 불균일성을 고려하기 위해, 저지층(504)에 도달된 후에도 비교적 짧은 시간 동안 계속될 수 있다. 도7에 도시된 바와 같이, 필요하거나 바람직한 경우, 식각 또는 그 밖의 다른 제거 공정이, 전도성 구조물(724)이 트렌치(624)를 향하여 더욱 더 오목하게 되도록 하는데 이용될 수 있다. 오목한 전도성 구조물(724)은, 도핑 구조물(526)의 수직-배향적 도핑 부분과 전도성 구조물(724)이 더욱 더 쉽게 서로 전기적으로 연결될 수 있도록 한다. 전도성 구조물(724)은 수직 전도 영역을 형성한다. 완성된 전자 장치의 형태에서, 전도성 구조물(724)과 매몰 전도 영역(102)의 조합은, 하이사이드 전력 트랜지스터의 소스를 로우사이드 전력 트랜지스터의 드레인에 전기적으로 연결한다.As shown in FIG. 7, portions of the conductive layer overlying the stop layer 504 may be removed to form a conductive structure 724 in the trench 624. Removal may be performed using chemical-mechanical polishing or blanket etching techniques. The stop layer 504 may be used as a polish-stop layer or an etch-stop layer. The polishing or etching process may continue for a relatively short time after reaching the stopper layer 504 to account for the non-uniformity of the conductive layer thickness across the work piece and one or more of the nonuniformity of the polishing or etching operation. As shown in FIG. 7, if necessary or desired, an etching or other removal process can be used to cause the conductive structure 724 to become more concave towards the trench 624. The concave conductive structure 724 allows the vertically-oriented doping portion of the doping structure 526 and the conductive structure 724 to be electrically connected to each other more easily. Conductive structure 724 forms a vertical conducting region. In the form of a completed electronic device, the combination of the conductive structure 724 and the buried conductive region 102 electrically connects the source of the high side power transistor to the drain of the low side power transistor.

측벽 스페이서(622), 및 트렌치(624) 내의 절연 측벽 스페이서(626)의 노출된 부분이 제거된다. 습식 또는 건식 식각제를 이용하는 등방성 식각 기법으로 제거가 수행된다. 특정 실시예에서, 측벽 스페이서(622) 및 절연 측벽 스페이서(626)는 산화물을 포함하고, 저지층(504)은 질화물을 포함하며, 따라서, 측벽 스페이서(622) 및 절연 측벽 스페이서(626)는 저지층(504)의 상당한 양을 제거하지 않고 선택적으로 제거될 수 있다. 공정 중 이와 같은 지점에서, 반도체층(340), 도핑 구조물(526), 및 전도성 구조물(724)의 부분이 노출된다.Sidewall spacers 622 and exposed portions of insulating sidewall spacers 626 in trench 624 are removed. Removal is performed by an isotropic etching technique using wet or dry etching agents. In certain embodiments, sidewall spacers 622 and insulated sidewall spacers 626 include oxide, and stop layer 504 includes nitride, such that sidewall spacers 622 and insulated sidewall spacers 626 are resistant It may optionally be removed without removing a significant amount of layer 504. At this point in the process, the semiconductor layer 340, the doped structure 526, and portions of the conductive structure 724 are exposed.

또 다른 실시예(도시되지 않음)에서, 섹션(136)의 로우사이드 전력 트렌지스터 내에서, 트렌치(624)에 가까운 반도체층(304)의 부분이 도핑되어 로우사이드 전력 트랜지스터의 드레인 영역의 부분을 형성할 수 있다. 이와 유사하게, 섹션(126)의 하이사이드 전력 트랜지스터 내에서, 트렌치(624)로부터 이격되어 있는 반도체층(304)의 부분이 도핑되어 하이사이드 전력 트랜지스터의 드레인 영역의 부분을 형성할 수 있다. 이들 도핑 영역 모두를 형성하기 위해 동일한 주입공정 단계가 이용될 수 있고, 집적 회로의 그 밖의 다른 섹션에 걸쳐 마스크가 형성될 수 있다. 섹션(136)의 반도체층(304)의 부분이 도핑된 후, 마스크는 제거된다.In another embodiment (not shown), within the low side power transistor of section 136, a portion of semiconductor layer 304 near trench 624 is doped to form a portion of the drain region of the low side power transistor. can do. Similarly, within the high side power transistor of section 126, a portion of semiconductor layer 304 spaced apart from trench 624 may be doped to form a portion of the drain region of the high side power transistor. The same implantation steps can be used to form all of these doped regions, and a mask can be formed over other sections of the integrated circuit. After the portion of the semiconductor layer 304 of the section 136 is doped, the mask is removed.

도 8에서, 전도성 플러그(824)가 형성되어 전도성 구조물(724)를 도핑 구조물(526)(및, 잠재적으로 반도체층(304) 내의 그 밖의 다른 영역)에 전기적으로 연결할 수 있다. 전도성 플러그(824)는, 이번 실시예에서 전도성 플러그(82)가 트렌치(624) 내에서 오목해지는 것을 제외하고, 전도성 구조물(724) 형성을 위한 임의의 물질 및 방법을 이용하여 형성될 수 있다. 전도성 플러그(824) 및 전도성 구조물(724)은 동일한 물질 또는 서로 다른 물질을 포함할 수 있고, 동일한 기법 또는 서로 다른 기법을 이용하여 형성될 수 있다. 패드층(502) 및 저지층(504)은 공정 중 이와 같은 지점에서 제거될 수 있다. 또 다른 실시예에서, 비교적 평평한 표면을 얻고자 하는 경우(가령, 전도성 플러그(824)의 상부가 반도체층(304)의 주표면(305)과 거의 동일한 상승부(elevation)에 있는 경우), 반도체층(304) 위에 놓인 전도성 플러그(824)의 부분이 제거될 수 있다.In FIG. 8, a conductive plug 824 may be formed to electrically connect the conductive structure 724 to the doping structure 526 (and potentially other regions within the semiconductor layer 304). Conductive plug 824 can be formed using any material and method for forming conductive structure 724, except that in this embodiment conductive plug 82 is recessed in trench 624. The conductive plug 824 and the conductive structure 724 may include the same material or different materials, and may be formed using the same technique or different techniques. Pad layer 502 and stop layer 504 may be removed at this point in the process. In another embodiment, when a relatively flat surface is desired (e.g., the top of the conductive plug 824 is at the same elevation as the major surface 305 of the semiconductor layer 304), the semiconductor The portion of the conductive plug 824 overlying the layer 304 can be removed.

공정 중 이와 같은 지점에서, 주표면(305)에 인접한 전자적 구성요소의 형성이 시작될 수 있고, 또는 전자적 구성요소의 제작이 이미 시작된 경우, 제작이 계속될 수 있다. 도 9는 제작 공정의 일부가 수행된 이후 부분적으로 형성된 집적회로를 도시한다. 주입 차단층(도시되지 않음)이 주표면(305) 위에 형성될 수 있다. 도핑 영역이 반도체층(304) 내 및 내부 영역(426 및 428) 내에 선택적으로 각각 형성될 수 있다. 도핑 영역은 하이사이드 및 로우사이드 전력 트랜지스터를 위한 드레인 영역(902 및 904)을 각각 포함할 수 있다. 드레인 영역(902 및 904) 각각은, 비교적 높은 도펀트 농도와 비교적 깊은 부분, 및 비교적 저농도인 도펀트 농도와 비교적 얕은 부분을 포함한다. 비교적 깊은 부분은 높은 전도성을 띄고 높은 전압에 있도록 설계되며, 비교적 얕은 부분은 다소 좀 더 저항적이고, 뒤이어 형성되는 게이트 유전층 및 게이트 전극 가까이의 전압을 감소시킨다. 고전압이 하이사이드 또는 로우사이드 전력 트랜지스터의 드레인에 인가되는 정상 동작 상태 하에서, 드레인 영역(902 또는 904)의 비교적 얕은 부분의 전체 또는 대부분이 캐리어 공핍상태가 될 것이며, 드레인 영역(902 또는 904)의 비교적 깊은 부분의 전체 또는 대부분은 캐리어-비공핍상태(undepleted of carrier)가 될 것이다. 제한이 없는 특정 실시예에서, 드레인 영역(902 및 904)의 비교적 더 얕은 부분은, 매몰 전도 영역(102)으로부터 이격되어 있는 수평-배향적 도핑 영역이다. 정상 동작 상태에서, 드레인 영역(902 및 904)의 비교적 더 얕은 부분을 통과하는 주요한 전하 캐리어(전자) 또는 전류 흐름은 수평 방향일 것이다.At this point in the process, the formation of the electronic component adjacent to the major surface 305 may begin, or if the manufacture of the electronic component has already begun, the production may continue. 9 illustrates an partially formed integrated circuit after part of the fabrication process has been performed. An injection barrier layer (not shown) may be formed over the major surface 305. Doped regions may be selectively formed in semiconductor layer 304 and in interior regions 426 and 428, respectively. The doped region may include drain regions 902 and 904 for high side and low side power transistors, respectively. Each of the drain regions 902 and 904 includes a relatively high dopant concentration and a relatively deep portion, and a relatively low dopant concentration and a relatively shallow portion. The relatively deep portions are designed to be highly conductive and at high voltages, while the relatively shallow portions are somewhat more resistive, and subsequently reduce the voltages near the gate dielectric layer and the gate electrode. Under normal operating conditions where a high voltage is applied to the drain of a high side or low side power transistor, all or most of the relatively shallow portion of the drain region 902 or 904 will be in a carrier depletion state, and the drain region 902 or 904 All or most of the relatively deep portion will be in an undeleted of carrier. In certain non-limiting embodiments, the relatively shallower portions of the drain regions 902 and 904 are horizontally-oriented doped regions spaced from the buried conducting region 102. In normal operating conditions, the primary charge carriers (electrons) or current flow through the relatively shallower portions of the drain regions 902 and 904 will be in the horizontal direction.

드레인 영역(902 및 904)의 비교적 깊은 부분은 동일한 마스크층 및 도핑 파라미터를 이용하여 형성될 수 있다. 이러한 비교적 깊은 부분은 도핑 구조물(526)의 도펀트 유형과 반대되는 도펀트 유형을 포함할 수 있고, 대략 1019 atoms/cm3 이상의 도펀트 농도를 가질 수 있으며, 비교적 얕은 부분은 도핑 구조물(526)의 도펀트 유형과 반대되는 도펀트 유형을 포함할 수 있고, 대략 1019 atoms/cm3 미만 및 대략 1016 atoms/cm3 이상의 도펀트 농도를 가질 수 있다. 특정 실시예에서, 이러한 비교적 깊은 부분은 서로 동일한 마스크층, 동일한 주입 종, 및 그 밖의 다른 주입 파라미터를 이용하여 형성될 수 있고, 비교적 얕은 부분은 서로 동일한 마스크층, 주입 종, 및 그 밖의 다른 주입 파라미터를 이용하여 형성될 수 있다. 그러나, 마스크층, 주입 종, 및 파라미터는 비교적 얕은 부분과 비교할 때 비교적 깊은 부분에 대해 다를 수 있다.The relatively deep portions of the drain regions 902 and 904 can be formed using the same mask layer and doping parameters. This relatively deep portion may comprise a dopant type that is opposite to the dopant type of the doping structure 526 and may be approximately 10 19 atoms / cm 3. May have a dopant concentration of greater than or equal to, and the relatively shallow portion may comprise a dopant type opposite to the dopant type of the doping structure 526, and approximately 10 19 atoms / cm 3 And a dopant concentration of less than about 10 16 atoms / cm 3 or greater. In certain embodiments, these relatively deep portions can be formed using the same mask layers, the same implant species, and other implant parameters, and the relatively shallow portions are the same mask layers, implant species, and other implants from each other. It can be formed using a parameter. However, the mask layer, implant species, and parameters may differ for relatively deep portions as compared to relatively shallow portions.

이러한 비교적 얕은 부분은 대략 0.1 마이크론에서 대략 0.5 마이크론 범위의 두께를 가지며, 비교적 깊은 부분에서부터 대략 0.2 마이크론에서 대략 2.0 마이크론 범위만큼 측면으로 뻗어있다. 수직 배향적인 전도성 구조물, 또는 드레인 영역(902 및 904)의 비교적 깊은 부분으로부터의) 측면 치수는 형성될 전력 트랜지스터의 소스 및 드레인 사이의 전압차에 따라 결정될 수 있다. 트랜지스터의 소스 및 드레인 사이의 전압차가 증가함에 따라, 측면 치수 또한 증가할 수 있다. 실시예에서, 전압차는 대략 30V 이하이며, 또 다른 실시예에서, 전압 차는 20V 이하이다. 비교적 얕은 부분 내의 최고 도핑 농도는 대략 2 x 1017 atoms/cm3 에서 대략 2 x 1018 atoms/cm3 범위일 수 있고, 특정 실시예에서는 대략 4 x 1017 atoms/cm3 에서 대략 7 x 1017 atoms/cm3범위일 수 있다.This relatively shallow portion has a thickness in the range of approximately 0.1 microns to approximately 0.5 microns and extends laterally from the relatively deep portion to the range of approximately 0.2 microns to approximately 2.0 microns. The lateral dimension (from the vertically oriented conductive structure, or the relatively deep portions of the drain regions 902 and 904) may be determined depending on the voltage difference between the source and the drain of the power transistor to be formed. As the voltage difference between the source and the drain of the transistor increases, the lateral dimension may also increase. In an embodiment, the voltage difference is about 30V or less, and in yet another embodiment, the voltage difference is 20V or less. The highest doping concentration in the relatively shallow portion may range from approximately 2 x 10 17 atoms / cm 3 to approximately 2 x 10 18 atoms / cm 3 , in certain embodiments approximately 4 x 10 17 atoms / cm 3 at approximately 7 x 10 It may range from 17 atoms / cm 3 .

대안적 실시예에서, 드레인 영역(902 및 904)의 비교적 얕은 부분은 하이사이드 및 로우사이드 전력 트렌지스터의 단위 셀의 길이를 가로질러 연속적으로 뻗을 수 있다(즉, 채널 및 소스 영역이 뒤이어 형성될 영역까지 뻗어 있다). 후술될 채널 영역의 도핑이, 채널 영역 내의 드레인 영역의 부분을 역도핑(counter-dope)하는 것에 상응하게 증가된다. 드레인 영역(902 및 904)의 비교적 얕은 부분을 채널 영역 내부로 뻗어 있게 하는 것의 이점은 드레인 마스크층의 정렬불량(misalignment)의 영향을 줄이거나 제거할 수 있는 것이다. 추가적 실시예에서, 이러한 마스크층이 제거됨으로써 전체 작업부재에 걸쳐 계속적으로 드레인 영역(902 및 904)의 비교적 얕은 부분을 형성하는 주입공정이 가능해진다.In alternative embodiments, the relatively shallow portions of the drain regions 902 and 904 may extend continuously across the length of the unit cells of the high side and low side power transistors (ie, regions where channel and source regions will be formed subsequently). Stretched out). Doping of the channel region to be described later is increased correspondingly to counter-dope a portion of the drain region in the channel region. An advantage of allowing the relatively shallow portions of the drain regions 902 and 904 to extend into the channel region is to reduce or eliminate the effects of misalignment of the drain mask layer. In a further embodiment, this mask layer is removed to allow an implantation process to form relatively shallow portions of the drain regions 902 and 904 continuously over the entire work piece.

절연층(922)이 전도성 플러그(824) 위에 형성된다. 절연층(922)은 서로 다른 두께를 가지는 둘 이상의 서로 다른 유형의 영역을 포함한다. 사실상, 절연층(922)은 계단 구조(terraced configuration)를 가진다. 도 9에 도시된 바와 같이, 하이사이드 및 로우사이드 전력 트랜지스터 내에서, 절연층(922)은 각각 서로 다른 두께를 가지는 세 영역을 포함한다. 절연층(922)은 주입 차단층을 포함하거나, 포함하지 않을 수 있다. 절연층(922)의 비교적 얇은 영역이 드레인 영역(902 및 904)의 비교적 얕은 부분 위에 놓이며, 주표면(305)에 가까운 반도체층(304) 부분의 위 및 드레인 영역(902 및 904)의 밖에 놓인다. 절연층(922)의 비교적 두꺼운 영역은 드레인(902 및 904)의 비교적 깊은 부분 위에 놓인다. 절연층(922)의 중간 영역은 비교적 얇은 영역과 비교적 두꺼운 영역 사이에 놓일 수 있으며, 선택적 특징부다.An insulating layer 922 is formed over the conductive plug 824. The insulating layer 922 includes two or more different types of regions having different thicknesses. In fact, the insulating layer 922 has a terraced configuration. As shown in FIG. 9, in the high side and low side power transistors, the insulating layer 922 includes three regions each having a different thickness. The insulating layer 922 may or may not include an injection blocking layer. A relatively thin region of the insulating layer 922 lies on the relatively shallow portions of the drain regions 902 and 904, and above the portion of the semiconductor layer 304 close to the main surface 305 and outside the drain regions 902 and 904. Is placed. A relatively thick region of insulating layer 922 lies over the relatively deep portions of drains 902 and 904. The middle region of the insulating layer 922 may lie between a relatively thin region and a relatively thick region and is an optional feature.

실시예에서, 비교적 얇은 영역은 대략 0.02 마이크론 이상 또는 대략 0.05 마이크론 이상의 두께를 가지며, 또 다른 실시예에서, 비교적 얇은 영역은 대략 0.2 마이크론 이하 또는 대략 0.1 마이크론 이하의 두께를 가진다. 실시예에서, 비교적 두꺼운 영역은 대략 0.15 마이크론 이상 또는 대략 0.25 마이크론 이상의 두께를 가지며, 또 다른 실시예에서, 비교적 두꺼운 영역은 대략 0.8 마이크론 이하 또는 대략 0.5 마이크론 이하의 두께를 가진다. 중간 영역(비교적 얇은 영역과 비교적 두꺼운 영역 사이)은 비교적 얇은 영역, 또는 비교적 두꺼운 영역, 또는 비교적 얇은 영역과 비교적 두꺼운 영역 사이의 두께와 실질적으로 동일한 두께를 가질 수 있다. 실시예에서, 중간 영역은 대략 0.05 마이크론 이상 또는 대략 0.15 마이크론 이상의 두께를 가지며, 또 다른 실시예에서, 중간 영역은 대략 0.5 마이크론 이하 또는 대략 0.25 마이크론 이하의 두께를 가진다. 특정 실시예에서, 비교적 얇은 영역은 대략 0.03 마이크론에서 대략 0.08 마이크론 범위의 두께를 가지고, 비교적 두꺼운 영역은 대략 0.3 마이크론에서 대략 0.5 마이크론 범위의 두께를 가지며, 중간 영역은 대략 0.13 마이크론에서 대략 0.2 마이크론 범위의 두께를 가진다.In an embodiment, the relatively thin region has a thickness of at least about 0.02 microns or at least about 0.05 microns, and in yet another embodiment, the relatively thin region has a thickness of about 0.2 microns or less or about 0.1 microns or less. In an embodiment, the relatively thick areas have a thickness of at least about 0.15 microns or at least about 0.25 microns, and in yet another embodiment, the relatively thick areas have a thickness of about 0.8 microns or less or about 0.5 microns or less. The intermediate region (between relatively thin and relatively thick regions) may have a thickness that is substantially equal to a relatively thin region, or a relatively thick region, or a thickness between a relatively thin region and a relatively thick region. In an embodiment, the middle region has a thickness of at least about 0.05 microns or at least about 0.15 microns, and in yet another embodiment, the middle region has a thickness of about 0.5 microns or less or about 0.25 microns or less. In certain embodiments, the relatively thin region has a thickness in the range of approximately 0.03 microns to approximately 0.08 microns, the relatively thick region has a thickness in the range of approximately 0.3 microns to approximately 0.5 microns, and the middle region ranges from approximately 0.13 microns to approximately 0.2 microns. Has a thickness of.

절연층(922)은 여러 다른 기법에 의해 형성될 수 있고, 횡단면도에서 보이는 바와 같이 여러 다른 형태로 형성될 수 있다. 절연층(922)은 작업부재 위에 증착되는 단일의 절연성 필름 또는 복수의 절연성 필름으로부터 형성될 수 있다. 달인의 절연성 필름 또는 복수의 절연성 필름은 산화물, 질화물, 산질화물, 또는 이들의 임의의 조합을 포함할 수 있다. 특정 실시예에서, 절연층(922)의 특징은 주입 차단층(1100)으로부터 먼 지점과 대응하는 주입 차단층(1100)에 가까운 지점에 대하여 다를 수 있다. 실시예에서, 절연층(922)의 조성은 증착하는 동안 또는 증착 사이에서 변화할 수 있다. 예를 들어, 산화물 필름은 반도체층(304)에 더 가까울 수 있고, 질화물 필름은 산화물 필름 위에 증착될 수 있다. 또 다른 실시예에서, 인(phosphorus)과 같은 도펀트는 증착의 나중 단계(later part) 동안 증가하는 농도로 포함될 수 있다. 또 다른 실시예에서, 절연층(922)의 두께에 걸쳐 조성이 실질적으로 동일함에도 불구하고, 증착 파라미터(가령, 무선 주파수 전력, 압력 등)를 변경함으로써 필름 내의 응력(stress)이 변경될 수 있다. 추가적 실시에에서, 전술된 조합이 이용될 수 있다. 마스크가 비교적 두꺼운 영역과 중간 영역 위에 형성되며, 필요한 형태를 얻기 위해 패턴화 기법이 이용된다. 이러한 기법은, 절연층(922)의 부분을 등방성으로 식각하는 것, 절연 물질을 대신 식각하고 그 위에 놓이는 마스크의 측벽 식각을 식각하는 것, 절연 물질을 식각하고 그 위에 놓이는 마스크의 측벽을 식각하는 것, 서로 다른 조성의 이점을 이용하는 것(도핑된 산화물은 도핑되지 않은 산화물보다 빨리 식각됨), 패턴을 형성하고 그 후 측벽 스페이서를 형성하는 것, 또 다른 적합한 기법, 또는 이들의 임의의 조합을 포함한다.The insulating layer 922 may be formed by various other techniques, and may be formed in various other shapes as shown in the cross-sectional view. The insulating layer 922 may be formed from a single insulating film or a plurality of insulating films deposited over the work piece. The master insulating film or the plurality of insulating films may comprise an oxide, nitride, oxynitride, or any combination thereof. In particular embodiments, the characteristics of the insulating layer 922 may differ for points near the injection blocking layer 1100 and points close to the corresponding injection blocking layer 1100. In an embodiment, the composition of the insulating layer 922 may vary during or between depositions. For example, the oxide film may be closer to the semiconductor layer 304 and the nitride film may be deposited over the oxide film. In another embodiment, dopants such as phosphorus may be included at increasing concentrations during the later part of the deposition. In yet another embodiment, the stress in the film can be altered by changing deposition parameters (eg, radio frequency power, pressure, etc.) even though the composition is substantially the same across the thickness of the insulating layer 922. . In further embodiments, the combinations described above may be used. Masks are formed over relatively thick and intermediate areas, and patterning techniques are used to obtain the required shape. Such techniques include isotropically etching portions of the insulating layer 922, etching sidewall etching of the mask instead of etching and placing the insulating material thereon, etching sidewalls of the mask etching and placing the insulating material thereon. Using the advantages of different compositions (the doped oxide is etched faster than the undoped oxide), forming a pattern and then forming sidewall spacers, another suitable technique, or any combination thereof. Include.

전도층(944)이 절연층(922) 위에 증착되고, 패턴화되어, 드레인 접촉 구조(drain contact structure)가 드레인 영역(902)에 뒤이어 제작될 곳인 개구부(946)를 형성한다. 전도층(944)은 전도성 물질을 포함하거나, 가령 도핑에 의해 전도성이 될 수 있다. 더욱 상세히 말하면, 전도층(944)은 도핑된 반도체 물질(가령, 고농도로 도핑된 비정질 실리콘, 폴리실리콘 등), 금속 함유 물질(내화성 금속, 내화성 금속 질화물, 내화성 금속 규화물 등), 또는 이들의 임의의 조합을 포함할 수 있다. 전도층(944)은 대략 0.05 마이크론에서 대략 0.5 마이크론 범위의 두께를 가진다. 특정 실시예에서, 전도층(944)은 전도성 전극을 형성하는데 이용될 전도성 전극층이다. 전도층(944)은, 뒤이어 형성되는 드레인 접촉 구조가 전도층(944)에 전기적으로 쇼트(단락)되지 않도록 패턴화된다. 섹션(122, 124, 132, 및 134) 내의 전도성 플러그(824) 위에 놓이는 전도층(944)의 부분은, 매몰 전도 영역(102)에 전기적으로 연결되는 전도성 플러그(824)로부터의 전기장 또는 그 밖의 다른 전기적 효과로부터, 전도층(944)에 뒤이어 형성되는 인터커넥트(전도층(944) 위에 놓임)를 차폐하는데 도움을 줄 수 있다.A conductive layer 944 is deposited over the insulating layer 922 and patterned to form an opening 946 where a drain contact structure is to be fabricated following the drain region 902. Conductive layer 944 may comprise a conductive material, or may be conductive, for example, by doping. More specifically, conductive layer 944 may be a doped semiconductor material (eg, heavily doped amorphous silicon, polysilicon, etc.), a metal containing material (refractory metal, refractory metal nitride, refractory metal silicide, etc.), or any thereof. It can include a combination of. Conductive layer 944 has a thickness ranging from approximately 0.05 microns to approximately 0.5 microns. In a particular embodiment, conductive layer 944 is a conductive electrode layer to be used to form the conductive electrode. The conductive layer 944 is patterned so that subsequent drain contact structures are not electrically shorted to the conductive layer 944. The portion of the conductive layer 944 overlying the conductive plug 824 in the sections 122, 124, 132, and 134 is an electric field or other from the conductive plug 824 that is electrically connected to the buried conductive region 102. From other electrical effects, it may be helpful to shield the interconnects formed over conductive layer 944 (overlying conductive layer 944).

도 10은 실질적으로 완성된 하이사이드 및 로우사이드 전력 트랜지스터의 횡단면도를 도시한다. 트랜지스터의 많은 특징들이 전술되었으며, 추가적인 특징들도 기술되었다. 도 10에서, 전도층(944) 위에 절연층(1402)이 형성된다. 절연층(1402)은 단일 필름 또는 복수의 필름을 포함할 수 있다. 절연층(1402) 내의 각각의 필름은 산화물, 질화물, 산질화물, 또는 이들의 임의의 조합을 포함할 수 있다. 또 다른 특정 실시예에서, 질화물 필름은 전도층(944)에 가장 가깝게 놓이며, 대략 0.5 마이크론에서 대략 0.2 마이크론 범위의 두께를 가진다. 산화물 필름은 질화물 필름 위에 놓이며, 대략 0.2 마이크론에서 대략 0.9 마이크론 범위의 두께를 가진다. 반사방지 필름이 산화물 필름 위에 놓일 수 있고, 또는 절연층(1402) 내의 어딘가에 포함될 수도 있다. 예를 들어, 질화물 필름은 적절한 두께로 선택되어 식각-저지층(etch-stop layer) 및 반사방지 필름의 역할을 할 수 있다. 또 다른 실시예에서, 더 많거나 더 적은 필름이 사용될 수 있으며, 본원에 기술된 두께는 단지 예시적일 뿐 본 발명의 범위를 제한하려는 의도는 아니다.10 shows a cross-sectional view of a substantially completed high side and low side power transistor. Many features of the transistor have been described above, and additional features have also been described. In FIG. 10, an insulating layer 1402 is formed over the conductive layer 944. The insulating layer 1402 may include a single film or a plurality of films. Each film in insulating layer 1402 may comprise an oxide, nitride, oxynitride, or any combination thereof. In another particular embodiment, the nitride film lies closest to the conductive layer 944 and has a thickness ranging from approximately 0.5 microns to approximately 0.2 microns. The oxide film overlies the nitride film and has a thickness ranging from approximately 0.2 microns to approximately 0.9 microns. An antireflective film may be placed over the oxide film, or may be included somewhere in the insulating layer 1402. For example, the nitride film may be selected to an appropriate thickness to serve as an etch-stop layer and antireflective film. In another embodiment, more or less films may be used, and the thicknesses described herein are exemplary only and are not intended to limit the scope of the invention.

절연층(1402), 전도층(944), 및 절연층(922)이 패턴화되어 개구부를 형성한다. 개구부는 드레인 영역(902 및 904)의 부분 위에 형성된다. 이러한 부분은 드레인 영역(902 및 904)의 일부분이, 뒤이어 형성되는 게이트 전극의 일부분 밑에 놓이도록 한다. 절연층(1404)이 개구부의 측면을 따라 형성된다. 절연 스페이서(1404)는 뒤이어 형성되는 게이트 전극으로부터 전도층(944)을 전기적으로 절연시킨다. 절연 스페이서(1404)는 산화물, 질화물, 산질화물, 또는 이들의 임의의 조합을 포함할 수 있으며, 절연 스페이서(1404)의 기저면에서 대략 50 nm에서 대략 200 nm 범위의 폭을 가질 수 있다.The insulating layer 1402, the conductive layer 944, and the insulating layer 922 are patterned to form openings. Openings are formed over portions of the drain regions 902 and 904. This portion causes portions of the drain regions 902 and 904 to lie under portions of the subsequently formed gate electrode. An insulating layer 1404 is formed along the side of the opening. The insulating spacer 1404 electrically insulates the conductive layer 944 from the subsequently formed gate electrode. Insulating spacers 1404 may include oxides, nitrides, oxynitrides, or any combination thereof, and may have a width in the range of approximately 50 nm to approximately 200 nm at the bottom of the insulating spacer 1404.

게이트 유전층(gate dielectric layer)(1422), 우물 영역(1426 및 1427), 및 게이트 전극(1424 및 1425)이 형성된다. 절연층(922)의 일부분이 식각공정에 의해 제거되며, 게이트 유전층(1422)은 작업부재의 노출 표면 위에 형성된다. 특정 실시예에서, 게이트 유전층(1422)은 산화물, 질화물, 산질화물, 또는 이들의 임의의 조합을 포함하고, 대략 5 nm에서 대략 100 nm 범위의 두께를 가지며, 전도층이 게이트 유전층(1422) 위에 형성된다. 전도층은 게이트 전극(1424 및 1425)의 일부분일 수 있으나, 개별적으로 도시되지는 않았다. 전도층은 증착된 때 전도성이 되거나, 높은 저항성의 층(가령, 도핑되지 않은 폴리 실리콘)으로 증착되고 뒤이어 전도성을 띄게 할 수 도 있다. 전도층은 금속 함유 물질 또는 반도체 함유 물질을 포함할 수 있다. 전도층의 두께는, 위에서 바라볼 때, 전도층의 수직 가장자리가 드레인 영역(902 및 904)의 가장자리에 실질적으로 인접하도록 선택된다. 실시예에서, 전도층은 대략 0.1 마이크론에서 대략 0.15 마이크론의 두께까지로 증착된다. Gate dielectric layer 1422, well regions 1426 and 1427, and gate electrodes 1424 and 1425 are formed. A portion of insulating layer 922 is removed by an etching process, and gate dielectric layer 1422 is formed over the exposed surface of the work piece. In a particular embodiment, gate dielectric layer 1422 comprises oxide, nitride, oxynitride, or any combination thereof, and has a thickness in the range of approximately 5 nm to approximately 100 nm, with a conductive layer over gate dielectric layer 1422. Is formed. The conductive layer may be part of the gate electrodes 1424 and 1425, but is not shown separately. The conductive layer may be conductive when deposited, or may be deposited into a high resistive layer (eg, undoped polysilicon) and subsequently conductive. The conductive layer may comprise a metal containing material or a semiconductor containing material. The thickness of the conductive layer is selected such that when viewed from above, the vertical edge of the conductive layer is substantially adjacent to the edges of the drain regions 902 and 904. In an embodiment, the conductive layer is deposited from about 0.1 micron to about 0.15 micron thick.

전도층이 형성된 이후, 반도체층(304)이 도핑되어 우물 영역(well region)(1426 및 1427)을 형성할 수 있다. 우물 영역(1426 및 1427)의 전도성 유형이 드레인 영역(902 및 904)의 전도성 유형과 반대된다. 실시예에서, 붕소 도펀트가 전도층 및 게이트 유전층(1422)을 통과해 반도체층(304)까지 주입되어, 우물 영역(1426 및 1427)을 위한 p-형 도펀트를 제공한다. 일 실시예에서, 우물 영역(1426 및 1427)은 뒤이어 형성되는 소스 영역의 깊이 이상의 깊이를 가지며, 또 다른 실시예에서, 우물 영역(1426 및 1427)은 대략 0.5 마이크론 이상의 깊이를 가진다. 추가적 실시예에서, 우물 영역(1426 및 1427)은 대략 2.0 마이크론 이하의 깊이를 가지며, 또 다른 실시예에서, 대략 1.5 마이크론 이하의 깊이를 가진다. 한 예로서, 우물 영역(1426 및 1427)은 둘 이상의 이온주입을 이용하여 형성될 수 있다. 특정 예시예서, 각각의 이온주입은 대략 1.0 x 1013 atoms/cm2의 도스(dose)를 이용하여 수행되며, 두 개의 이온 주입은 25 KeV와 대략 50 KeV의 에너지를 가진다. 또 다른 실시예에서, 더 많거나 더 적은 이온주입이 우물 영역 형성 단계에서 수행될 수 있다. 서로 다른 도스가 서로 다른 에너지에서 이용될 수 있고, 더 높거나 저농도인 도스, 더 높거나 낮은 에너지, 또는 이들의 임의의 조합이 특정 이용에 대한 필요를 충족시키기 위해 이용될 수 있다.After the conductive layer is formed, the semiconductor layer 304 may be doped to form well regions 1426 and 1427. The conductivity type of the well regions 1426 and 1427 is opposite to the conductivity type of the drain regions 902 and 904. In an embodiment, boron dopants are implanted through the conductive and gate dielectric layers 1422 to the semiconductor layer 304 to provide p-type dopants for the well regions 1426 and 1427. In one embodiment, well regions 1426 and 1427 have a depth greater than the depth of the source region that is subsequently formed, and in yet another embodiment, well regions 1426 and 1427 have a depth of approximately 0.5 microns or more. In further embodiments, well regions 1426 and 1427 have a depth of about 2.0 microns or less, and in still other embodiments, have a depth of about 1.5 microns or less. As an example, well regions 1426 and 1427 may be formed using two or more ion implantations. In a particular example, each ion implantation is performed using a dose of approximately 1.0 x 10 13 atoms / cm 2 , with two ion implantations having an energy of 25 KeV and approximately 50 KeV. In another embodiment, more or less ion implantation may be performed in the well region forming step. Different doses may be used at different energies, and higher or lower doses, higher or lower energies, or any combination thereof may be used to meet the need for a particular use.

대안적 실시예(도시되지 않음)에서, 비교적 얕은 부분의 일부분이 트랜지스터의 단위 셀을 가로질러 뻗어있을 때, 드레인 영역(902 및 904)의 비교적 얕은 부분을 보상하기 위해 우물 영역(1426 및 1427)을 형성하는 이온주입의 도스가 증가된다. 또 다른 실시예에서, 게이트 전극(1424 및 1425)을 위한 전도층을 형성하기 전에, 우물 영역(1426 및 1427)을 형성하는 주입공정이 수행되며, 게이트 전극(1424 및 1425) 내의 전도층의 부분 대신에 하드마스크(hardmask) 가장자리로서 측벽 스페이서(1404)를 이용한다. 추가적인 특정 실시예에서, 이들 두 실시예가 조합될 수 있다. In an alternative embodiment (not shown), when a portion of the relatively shallow portion extends across the unit cell of the transistor, the well region 1426 and 1427 to compensate for the relatively shallow portion of the drain regions 902 and 904. The dose of ion implantation to form is increased. In another embodiment, prior to forming the conductive layers for the gate electrodes 1424 and 1425, an implantation process is performed to form the well regions 1426 and 1427, with portions of the conductive layers in the gate electrodes 1424 and 1425. Instead, sidewall spacers 1404 are used as hardmask edges. In further specific embodiments, these two embodiments may be combined.

추가적인 전도성 물질이 전도층 위에 층착되며, 식각되어 게이트 전극(1424 및 1425)을 형성한다. 추가적인 전도성 물질은, 우물 영역(1426 및 1427)을 형성하기 전에 게이트 유전층(1422) 위에 증착되는 전도층과 관련하여 전술된 물질 중 임의의 것을 포함할 수 있다. 앞서 기술된 전도층과 유사하게, 추가적인 전도성 물질은 증착된 때 전도성을 띄거나, 높은 저항성의 층(가령, 도핑되지 않은 폴리실리콘)으로서 증착되고 뒤이어 전도성을 띄게 할 수도 있다. 전도층과 추가적인 전도성 물질은 동일한 조성 또는 서로 다른 조성을 가질 수 있다. 전도층 및 추가적인 전도성 물질을 포함하는 복합 전도층의 두께는 대략 0.2 마이크론에서 대략 0.5 마이크론 범위를 가진다. 특정 실시예에서, 추가적인 전도성 물질은 폴리실리콘을 포함하며, 증착 동안 n-형 도펀트로 도핑될 수 있고, 또는 이온주입 또는 또 다른 도핑 기법을 이용하여 뒤이어 도핑될 수 있다. 복합 전도층은 이방성으로 식각되어 게이트 전극(1424 및 1425)을 형성할 수 있다. 도시된 실시예에서, 게이트 전극(1424 및 1425)은 마스크를 이용하지 않고 형성되며, 측벽 스페이서의 형태를 가진다. 절연층(도시되지 않음)이 게이트 전극(1424 및 1424)으로부터 열적으로 성장할 수 있고, 또는 작업부재 위에 증착될 수 있다. 절연층의 두께는 대략 10 nm에서 대략 30 nm 범위일 수 있다.Additional conductive material is deposited over the conductive layer and etched to form gate electrodes 1424 and 1425. Additional conductive materials may include any of the materials described above in connection with conductive layers deposited over gate dielectric layer 1422 prior to forming well regions 1426 and 1427. Similar to the conductive layer described above, the additional conductive material may be conductive when deposited, or may be deposited as a high resistive layer (eg, undoped polysilicon) and subsequently conductive. The conductive layer and the additional conductive material may have the same composition or different compositions. The thickness of the composite conductive layer comprising the conductive layer and the additional conductive material ranges from approximately 0.2 microns to approximately 0.5 microns. In certain embodiments, the additional conductive material includes polysilicon and may be doped with n-type dopants during deposition, or subsequently doped using ion implantation or another doping technique. The composite conductive layer may be anisotropically etched to form gate electrodes 1424 and 1425. In the illustrated embodiment, the gate electrodes 1424 and 1425 are formed without using a mask and take the form of sidewall spacers. An insulating layer (not shown) may be thermally grown from the gate electrodes 1424 and 1424, or may be deposited over the work piece. The thickness of the insulating layer may range from approximately 10 nm to approximately 30 nm.

이온주입을 이용하여 소스 영역(1432 및 1433)이 형성될 수 있다. 소스 영역(1432 및 1433)은 고농도로 도핑되며, 우물 영역(1426 및 1427)과 반대되는 전도성 유형을, 그리고 드레인 영역(902 및 904)과 동일한 전도성 유형을 가진다. 소스 영역(1432) 및 드레인 영역(902) 사이와 게이트 전극(1424) 아래에 놓인 우물 영역(1426)의 부분은 하이사이드 전력 트랜지스터에 대한 채널 영역이며, 소스 영역(1433) 및 드레인 영역(904) 사이와 게이트 전극(1425) 아래에 놓인 우물 영역(1427)의 부분은 로우사이드 전력 트랜지스터에 대한 채널 영역이다. Source regions 1432 and 1433 may be formed using ion implantation. Source regions 1432 and 1433 are heavily doped and have a conductivity type opposite that of well regions 1426 and 1427, and the same conductivity type as drain regions 902 and 904. The portion of the well region 1426 that lies between the source region 1432 and the drain region 902 and under the gate electrode 1424 is a channel region for the high side power transistor, and the source region 1433 and the drain region 904 The portion of the well region 1427 that lies between and under the gate electrode 1425 is the channel region for the lowside power transistor.

절연층(1428)이 게이트 전극(1424 및 1425)을 따라 형성되고, 게이트 전극(1424 및 1425)에 인접한 소스 영역(1432 및 1433)의 일부분을 덮으며, 여기서, 소스 영역(1432 및 1433)의 노출되는 부분이 전도성 플러그(824)에 가깝게 놓인다. 절연 스페이서(1428)는 산화물, 질화물, 산질화물, 또는 이들의 임의의 조합을 포함할 수 있고, 자신의 기저면에서 대략 50 nm에서 대략 500 nm 범위의 폭을 가진다.An insulating layer 1428 is formed along the gate electrodes 1424 and 1425 and covers portions of the source regions 1432 and 1433 adjacent the gate electrodes 1424 and 1425, where the source regions 1432 and 1433 are formed. The exposed portion lies close to the conductive plug 824. Insulating spacer 1428 may comprise oxides, nitrides, oxynitrides, or any combination thereof, and has a width in the range of approximately 50 nm to approximately 500 nm at its base.

소스 영역(1432 및 1433)의 노출 부분이 식각되어 우물 영역(1426 및 1427)의 밑에 놓인 부분이 각각 노출된다. 소스 영역(1432 및 1433)이 식각될 때, 전도성 플러그(824)의 조성에 따라 전도성 플러그(824)의 부분이 식각되거나 식각되지 않을 수 있다. 전도성 플러그(824)와 반도체층(304)(상기 반도체층(304)에서 우물 영역(1426 및 1427)과 소스 영역(1432 및 1433)이 형성됨)이 대부분 규소인 경우, 소스 영역(1432 및 1433)을 통해 식각될 때 노출된 전도성 플러그(824)의 일부 또는 전부가 식각될 수 있다. 전도성 플러그(824)와 소스 영역(1432 및 1433)이 유사하지 않은 물질을 포함하는 경우, 소스 영역(1432 및 1433)을 통해 식각될 때 전도성 플러그(824)는 실직적으로 전혀 또는 거의 식각되지 않을 수 있다.Exposed portions of the source regions 1432 and 1433 are etched to expose portions underlying the well regions 1426 and 1427, respectively. When the source regions 1432 and 1433 are etched, portions of the conductive plug 824 may or may not be etched, depending on the composition of the conductive plug 824. When the conductive plug 824 and the semiconductor layer 304 (the well regions 1426 and 1427 and the source regions 1432 and 1433 are formed in the semiconductor layer 304) are mostly silicon, the source regions 1432 and 1433 When etched through, some or all of the exposed conductive plug 824 may be etched. If the conductive plug 824 and the source regions 1432 and 1433 contain dissimilar materials, the conductive plug 824 will not be substantially etched at all or nearly when etched through the source regions 1432 and 1433. Can be.

우물 접촉 영역(1434 및 1435)이 우물 영역(1426 및 1427)의 노출된 부분에서 각각 형성된다. 우물 접촉 영역(1434 및 1435)은 우물 영역(1426 및 1427)과 동일한 전도성 유형을, 그리고 소스 영역(1432 및 1433)과 반대되는 전도성 유형을 가진다. 특정 실시예에서, 우물 접촉 영역(1434 및 1435)은 대략 1019 atoms/cm3 이상의 도펀트 농도를 가져서 옴 접촉(ohmic contact)이 뒤이어 형성되도록 한다.Well contact regions 1434 and 1435 are formed in the exposed portions of well regions 1426 and 1427, respectively. Well contact regions 1434 and 1435 have the same conductivity type as well regions 1426 and 1427 and opposite conductivity types as source regions 1432 and 1433. In certain embodiments, the well contact regions 1434 and 1435 are approximately 10 19 atoms / cm 3 The above dopant concentrations allow the ohmic contacts to be subsequently formed.

또 다른 실시예(도시되지 않음)에서, 우물 영역(1426 및 1427)과 동일한 전도성 유형을 가지고 소스 영역(1432 및 1433)과 반대되는 전도성 유형을 갖는 추가적 주입공정이, 소스 영역(1432 및 1433) 아래에 우물 접촉 영역을 형성하기 위해 이용될 수 있다. 추가적 주입 공정은 소스 영역(1432 및 1433) 형성 이전 또는 이후에, 그리고 절연 스페이서(1428)를 형성하기 이전에 수행될 수 있다. 이러한 실시예에서, 우물 접촉 영역은 실질적으로 모든 소스 영역(1432 및 1433)의 아래에 놓인다. 소스 영역(1432 및 1433)과 우물 접촉 영역이 형성된 이후, 절연 스페이서(1428)가 형성되어 소스 영역(1432 및 1433)의 일부분만 덮도록 한다. 전술된 식각 공정은 소스 영역(1704 및 1724)의 일부분을 제거하고 밑에 놓인 우물 접촉 영역의 일부분을 노출시키기 위해 수행된다.In yet another embodiment (not shown), an additional implantation process having the same conductivity type as the well regions 1426 and 1427 and having a conductivity type opposite to the source regions 1432 and 1433 is performed by the source regions 1432 and 1433. It can be used to form a well contact region below. Additional implantation processes may be performed before or after forming source regions 1432 and 1433 and prior to forming insulating spacers 1428. In this embodiment, the well contact region lies substantially below all source regions 1432 and 1433. After the well contact regions are formed with the source regions 1432 and 1433, an insulating spacer 1428 is formed to cover only a portion of the source regions 1432 and 1433. The etching process described above is performed to remove portions of source regions 1704 and 1724 and to expose portions of underlying well contact regions.

도 10에서 도시된 실시예로 다시 돌아가면, 절연 스페이서(1428)의 일부분이 식각되어 소스 영역(1432 및 1433)의 일부분을 노출시킨다. 그 후 전도성 스트랩(conductive strap)(1462)이 형성되어 소스 영역(1432), 우물 접촉 영역(1434), 및 대응하는 전도성 플러그(824)를 다 함께 전기적으로 연결하며, 그 밖의 다른 전도성 스트랩(1462)이 형성되어 소스 영역(1433) 및 우물 접촉 영역(1435)을 서로 전기적으로 연결한다. 특정 실시예에서, Ti, Ta, W, Co, Pt와 같은 내화성 금속이 작업부재 위에 증착될 수 있고, 노출된 규소(가령, 사실상 단결정 또는 다결정 규소)와 선택적으로 반응하여 금속 규화물을 형성한다. 내화성 금속의 미반응 부분은 절연층(1402) 위에 놓이고 절연 스페이서(1428)가 제거됨으로써, 전도성 스트랩(1462)이 남겨진다. 도시되어 있지는 않지만, 게이트 전극(1424 및 1425)의 최상부 부분이 노출될 수 있고, 내화성 금속과 반응할 수 있다. 그러나, 그러한 장소에서의 금속 규화물은 소스 영역(1432 및 1433) 및 우물 접촉 영역(1434 및 1435)에 접해있는 금속 규화물과 이격되어 있고, 따라서, 게이트 전극(1424 및 1425), 소스 영역(1432 및 1433) 중 임의의 영역, 및 우물 영역(1426 및 1427) 사이에 전기적 쇼트(short)가 형성되지 않는다. 도 10에 도시된 바와 같이, 공정 중 이와 같은 지점에서, 하이사이드 및 로우사이드 전력 트랜지스터가 형성된다. 집적 회로의 서로 다른 부분을 집적회로의 단자 또는 그 밖의 다른 부분과 적합하게 연결하기 위해, 뒤이은 공정이 수행되어 인터커넥트 또는 그 밖의 다른 배선(wiring)을 형성할 수 있다.Returning to the embodiment shown in FIG. 10, a portion of insulating spacer 1428 is etched to expose portions of source regions 1432 and 1433. A conductive strap 1462 is then formed to electrically connect the source region 1432, the well contact region 1434, and the corresponding conductive plug 824 together, and other conductive straps 1462. ) Is formed to electrically connect the source region 1433 and the well contact region 1435 with each other. In certain embodiments, refractory metals such as Ti, Ta, W, Co, Pt may be deposited on the work piece and selectively react with exposed silicon (eg, substantially monocrystalline or polycrystalline silicon) to form metal silicides. The unreacted portion of the refractory metal is placed over the insulating layer 1402 and the insulating spacer 1428 is removed, thereby leaving the conductive strap 1462. Although not shown, top portions of the gate electrodes 1424 and 1425 may be exposed and may react with the refractory metal. However, the metal silicide in such a location is spaced apart from the metal silicide in contact with the source regions 1432 and 1433 and the well contact regions 1434 and 1435, and thus the gate electrodes 1424 and 1425, the source regions 1432 and No electrical short is formed between any of 1433 and the well regions 1426 and 1427. As shown in FIG. 10, at this point in the process, high side and low side power transistors are formed. In order to suitably connect different portions of the integrated circuit with terminals or other portions of the integrated circuit, subsequent processes may be performed to form interconnects or other wiring.

도시되어 있지는 않지만, 필요하거나 요구되는 바대로 추가적이거나 더 적은 층 또는 특징부가 사용되어 전자 장치를 형성할 수 있다. 전계 고립 영역(field isolation region)이 비록 도시되어 있지 않으나, 하이사이드 전력 트랜지스터의 부분을 로우사이드 전력 트랜지스터로부터 전기적으로 고립시키는 것을 돕기 위해 이용될 수 있다. 또 다른 실시예에서, 더 많은 절연 레벨 및 인터커넥트 레벨이 이용될 수 있다. 예를 들어, 특정 인터커넥트 레벨이 전도층(944)을 위해 이용될 수 있고, 서로 다른 인터커넥트 레벨이 게이트 전극(1424 및 1425)을 위해 이용될 수 있다. 작업부재 위에 부동화층(passivation layer)이 형성될 수 있다. 본 명세서를 읽은 후라면, 해당업계 종사자가 그들의 특정 이용을 위한 층 및 특징부를 결정할 수 있을 것이다.Although not shown, additional or fewer layers or features may be used to form the electronic device as needed or required. Although not shown, a field isolation region may be used to help electrically isolate a portion of the high side power transistor from the low side power transistor. In yet other embodiments, more isolation levels and interconnect levels may be used. For example, specific interconnect levels may be used for the conductive layer 944, and different interconnect levels may be used for the gate electrodes 1424 and 1425. A passivation layer can be formed on the work member. After reading this specification, those skilled in the art will be able to determine the layers and features for their particular use.

도 10에 도시된 바와 같이, 전자 장치는 전력 트랜지스터와 실질적으로 동일한 그 밖의 다른 많은 전력 트랜지스터를 포함할 수 있다. 하이사이드 전력 트랜지스터가 서로 병렬 연결될 수 있으며, 로우사이드 전력 트랜지스터도 서로 병렬 연결될 수 있다. 이러한 하나 이상의 병렬연결구성은 충분히 효과적인 전자장치의 채널폭을 제공하여, 전자 장치의 정상 동작 동안 이용되는 비교적 높은 전류 흐름을 지원할 수 있다. 특정 실시예에서, 각각의 전력 트랜지스터는 대략 30V의 최대 소스-드레인 전압차, 및 대략 20V의 최대 소스-게이트 전압차를 가지도록 설계될 수 있다. 정상 동작 동안, 소스-드레인 전압차는 대략 20V 이하이며, 소스-게이트 전압차는 대략 9V 이하이다. 전도층(944)은, 정상 동작 동안 하이사이드 또는 로우사이드 트랜지스터 중 하나의 소스단자에 대해 실질적으로 일정한 전압으로 유지되어 드레인-게이트 커패시턴스를 줄일 수 있다. 특정 실시예에서, 전도층(944)은 실질적으로 0V로 있을 수 있고, 이러한 경우, 전도층(944)은 접지 평면으로서 행동할 수 있다. 또 다른 실시예에서, 하이사이드 전력 트랜지스터에 인접한 전도층(944)의 부분은 소스 영역(1432)에 연결될 수 있고, 로우사이드 전력 트랜지스터에 인접한 전도층(944)의 또 다른 부분은 소스 영역(1433)에 연결될 수 있다.As shown in FIG. 10, the electronic device may include many other power transistors that are substantially the same as the power transistor. The high side power transistors may be connected in parallel with each other, and the low side power transistors may be connected in parallel with each other. Such one or more parallel connections may provide a sufficiently effective channel width of the electronic device to support the relatively high current flow used during normal operation of the electronic device. In a particular embodiment, each power transistor can be designed to have a maximum source-drain voltage difference of approximately 30V, and a maximum source-gate voltage difference of approximately 20V. During normal operation, the source-drain voltage difference is approximately 20V or less and the source-gate voltage difference is approximately 9V or less. The conductive layer 944 can be maintained at a substantially constant voltage with respect to the source terminal of either the high side or the low side transistor during normal operation to reduce drain-gate capacitance. In certain embodiments, conductive layer 944 may be substantially 0V, in which case conductive layer 944 may act as a ground plane. In another embodiment, a portion of the conductive layer 944 adjacent to the high side power transistor may be connected to the source region 1432, and another portion of the conductive layer 944 adjacent to the low side power transistor may be connected to the source region 1433. ) Can be connected.

추가적 처리가 수행되어, 반도체층(304)의 내부 부분(426 또는 428) 또는 그 밖의 다른 부분 내에 부분적으로 또는 완전히 놓일 수 있는 전자적 구성요소를 형성할 수 있다. 전자적 구성요소는 트랜지스터, 저항, 커패시터, 다이오드 등을 포함할 수 있다. 트랜지스터는 전계 효과 트랜지스터 또는 바이폴라 트랜지스터를 포함할 수 있다. 각각의 트랜지스터는 대략 10V 미만의 소스-드레인 또는 이미터-콜렉터 전압차, 대략 10V와 대략 50V 사이의 소스-드레인 또는 이미터-콜렉터 전압차, 또는, 대략 50V를 초과하는 소스-드레인 또는 이미터-콜렉터 전압차로 정상 동작하도록 설계될 수 있다. 도 11 내지 15는, 도 9에 도시된 바와 같이, 섹션(122, 124, 132, 및 134) 내에 형성될 수 있는 전자적 구성요소를 도시한다.Further processing may be performed to form an electronic component that may be partially or fully placed within the interior portion 426 or 428 or other portion of the semiconductor layer 304. Electronic components may include transistors, resistors, capacitors, diodes, and the like. Transistors may include field effect transistors or bipolar transistors. Each transistor has a source-drain or emitter-collector voltage difference of less than approximately 10V, a source-drain or emitter-collector voltage difference between approximately 10V and approximately 50V, or a source-drain or emitter greater than approximately 50V. Can be designed to operate normally with collector voltage difference. 11-15 illustrate electronic components that may be formed within sections 122, 124, 132, and 134, as shown in FIG. 9.

도 11은 MOSFET 구조의 횡단면도를 도시한다. 반도체 영역(1002)이 반도체층(304) 내 또는 내부 부분(426 또는 428) 내에 위치될 수 있다. 게이트 유전층(1022) 및 게이트 전극(1024)이 반도체 영역(1002) 위에 형성될 수 있다. 소스/드레인 영역(1004)이 반도체 영역(1002)의 부분에서 형성될 수 있다. 측벽 스페이서(1026)가, 저농도로 도핑된 드레인 또는 소스/드레인 영역(1004)의 뻗어있는 부분을 형성한 이후, 및 소스/드레인 영역(1004)의 고농도로 도핑된 비교적 깊은 부분을 형성하기 전에 형성될 수 있다. 도 11에 도시된 트랜지스터 구조는 p-채널 트랜지스터 또는 n-채널 트랜지스터일 수 있다. 트랜지스터는 강화 모드 트랜지스터 또는 공핍 모드 트랜지스터일 수 있다. 특정 실시예에서, 소스/드레인 영역(1004)은 반도체 영역(1002)의 전도성 유형과 반대되는 전도성 유형을 가진다. 또 다른 실시예에서, 소스/드레인 영역(1004)은 서로 전기적으로 연결될 수 있고, 최종 구조가 커패시터로서 행동한다.11 shows a cross sectional view of a MOSFET structure. Semiconductor region 1002 may be located within semiconductor layer 304 or within inner portion 426 or 428. Gate dielectric layer 1022 and gate electrode 1024 may be formed over semiconductor region 1002. Source / drain regions 1004 may be formed in portions of the semiconductor region 1002. Formed after sidewall spacer 1026 forms an extended portion of the lightly doped drain or source / drain region 1004 and before forming the heavily doped relatively deep portion of source / drain region 1004. Can be. The transistor structure shown in FIG. 11 may be a p-channel transistor or an n-channel transistor. The transistor may be an enhancement mode transistor or a depletion mode transistor. In a particular embodiment, source / drain region 1004 has a conductivity type that is opposite to the conductivity type of semiconductor region 1002. In yet another embodiment, the source / drain regions 1004 may be electrically connected to each other, with the final structure acting as a capacitor.

추가적인 트랜지스터가 형성되어, 인버터, 래치(latch) 등을 형성할 수 있다. 특정 실시예에서, 도 11에 도시된 것과 유사한 트랜지스터 구조를 가지는 트랜지스터는, n-채널 트랜지스터가 섹션(122) 내의 반도체층(304) 내에 부분적으로 또는 전체적으로 놓이도록 하고, p-채널 트랜지스터가 섹션(124)의 내부 부분(426) 내에 부분적으로 또는 전체적으로 놓이도록 하며, 또 다른 n-채널 트랜지스터가 섹션(132) 내의 내부 부분(428) 내에 부분적으로 또는 전체적으로 놓이도록 하고, 또 다른 p-채널 트랜지스터가 섹션(134)의 내부 부분(426) 내에 부분적으로 또는 전체적으로 놓이도록 한다. 섹션(122 및 124) 내의 전자적 구성요소는 하이사이드 전력 트랜지스터의 제어 전극(가령, 게이트 전극 또는 베이스 영역)을 제어하는데 사용되는 제어 회로의 적어도 일부분일 수 있고, 섹션(132 및 134) 내의 전자적 구성요소는 로우사이드 전력 트랜지스터의 제어 전극(가령, 게이트 전극 또는 베이스 영역)을 제어하는데 사용되는 제어 회로의 적어도 일부분일 수 있다. Additional transistors may be formed to form inverters, latches, and the like. In a particular embodiment, a transistor having a transistor structure similar to that shown in FIG. 11 causes the n-channel transistor to be partially or wholly placed in the semiconductor layer 304 in section 122, and the p-channel transistor is in section ( Partially or wholly within the inner portion 426 of 124, another n-channel transistor partially or entirely within the inner portion 428 in section 132, and another p-channel transistor Partially or wholly within the inner portion 426 of the section 134. The electronic components in sections 122 and 124 may be at least a portion of the control circuit used to control the control electrodes (eg, gate electrode or base region) of the high side power transistor, and the electronic components in sections 132 and 134. The element may be at least part of a control circuit used to control a control electrode (eg, a gate electrode or a base region) of the low side power transistor.

도 12는 저항의 횡단면도를 도시한다. 반도체 영역(1102)이 반도체층(304) 내 또는 내부 부분(426 또는 428) 내에 위치될 수 있다. 단자 영역(terminal region)(1104)이 반도체 영역(1102)의 부분에서 형성될 수 있다. 저항 몸체 영역(resistor body region)(1126)이 단자 사이에 형성될 수 있다. 저항 몸체 영역(1126)은 단자 영역(1104)에 비하여 좀 더 저농도로 도핑되며, 단자 영역(1104)에 비해 저항의 저항성에 대해 실질적으로 더 큰 효과를 가진다. 특정 실시예에서, 단자 영역(1104) 및 저항 몸체 영역(1126)은 반도체 영역(1102)의 전도성 유형과 반대되는 전도성 유형을 가지며, 반도체 영역(1102) 내에 완전히 놓여 있다. 12 shows a cross sectional view of a resistance. Semiconductor region 1102 may be located within semiconductor layer 304 or within inner portion 426 or 428. Terminal region 1104 may be formed in part of the semiconductor region 1102. A resistor body region 1126 may be formed between the terminals. The resistive body region 1126 is more lightly doped than the terminal region 1104 and has a substantially greater effect on the resistance of the resistance than the terminal region 1104. In a particular embodiment, the terminal region 1104 and the resistive body region 1126 have a conductivity type that is opposite to the conductivity type of the semiconductor region 1102 and completely lies within the semiconductor region 1102.

도 13은 바이폴라 트랜지스터의 횡단면도를 도시한다. 반도체 영역(1202)은 반도체층(304) 내 또는 내부 부분(426 또는 428) 내에 위치될 수 있다. 콜렉터(1222)가 도핑 구조물(416 또는 418)의 부분일 수 있고, 또는 도핑 구조물(416 또는 418)과 분리되어 이격되어 있을 수도 있다. 도핑 영역(1224)이 콜렉터(1222)에 인접하게 놓여있다. 특정 실시예에서, 도핑 영역(1224)은 콜렉터(1222)와 동일한 전도성 유형을 가지며, 콜렉터에 비해 더 저농도인 최고 도펀트 농도를 가진다. 도핑 영역(1224)은 선택사항이며, 또 다른 실시예에서 생략될 수 있다. 도 13에 도시된 실시예에서, 콜렉터(1222)는 베이스 영역(1242)의 하부와 측면을 둘러싼다. 베이스 영역(1242)은 콜렉터(1222)와 반대되는 전도성 유형을 가지며, 콜렉터(1222)에 비해 더 고농도인 최대 도펀트 농도를 가진다. 접촉 영역(1244)은 베이스 영역(1242)과 동일한 전도성 유형을 가지며, 베이스 영역(1242)에 비해 더 고농도인 최고 도펀트 농도를 가진다. 접촉 영역은 옴 접촉(옴 콘택트)(ohmic contact)이 베이스 영역(1242)에 형성되도록 할 수 있다. 이미터 영역(1262)이 베이스 영역(1242)에 인접하게 놓인다. 이미터 영역(1262)은 베이스 영역(1242)과 반대되는 전도성 유형을 가지며, 베이스 영역(1242)에 비해 더 고농도인 최고 도펀트 농도를 가진다. 도시된 바와 같은 바이폴라 트랜지스터는 npn 또는 pnp 바이폴라 트랜지스터일 수 있다. 도 13에 도시된 바와 같이, 바이폴라 트랜지스터는 수직형(vertical) 트랜지스터(주 전류 흐름에 의해 결정됨), 또는 수평형(lateral) 트랜지스터(도시되지 않음)일 수 있다.13 shows a cross sectional view of a bipolar transistor. Semiconductor region 1202 may be located within semiconductor layer 304 or within interior portion 426 or 428. The collector 1222 may be part of the doping structure 416 or 418, or may be separated and spaced apart from the doping structure 416 or 418. Doped region 1224 lies adjacent to collector 1222. In certain embodiments, doped region 1224 has the same conductivity type as collector 1222 and has a highest dopant concentration that is lower than that of collector. Doped region 1224 is optional and may be omitted in another embodiment. In the embodiment shown in FIG. 13, collector 1222 surrounds the bottom and side of base area 1242. Base region 1242 has a conductivity type opposite that of collector 1222 and has a higher dopant concentration, which is higher than collector 1222. Contact region 1244 has the same conductivity type as base region 1242 and has the highest dopant concentration, which is higher concentration than base region 1242. The contact region may cause an ohmic contact to be formed in the base region 1242. Emitter region 1262 lies adjacent to base region 1242. Emitter region 1262 has a conductivity type opposite that of base region 1242 and has a higher dopant concentration, which is higher concentration than base region 1242. The bipolar transistor as shown may be an npn or pnp bipolar transistor. As shown in FIG. 13, the bipolar transistor may be a vertical transistor (determined by the main current flow) or a lateral transistor (not shown).

도 14는 또 다른 MOSFET 구조의 횡단면도를 도시한다. 도 14의 특정 트랜지스터는 측면 확산 MOSFET(LDMOS) 트랜지스터이다. 반도체 영역(1302)이 반도체층(304) 내 또는 내부 부분(426 또는 428) 내에 위치될 수 있다. 도핑 영역(1304 및 1306)이, 서로 다른 전도성 유형을 가지는 우물 영역을 포함할 수 있다. 도핑 영역(1304 및 1306)에 대한 도펀트 농도가 서로 동일하거나 다를 수 있다.14 shows a cross sectional view of another MOSFET structure. The particular transistor of FIG. 14 is a side diffusion MOSFET (LDMOS) transistor. Semiconductor region 1302 may be located within semiconductor layer 304 or within inner portion 426 or 428. Doped regions 1304 and 1306 may include well regions having different conductivity types. Dopant concentrations for the doped regions 1304 and 1306 may be the same or different from each other.

게이트 유전층(1322) 및 게이트 전극(1324)이 도핑 영역(1304) 위에 형성될 수 있다. 소스 영역(1362) 및 몸체 접촉 영역(1364)이 도핑 영역(1304)의 부분에서 형성될 수 있고, 드레인 영역(1366)이 도핑 영역(1306)의 부분에서 형성될 수 있다. 소스 영역(1362)은 도핑 영역(1304)과 반대되는 전도성 유형을 가지며, 도핑 영역(1304)에 비해 더 고농도인 최고 도펀트 농도를 가진다. 몸체 접촉 영역(1364)은 도핑 영역(1304)과 동일한 전도성 유형을 가지며, 도핑 영역(1304)에 비해 더 고농도인 최고 도펀트 농도를 가진다. 특정 실시예에서, 소스 영역(1362) 및 몸체 접촉 영역(1364)은 서로 전기적으로 연결된다. 드레인 영역(1366)은 도핑 영역(1306)과 동일한 전도성 유형을 가지며, 도핑 영역(1366)에 비해 더 고농도인 최고 도펀트 농도를 가진다. 소스 영역(1362)과 도핑 영역(1306) 사이, 및 게이트 유전층(1322)에 인접한 도핑 영역(1304)의 부분이 LDMOS 트랜지스터에 대한 채널 영역이다. LDMOS 트랜지스터는 n-채널 트랜지스터, 또는 p-채널 트랜지스터일 수 있다.Gate dielectric layer 1322 and gate electrode 1324 may be formed over doped region 1304. Source region 1362 and body contact region 1164 may be formed in portion of doped region 1304, and drain region 1366 may be formed in portion of doped region 1306. Source region 1362 has a conductivity type opposite to doped region 1304 and has a higher dopant concentration, which is higher than doped region 1304. Body contact region 1164 has the same conductivity type as doped region 1304 and has the highest dopant concentration, which is higher than doped region 1304. In a particular embodiment, source region 1362 and body contact region 1164 are electrically connected to each other. Drain region 1366 has the same conductivity type as doped region 1306 and has a higher dopant concentration that is higher than doped region 1366. The portion of the doped region 1304 between the source region 1362 and the doped region 1306 and adjacent the gate dielectric layer 1322 is the channel region for the LDMOS transistor. The LDMOS transistor may be an n-channel transistor or a p-channel transistor.

도 15는, 도 10과 관련해 도시되고 설명된 바와 같은 고전력 및 저전력 트랜지스터로부터의 특징부를 갖는 특정 트랜지스터의 횡단면도를 도시한다. 하이사이드 및 로우사이드 전력 트랜지스터와 달리, 이러한 특정 트랜지스터는 매몰 전도 영역(102)에 전기적으로 연결되는 전극을 갖지 않는다. 따라서, 트랜지스터 구조가 전도성 구조물(724) 및 전도성 플러그(824)와 이격되어 있다. 특정 트랜지스터는 n-채널 트랜지스터 또는 p-채널 트랜지스터일 수 있다. 도 14의 LDMOS 트랜지스터에 대한 이러한 구조의 이점은, 하이사이드 전력 트랜지스터를 형성하는데 있어서 추가적 처리가 필요하지 않고, 임계값 및 항복 전압과 같은 고유의 전기적 특징들이 하이사이드 전력 트랜지스터와 유사할 수 있다는 것이다.FIG. 15 shows a cross-sectional view of a particular transistor having features from high and low power transistors as shown and described with respect to FIG. 10. Unlike the high side and low side power transistors, these particular transistors do not have electrodes electrically connected to the buried conducting region 102. Thus, the transistor structure is spaced apart from the conductive structure 724 and the conductive plug 824. The particular transistor may be an n-channel transistor or a p-channel transistor. The advantage of this structure over the LDMOS transistor of FIG. 14 is that no additional processing is required to form the high side power transistor, and inherent electrical characteristics such as threshold and breakdown voltage may be similar to the high side power transistor. .

바이폴라 트랜지스터, LDMOS 트랜지스터, 및 특정 트랜지스터(도 13, 14 및 15에 모두 도시되어 있음)가, 도 11에 도시된 트랜지스터와 같은 디지털 로직 트랜지스터보다 높은 소스-드레인 전압으로, 그리고, 하이사이드 및 로우사이드 전력 트랜지스터보다 낮은 소스-드레인 전압으로 정상 동작하는 전력 트랜지스터일 수 있다. 제한이 없는 예시에서, 이러한 트랜지스터들은 대략 10V에서 대략 50V의 소스-드레인 전압으로 정상동작할 수 있고, 하이사이드 및 로우사이드 전력 트랜지스터는 대략 50V이상의 소스-드레인 전압에서 정상 동작한다. 또 다른 실시예에서, 소스-드레인 전압의 서로 다른 범위가 전력 트랜지스터에 대해 이용될 수 있다. 필요하거나 요구되는 경우, 도 13, 14, 또는 15에 도시된 바와 같은 임의의 트랜지스터가, 도 13, 14, 또는 15에 도시된 또 다른 트랜지스터를 대신하여, 또는 도시된 또 다른 트랜지스터와 함께 이용될 수 있다.Bipolar transistors, LDMOS transistors, and specific transistors (all shown in FIGS. 13, 14, and 15) are at higher source and drain voltages than digital logic transistors, such as the transistors shown in FIG. It may be a power transistor that operates normally with a lower source-drain voltage than the power transistor. In a non-limiting example, such transistors can operate normally with a source-drain voltage of approximately 10V to approximately 50V, and the high side and lowside power transistors normally operate at source-drain voltages of approximately 50V or more. In another embodiment, different ranges of source-drain voltages may be used for the power transistors. If necessary or required, any transistor as shown in FIG. 13, 14, or 15 may be used in place of, or in conjunction with, another transistor shown in FIG. 13, 14, or 15. Can be.

도 10 내지 15는 본원에서 설명된 바와 같이 형성될 수 있는 일부 전자적 구성요소를 포함한다. 본 명세서를 읽은 후라면, 해당업계 종사자는 전술된 전자적 구성요소에 더하여, 또는 이를 대신하여 그 밖의 다른 전자적 구성요소가 형성될 수 있음을 이해할 것이다. 또 다른 실시예에서, 섹션(122, 124, 126, 132, 134, 및 136) 이 모두 형성될 필요는 없다. 예를 들어, n-채널 트랜지스터만이 형성되고 p-채널 트랜지스터는 형성되지 않는 경우, 섹션(124 및 134)이 필요하지 않고 생략될 수 있으며, p-채널 트랜지스터만이 형성되고 n-채널 트랜지스터는 형성되지 않는 경우, 섹션(122 및 132)이 필요하지 않고 생략될 수 있다. 본 명세서를 읽은 후라면, 해당업계 종사자는 집적 회로의 설계를 특정 이용에 따라 맞출 수 있다.10-15 include some electronic components that may be formed as described herein. After reading this specification, those skilled in the art will understand that other electronic components may be formed in addition to or in place of the electronic components described above. In yet another embodiment, the sections 122, 124, 126, 132, 134, and 136 need not all be formed. For example, if only n-channel transistors are formed and no p-channel transistors are formed, sections 124 and 134 may not be needed and may be omitted, and only p-channel transistors are formed and the n-channel transistors may be If not formed, sections 122 and 132 are not needed and can be omitted. After reading this specification, one skilled in the art can tailor the design of an integrated circuit to a particular use.

본원에 기술된 개념에 따라, 동일한 다이의 서로 다른 부분 내의 제어 로직 및 잠재적으로 그 밖의 다른 회로소자를 이용하여 하이사이드 및 로우사이드 전력 트랜지스터가 집적되도록, 집적회로가 형성될 수 있다. 전력 트랜지스터를 위한 제어 회로소자, 하이사이드 전력 트랜지스터, 및 로우사이드 전력 트랜지스터를 위한 분리된 다이 사이의 와이어 본딩(wire bond)이 더 이상 필요하지 않기 때문에, 기생 저항성(parasitic resistance) 및 인덕턴스가 낮아질 수 있다. 이러한 낮아진 기생 저항성 및 인덕턴스는, 전자 장치의 성능을 향상시키고, 더 작은 전자 장치가 형성될 수 있도록 한다.In accordance with the concepts described herein, an integrated circuit can be formed such that the high side and low side power transistors are integrated using control logic and potentially other circuitry within different portions of the same die. The parasitic resistance and inductance can be lowered because wire bonds between the control circuitry for the power transistor, the high side power transistor, and the separate die for the low side power transistor are no longer needed. have. This lower parasitic resistance and inductance improves the performance of the electronic device and allows smaller electronic devices to be formed.

서로 다른 영역의 트랜지스터 사이의 기생 인덕턴스 감소의 한 가지 특유 이점은, 하이사이드 및 로우사이드 전력 트랜지스터 사이를 스위칭할 때, 하이사이드 및 로우사이드 트랜지스터의 제어 전극에서 제어 신호를 수신하는 지연 시간(delay time)을 적게 하고, 스위칭 또는 출력 노드의 신호(ringing)를 줄일 수 있다는 것이다. 이러한 과도기간 동안, 하이사이드 및 로우사이드 전력 트랜지스터 사이의 기생 인덕턴스는 로우사이드 트랜지스터의 출력 커패시턴스와 반응하여 공진 회로를 형성한다. 이러한 공진 회로는 회로의 출력 노드상에 바람직하지 않은 고주파수 전압 스윙을 발생시킬 수 있다. 이러한 전압 스윙은 장치에 바람직하지 않은 전압 스트레스를 발생시키고, 회로 소자 제어를 복잡하게 하며, 전압 조정기의 전체적인 전력 변환 효율성을 감소시킬 수 있다. 본원에 기술된 실시예는 하이사이드와 로우사이드 전력 트랜지스터 사이의 기생 인덕턴스의 감소를 가능하게 하여, 출력 노드 신호(ringing)를 최소화할 수 있다. 더욱이, 하이사이드와 로우사이드 전력 트랜지스터 사이의 나머지 기생성은 매몰 전도층의 저항에 의해 지배되어, 출력 노드에서의 신호(ringing)를 좀 더 효과적으로 제어할 수 있도록 한다.One unique advantage of parasitic inductance reduction between transistors in different regions is the delay time of receiving control signals at the control electrodes of the high side and low side transistors when switching between high side and low side power transistors. Can be reduced and the switching or output node's ringing can be reduced. During this transient, the parasitic inductance between the high side and low side power transistors reacts with the output capacitance of the low side transistor to form a resonant circuit. Such resonant circuits can cause undesirable high frequency voltage swings on the output nodes of the circuit. Such voltage swings can introduce undesirable voltage stress in the device, complicate circuit element control, and reduce the overall power conversion efficiency of the voltage regulator. Embodiments described herein allow for reduction of parasitic inductances between high side and low side power transistors, thereby minimizing output node ringing. Moreover, the remaining parasitics between the high side and low side power transistors are governed by the resistance of the buried conductive layer, allowing more effective control of the ring at the output node.

두 트랜지스터 유형 사이의 기생 저항성은 작은 하이사이드 전력 트랜지스터와 작은 로우사이드 전력 트랜지스터가 쌍으로 결합함으로써 좀 더 감소될 수 있고, 그 후 이러한 다수 쌍의 트랜지스터들이 서로 병렬연결되어 더욱 효과적인 장치가 형성될 수 있다. 이들 하이사이드 및 로우사이드 전력 트랜지스터 사이의 평균 측면 거리가 매몰 전도층의 두께보다 작은 경우, 하이사이드 트랜지스터로부터의 전류가 매몰 전도층의 전체 두께를 통과해 로우사이드 트랜지스터에 도달할 필요가 없어지며, 따라서 총 기생 저항성이 감소한다.The parasitic resistance between the two transistor types can be further reduced by combining small high side power transistors and small low side power transistors in pairs, and then these multiple pairs of transistors can be connected in parallel to each other to form a more effective device. have. If the average lateral distance between these high side and low side power transistors is less than the thickness of the buried conductive layer, there is no need for current from the high side transistor to reach the low side transistor through the entire thickness of the buried conductive layer, Thus, total parasitic resistance is reduced.

필요하거나 바람직한 경우 그 밖의 다른 실시예가 이용될 수 있다. 반도체층(304) 및 수직 전도성 구조물 내의 우물 영역 및 그 밖의 다른 도핑 영역에 관한 변형을 위한 대안을 살펴보기로 한다.Other embodiments may be used if desired or desired. Alternatives to variations in the well region and other doped regions in the semiconductor layer 304 and the vertical conductive structure will be discussed.

도 4에서 전술된 바와 같이, 섹션(124)은, 도핑 구조물(416)이, 반도체층(304)의 내부 부분(426)을 둘러싸는 매몰 도핑 영역(206) 및 수직 부분(406)을 포함하는 집적 회로의 일부분에 대한 도시를 포함한다. 전술된 바와 같이, 도핑 구조물은 필요하지 않을 수 있다. 도 16에서, 다른 경우라면 내부 부분(426)이 놓였을 반도체층의 부분을 도핑함으로써 도핑 영역(1526)이 형성될 수 있다. 특정 실시예에서, 주입 차단층(도 4의 주입 차단층(402)과 유사함) 및 마스크층이 반도체층(304) 위에 형성된다. 이러한 실시예에서, 도펀트가 반도체층(304)으로 주입되는 위치에, 마스크층의 개구부가 대응한다. 도펀트는 반도체층(304)으로 주입되어 도핑 영역(1526)을 형성한다. 도핑 영역(1526)의 전도성 유형은 반도체층(304)의 전도성 유형과 동일하거나, 다를 수 있다. 도핑 영역(1526)은, 그 자체로 우물 영역이거나, 또는 반도체층(304)의 일부분을 포함하는 더 큰 우물 영역의 일부분일 수 있다. 특정 실시예에서, 도핑 영역(1526)의 도펀트 농도는 매몰 도핑 영역(106)보다는 반도체층(304)의 도펀트 농도에 더 가깝다. 전술된 바와 같이 처리가 계속될 수 있다. 도핑 영역(1526)에 유사한 도핑 영역이 섹션(134 및 132)의 도핑 구조물(416 및 418) 및 내부 부분(426 및 428)을 대신하여 각각 형성될 수 있고, 또는, 섹션(122)의 반도체층의 부분에 형성될 수도 있다. 본 명세서를 읽은 후라면, 해당업계 종사자는, 집적 회로의 특정 섹션에 도핑 영역(1526)에 유사한 도핑 영역, 또는 도핑 구조물(416 및 418) 및 내부 부분(426 및 428)의 조합이 형성되는지 여부 및 형성되는 위치, 또는 아무것도 형성되지 않는지(가령, 도핑 영역 또는 상기 조합이 형성되지 않음) 등을 판단할 수 있을 것이다.As described above in FIG. 4, the section 124 includes a buried doped region 206 and a vertical portion 406, wherein the doping structure 416 surrounds an inner portion 426 of the semiconductor layer 304. Includes a representation of a portion of an integrated circuit. As mentioned above, the doping structure may not be necessary. In FIG. 16, a doped region 1526 can be formed by doping the portion of the semiconductor layer on which the inner portion 426 would otherwise be placed. In a particular embodiment, an injection blocking layer (similar to the injection blocking layer 402 of FIG. 4) and a mask layer are formed over the semiconductor layer 304. In this embodiment, the opening of the mask layer corresponds to the position where the dopant is injected into the semiconductor layer 304. The dopant is implanted into the semiconductor layer 304 to form the doped region 1526. The conductivity type of the doped region 1526 may be the same as or different from the conductivity type of the semiconductor layer 304. Doped region 1526 may itself be a well region or may be part of a larger well region that includes a portion of semiconductor layer 304. In a particular embodiment, the dopant concentration of the doped region 1526 is closer to the dopant concentration of the semiconductor layer 304 than the buried doped region 106. Processing may continue as described above. Doped regions similar to the doped regions 1526 may be formed in place of the doped structures 416 and 418 and the inner portions 426 and 428 of the sections 134 and 132, respectively, or the semiconductor layer of the section 122. It may be formed in the portion of. After reading this specification, those skilled in the art will appreciate whether a particular section of an integrated circuit is formed with a doped region similar to the doped region 1526, or a combination of doped structures 416 and 418 and inner portions 426 and 428. And the position at which it is formed, or whether nothing is formed (e.g., no doped regions or combinations thereof are formed).

전술된 바와 같이, 도 5에서 도핑 구조물(526)의 수직 도핑 영역(524), 및 도 6에서 트렌치(624)를 형성한 이후, 트렌치(624)의 벽을 따라 절연 측벽 스페이서(626)가 형성된다. 또 다른 실시예에서, 수직 도핑 영역(524)과 절연 측벽 스페이서(626) 중 하나 이상이 생략된다. 수직 도핑 영역(524)은, 특정 섹션에서 매몰 도핑 영역에 의해 점유되는 상기 영역(위에서 바라봄)이 동일한 섹션 내의 수직 도핑 영역(524) 및 반도체층(304) 사이의 잠재적 경계 영역(interfacial area)보다 사실상 클 때 생략될 수 있다. 또한, 해당업계 종사자는, 수직 도핑 영역(524)이 상당한 역효과 없이 생략될 수 있는 경우인지 판단하기 위해 섹션 내의 전기장을 고려할 수 있다. 통상적으로, 임의의 수직 도핑 영역(524)이 이용되는 경우, 추가적 처리 단계 또는 복잡도를 야기하지 않고, 부가하는 추가적 수직 도핑 영역(524)이 이용될 수 있다.As described above, after forming the vertical doped region 524 of the doping structure 526 in FIG. 5, and the trench 624 in FIG. 6, an insulating sidewall spacer 626 is formed along the walls of the trench 624. do. In yet another embodiment, one or more of the vertical doped region 524 and the insulating sidewall spacer 626 are omitted. The vertical doped region 524 is a potential interfacial area between the vertically doped region 524 and the semiconductor layer 304 in the same section as the region (viewed from above) occupied by the buried doped region in a particular section. May be omitted when more substantial. Furthermore, those skilled in the art can consider the electric field in the section to determine if the vertical doped region 524 can be omitted without significant adverse effects. Typically, if any vertical doped region 524 is used, additional additional doped region 524 may be used without causing additional processing steps or complexity.

도 17을 참조하면, 특정 일 실시예에서, 수직 도핑 영역(524)을 형성하는데 이용되는 공정 시퀀스가 수행되지 않는다. 반도체층(304)을 부분적으로, 또는 완전히 통과해 뻗어 있는 트렌치(624)와 유사한 트렌치가 형성된다. 이러한 특정 실시예에서, 절연 측벽 스페이서(626)를 형성하는데 이용되는 공정 시퀀스가 생략된다. 뒤이어, 전술된 기법 중 임의의 것을 이용하여, 트렌치 내에 전도성 구조물(724)이 형성되며, 그 후 전도성 플러그(824)가 형성된다. 전도성 구조물(724)에 의해 매몰 전도 영역(106) 및 매몰 전도성 구조물(102)이 서로 전기적으로 연결된다.Referring to FIG. 17, in one particular embodiment, the process sequence used to form the vertical doped region 524 is not performed. Trench similar to trench 624 is formed that extends partially or completely through semiconductor layer 304. In this particular embodiment, the process sequence used to form the insulating sidewall spacer 626 is omitted. Subsequently, using any of the techniques described above, a conductive structure 724 is formed in the trench, and then a conductive plug 824 is formed. The buried conductive region 106 and the buried conductive structure 102 are electrically connected to each other by the conductive structure 724.

또 다른 실시예에서, 수직 도핑 영역(524)과 같은 수직 도핑 영역이 서로 다른 기법을 이용하여 형성될 수 있고, 전도성 플러그(824)가 모든 섹션 내에 형성되지 않거나 또는 아예 형성되지 않을 수 있다. 도 18에서, 수직 도핑 영역(524)을 형성하는데 이용되는 도핑 시퀀스가 생략될 수 있다. 반도체층(304)을 통과해 뻗어 있는 트렌치를 형성한 이후, 패드층(502) 및 저지층(504)(도 18에 도시되지 않음)을 포함하는 작업부재 위에, 및 트렌치 내에, 도핑 반도체층이 순응적으로 증착된다. 도핑 반도체층은 이방성으로 식각되어, 저지층(504) 위 및 트렌치의 하부에 놓인 도핑 반도체층의 일부분을 제거하며, 도핑 반도체 스페이서(1722)를 남긴다. 도핑 반도체 스페이서(1722)는 전술된 수직 도핑 영역(524)과 동일한 도펀트 유형 및 도펀트 농도를 가진다. 전술된 바와 같이 절연 측벽 스페이서(626)가 형성될 수 있다. 수직 전도성 구조물(1724)은, 그 상부가 트렌치 내에서 오목해지는 것을 제외하고, 수직 전도성 구조물(1724) 과 관련해 기술된 기법 중 임의의 기법을 이용하여 형성될 수 있다. 절연 측벽 스페이서(626)를 형성한 이후 패드층(502) 및 저지층(504)이 제거되지 않은 경우, 상기 패드층(502) 및 저지층(504)이 제거될 수 있다. 또 다른 실시예에서, 수직 전도성 구조물(1724), 및 수직 전도성 구조물(724)과 전도성 플러그(824)의 조합은 동일한 집적 회로의 서로 다른 섹션에서 형성될 수 있다.In another embodiment, vertical doped regions, such as vertical doped region 524, may be formed using different techniques, and conductive plugs 824 may not be formed in all sections or at all. In FIG. 18, the doping sequence used to form the vertical doped region 524 can be omitted. After forming the trench extending through the semiconductor layer 304, a doped semiconductor layer is formed on and within the trench including the pad layer 502 and the stop layer 504 (not shown in FIG. 18). Deposited conformally. The doped semiconductor layer is anisotropically etched to remove portions of the doped semiconductor layer over the stop layer 504 and under the trench, leaving the doped semiconductor spacer 1722. Doped semiconductor spacer 1722 has the same dopant type and dopant concentration as vertical doped region 524 described above. As described above, the insulating sidewall spacer 626 may be formed. The vertical conductive structure 1724 can be formed using any of the techniques described with respect to the vertical conductive structure 1724, except that the top thereof is concave in the trench. If the pad layer 502 and the stop layer 504 are not removed after the insulating sidewall spacer 626 is formed, the pad layer 502 and the stop layer 504 may be removed. In another embodiment, the vertical conductive structure 1724 and the combination of the vertical conductive structure 724 and the conductive plug 824 may be formed in different sections of the same integrated circuit.

또 다른 실시예에서, 또 다른 유형의 수직 전도성 구조물이 형성될 수 있다. 예를 들어, 도 7 내지 9에는 도시되어 있지 않지만, 수직 전도성 구조물이 섹션(132) 내에 형성될 수 있다. 도 19를 참조하면, 트렌치(1802)가 오직 부분적으로 반도체층(304)을 통과해 매몰 전도 영역(102)을 향해 뻗어 있는 것을 제외하고, 트렌치(624)와 관련해 기술된 기법 중 임의의 기법을 이용하여 트렌치(1802)가 형성될 수 있다. 절연 측벽 스페이서(626)와 관련해 기술된 기법 중 임의의 기법을 이용하여 절연 측벽 스페이서(1804)가 형성될 수 있다. 또 다른 식각공정이 수행되어, 트렌치가 매몰 전도 영역(102)으로 뻗어 있을 수 있다. 도 20에서, 전술된 전도성 구조물(724) 및 전도성 플러그(824)를 형성하는데 이용되는 기법 중 임의의 기법을 이용하여, 전도성 구조물(1924) 및 전도성 플러그(1926)가 형성된다. 또 다른 실시예에서, 수직 전도성 구조물(1924)과 전도성 플러그(1926)의 조합, 및 수직 전도성 구조물(724)과 전도성 플러그(824)의 조합이 동일한 집적 회로의 서로 다른 섹션에 형성될 수 있다. 또 다른 실시예(도시되지 않음)에서, 트렌치가 매몰 전도 영역(102)으로 완전히 뻗어 있지 않을 수 있다. 매몰 전도층에 인접하지만 도달하지는 않은 하부를 갖는 트렌치가 형성될 수 있다. 트렌치 내에 도핑 반도체 물질이 형성될 수 있고, 확산 작업이 수행되어 도펀트를 매몰 전도 영역(102)으로 확산시킬 수 있다.In yet another embodiment, another type of vertical conductive structure can be formed. For example, although not shown in FIGS. 7-9, vertical conductive structures may be formed in section 132. Referring to FIG. 19, any of the techniques described with respect to trench 624 may be employed except that trench 1802 only partially passes through semiconductor layer 304 and extends into buried conductive region 102. The trench 1802 may be formed using the same. Insulating sidewall spacers 1804 may be formed using any of the techniques described with respect to insulated sidewall spacers 626. Another etching process may be performed such that the trench extends into the buried conducting region 102. In FIG. 20, the conductive structure 1924 and the conductive plug 1926 are formed using any of the techniques used to form the conductive structure 724 and the conductive plug 824 described above. In another embodiment, a combination of vertical conductive structure 1924 and conductive plug 1926, and a combination of vertical conductive structure 724 and conductive plug 824 may be formed in different sections of the same integrated circuit. In another embodiment (not shown), the trench may not extend fully into the buried conducting region 102. A trench can be formed having a lower portion adjacent to but not reaching the buried conductive layer. A doped semiconductor material may be formed in the trench, and a diffusion operation may be performed to diffuse the dopant into the buried conductive region 102.

본 명세서를 읽은 후라면, 해당업계 종사자는, 본원에 기술된 개념으로부터 벗어나지 않고 그 밖의 다른 많은 실시예가 이용될 수 있음을 이해할 것이다. 서로 다른 구조와 도핑 영역의 이용 및 형성에 있어서의 유연성으로 인해, 해당업계 종사자는, 복잡한 처리 시퀀스를 이용한 공정 단계 또는 공정 흐름을 발전시키지 않고, 기존 설비 및 기술을 더 좋게 강화하거나 여러 다른 응용을 위해, 구조와 공정을 맞출 수 있다. 필요하거나 바람직한 경우, 집적 회로의 부분 또는 전체에 대하여 전도성 유형이 반대로 될 수 있다.After reading this specification, skilled artisans will appreciate that many other embodiments may be utilized without departing from the concepts described herein. Due to the flexibility in the use and formation of different structures and doped regions, those skilled in the art will be able to better enhance existing equipment and techniques or to adapt to different applications without developing process steps or process flows with complex processing sequences. To match the structure and process. If necessary or desired, the conductivity type can be reversed for part or all of the integrated circuit.

본원에 기술된 실시예는 대략 1019 atoms/cm3 미만의 최고 도펀트 농도를 가지는 영역을 포함할 수 있다. 금속 함유 물질을 이용한 옴 콘택트가 필요하거나 바람직한 경우, 이러한 도핑 영역의 부분은 대략 1019 atoms/cm3 이상의 최고 도펀트 농도를 가지도록 국지적으로 도핑될 수 있다. 제한이 없는 예시에서, 매몰 도핑 영역(106)은 대략 1019 atoms/cm3 미만의 최고 도펀트 농도를 가질 수 있다. 전도성 구조물(724)가 W 또는 WSi를 포함하는 경우, 트렌치(624)의 하부를 따르는 부분과 같은 전도성 구조물(724)에 가까운 매몰 도핑 영역(106) 부분으로, 국지적으로 최고 도펀트 농도가 대략 1019 atoms/cm3 이상이 되게 증가하도록 주입이 이루어져서, 매몰 도핑 영역(106)과 전도성 구조물(724) 사이에 옴 콘택트의 형성을 도울 수 있다. Embodiments described herein may include regions having a highest dopant concentration of less than approximately 10 19 atoms / cm 3 . If ohmic contact with a metal containing material is required or desired, the portion of this doped region is approximately 10 19 atoms / cm 3. It may be locally doped to have the highest dopant concentration above. In the non-limiting example, the buried doped region 106 is approximately 10 19 atoms / cm 3 It may have a highest dopant concentration of less than. If the conductive structure 724 includes W or WSi, the portion of the buried doped region 106 close to the conductive structure 724, such as the portion along the bottom of the trench 624, with a locally highest peak dopant concentration of approximately 10 19. Implantation may be made to increase to at least atoms / cm 3 to aid in the formation of ohmic contacts between the buried doped region 106 and the conductive structure 724.

여러 다양한 형태와 실시예가 가능하다. 이러한 형태와 실시예 중 일부가 후술된다. 본 명세서를 읽은 후에, 해당업계 종사자라면 이러한 형태와 실시예가 단지 예시적일 뿐 본 발명의 범위를 제한하려는 의도가 아님을 이해할 것이다.Many different forms and embodiments are possible. Some of these forms and embodiments are described below. After reading this specification, skilled artisans will appreciate that such forms and embodiments are illustrative only and are not intended to limit the scope of the invention.

본 발명의 제 1형태에서, 전자 장치는 매몰 전도 영역과, 매몰 전도 영역 위에 놓이는 반도체층을 포함한 집적 회로를 포함할 수 있다. 반도체층은 주표면 및 그 반대쪽 표면(opposing surface)을 가지며, 매몰 전도 영역은 상기 주표면보다는 그 반대쪽 표면에 더 가깝게 놓인다. 또한 전자 장치는, 반도체층을 통과해 뻗이 있으면서 매몰 전도 영역에 전기적으로 연결되는 제 1 수직 전도성 구조물을 포함할 수 있다. 전자 장치는 제 1 도핑 구조물 및 제 1 우물 영역을 추가로 포함할 수 있다. 상기 주표면보다 그 반대쪽 표면에 더 가깝게 놓인 제 1 도핑 구조물은, 매몰 전도 영역과 반대되는 전도성 유형을 가지며, 상기 매몰 전도 영역에 전기적으로 연결된다. 제 1 우물 영역은 반도체층의 제 1 부분을 포함할 수 있고, 여기서, 상기 제 1 부분은 제 1 도핑 구조물을 가지며 제 1 도핑 구조물에 비해 더 낮은 도펀트 농도를 가진다. In a first aspect of the invention, an electronic device may include an integrated circuit including an buried conductive region and a semiconductor layer overlying the buried conductive region. The semiconductor layer has a major surface and an opposing surface, and the buried conductive region lies closer to the opposite surface than the major surface. The electronic device may also include a first vertical conductive structure extending through the semiconductor layer and electrically connected to the buried conductive region. The electronic device may further include a first doped structure and a first well region. The first doped structure, which is located closer to the opposite surface than the main surface, has a conductivity type opposite to the buried conductive region and is electrically connected to the buried conductive region. The first well region may comprise a first portion of the semiconductor layer, wherein the first portion has a first doped structure and has a lower dopant concentration as compared to the first doped structure.

상기 제 1형태의 실시예에서, 제 1 도핑 구조물은 매몰 전도 영역에 인접하게 놓이는 수평 부분, 및 제 1 수직 전도성 구조물에 인접하게 놓이는 수직 부분을 포함하며, 상기 제 1 수직 전도성 구조물에 전기적으로 연결된다. 또 다른 실시예에서, 제 1 우물 영역은 제 2 도핑 구조물을 포함하며, 여기서, 상기 제 2 도핑 구조물은 제 1 도핑구조물(제 2 도핑 구조물을 둘러싸고 있음)과 이격되어 있으며, 제 1 도핑 구조물에 비해 더 높은 도펀트 농도를 가진다. 또 다른 실시예에서, 제 1 우물 영역 및 매몰 전도 영역은 동일한 전도성 유형을 가지거나, 서로 반대되는 전도성 유형을 가진다.In an embodiment of the first aspect, the first doped structure includes a horizontal portion lying adjacent to the buried conducting region, and a vertical portion lying adjacent to the first vertical conductive structure, and electrically connected to the first vertical conductive structure. do. In another embodiment, the first well region includes a second doped structure, wherein the second doped structure is spaced apart from the first doped structure (enclosing the second doped structure) and in the first doped structure. It has a higher dopant concentration. In another embodiment, the first well region and the buried conducting region have the same conductivity type or opposite conductivity types.

상기 제 1 형태의 추가적 실시예에서, 전자 장치는 반도체층의 제 2 부분을 포함하는 제 2 우물 영역을 추가로 포함하며, 여기서, 상기 제 2 우물 영역은 제 1 우물 영역 및 제 1 도핑 구조물과 이격되어 있다. 특정 실시예에서, 전자 장치는, 반도체층을 통과해 뻗어 있으면서 매몰 전도 영역에 전기적으로 연결되는 제 2 수직 전도성 구조물을 추가로 포함하고, 제 1 도핑 구조물과 이격되어 있으면서 매몰 전도 영역과 반대되는 전도성 유형을 가지는 제 2 도핑 구조물을 추가로 포함한다. 제 2 도핑 구조물은, 매몰 전도 영역에 인접하게 놓이는 수직 부분, 및 제 2 수직 전도성 구조물에 인접하게 놓이는 수직 부분을 포함할 수 있고, 제 2 수직 전도성 구조물에 전기적으로 연결될 수 있으며, 반도체층의 제 2 부분을 둘러쌀 수 있다.In a further embodiment of the first aspect, the electronic device further comprises a second well region comprising a second portion of the semiconductor layer, wherein the second well region comprises a first well region and a first doped structure; Are spaced apart. In a particular embodiment, the electronic device further comprises a second vertical conductive structure extending through the semiconductor layer and electrically connected to the buried conductive region, wherein the electronic device is spaced apart from the first doped structure and opposite the buried conductive region. And further comprising a second doped structure having a type. The second doped structure may include a vertical portion lying adjacent to the buried conductive region, and a vertical portion lying adjacent to the second vertical conductive structure, and may be electrically connected to the second vertical conductive structure, the second portion of the semiconductor layer I can surround two parts.

상기 제 1 형태의 또 다른 특정 실시예에서, 전자 장치는, 반도체층을 통과해 뻗어 있는 제 2 수직 전도성 구조물을 추가로 포함하며, 매몰 전도 영역에 전기적으로 연결된다. 또한, 전자 장치는 제 1 도핑 구조물과 이격되어 있는 제 2 도핑 구조물을 추가로 더 포함하며, 상기 제 2 도핑 구조물은, 매몰 전도 영역과 반대되는 전도성 유형을 가지고 주표면보다 그 반대쪽 표면에 더 가깝게 놓이며 매몰 전도 영역에 전기적으로 연결된다. 또 다른 특정 실시예에서, 제 2 우물 영역은 제 2 도핑 구조물을 추가로 포함하며, 여기서, 제 2 도핑 구조물은 제 2 부분과 접하면서 이를 감싸며, 이러한 제 2 부분에 비해 더 높은 도펀트 농도를 가진다.In another particular embodiment of the first aspect, the electronic device further comprises a second vertical conductive structure extending through the semiconductor layer and electrically connected to the buried conductive region. In addition, the electronic device further comprises a second doped structure spaced apart from the first doped structure, the second doped structure having a conductivity type opposite to the buried conducting area and closer to the opposite surface than the main surface. And electrically connected to the buried conducting area. In another particular embodiment, the second well region further comprises a second doping structure, wherein the second doping structure abuts and abuts the second portion and has a higher dopant concentration compared to this second portion. .

추가적인 특정 실시예에서, 전자 장치는 반도체층의 제 3 부분을 포함하는 제 3 우물 영역을 추가로 포함하며, 여기서, 상기 제 3 우물 영역은 제 1 및 제 2 우물 영역과 이격되어 있다. 특정 실시예에서, 제 3 우물 영역은 제 2 도핑 구조물을 추가로 포함하고, 여기서, 상기 제 2 도핑 구조물은 제 3 부분과 접하면서 이를 감싸며, 이러한 제 3 부분과 동일한 전도성 유형을 가지고, 제 3 부분에 비해 더 높은 도펀트 농도를 가진다. 또 다른 특정 실시예에서, 전자 장치는 반도체층의 제 4 부분을 포함하는 제 4 우물 영역을 추가로 포함하며, 여기서, 상기 제 4 우물 영역은 제 1, 제 2, 및 제 3 우물 영역과 이격되어 있다.In a further particular embodiment, the electronic device further comprises a third well region comprising a third portion of the semiconductor layer, wherein the third well region is spaced apart from the first and second well regions. In a particular embodiment, the third well region further comprises a second doping structure, wherein the second doping structure abuts and abuts a third portion, and has the same conductivity type as this third portion, It has a higher dopant concentration compared to the part. In another particular embodiment, the electronic device further comprises a fourth well region comprising a fourth portion of the semiconductor layer, wherein the fourth well region is spaced apart from the first, second, and third well regions. It is.

상기 제 1 형태의 또 다른 실시예에서, 전자 장치는 제 2 수직 전도성 구조물 및 제 2 도핑 구조물을 추가로 포함한다. 수직 전도성 구조물은 반도체층을 통과해 뻗어 있고, 매몰 전도 영역에 전기적으로 연결된다. 제 2 도핑 구조물은 매몰 전도 영역과 반대되는 전도성 유형을 가지며, 매몰 전도 영역에 인접하게 놓이는 수직 부분 및 제 3 수직 전도성 구조물에 인접하게 놓이는 수직 부분을 포함한다. 제 2 도핑 구조물은 제 2 수직 전도성 구조물에 전기적으로 연결된다. 제 1 우물 영역 및 제 4 우물 영역은 서로 반대되는 전도성 유형을 가진다. 특정 실시예에서, 제 1 우물은 p-우물 영역이고, 제 2 우물 영역은 n-우물 영역이며, 제 3 우물 영역은 또 다른 p-우물 영역이고, 제 4 우물 영역은 또 다른 n-우물 영역이다.In yet another embodiment of the first aspect, the electronic device further comprises a second vertical conductive structure and a second doped structure. The vertical conductive structure extends through the semiconductor layer and is electrically connected to the buried conductive region. The second doped structure has a conductivity type opposite to the buried conductive region and includes a vertical portion lying adjacent to the buried conductive region and a vertical portion lying adjacent to the third vertical conductive structure. The second doped structure is electrically connected to the second vertical conductive structure. The first well region and the fourth well region have opposite conductivity types. In a particular embodiment, the first well is a p-well region, the second well region is an n-well region, the third well region is another p-well region, and the fourth well region is another n-well region to be.

상기 제 1 형태의 특정 실시예에서, 집적 회로는 제 1 전력 트랜지스터 및 제 2 전력 트랜지스터를 추가로 포함한다. 제 1 전력 트랜지스터는 제 1 전류 운반 전극(current-carrying electrode), 제 2 전류 운반 전극, 및 제 1 제어 전극을 포함하며, 여기서, 상기 제 1 전류 운반 전극은 제 1 단자(terminal)에 연결된다. 제 2 전력 트랜지스터는 제 3 전류 운반 전극, 제 4 전류 운반 전극, 및 제 2 제어 전극을 포함한다. 집적 회로에서, 제 2 전류 운반 전극, 제 3 전류 운반 전극, 및 매몰 전도 영역은 서로 전기적으로 연결된다. 제 4 전류 운반 전극은, 제 1 단자와는 다른 전압으로 동작하도록 설계된 제 2 단자에 연결된다. 집적 회로는, 제 1 우물 영역 내의 제 1 전자적 구성요소 및 제 2 우물 영역 내의 제 2 전자적 구성요소를 추가로 포함하며, 여기서, 제 1 전자적 구성요소는 제 1 제어 전극에 연결되는 제 1 제어 회로의 부분이고, 제 2 전자적 구성요소는 제 1 제어 전극에 연결되는 제 1 제어 회로의 부분이다. 또한, 집적 회로는 제 3 우물 영역 내의 제 3 전자적 구성요소 및 제 4 우물 영역 내의 제 4 전자적 구성요소를 추가로 포함하고, 여기서, 제 3 전자적 구성요소는 제 2 제어 전극에 연결되는 제 2 제어 회로의 부분이며, 제 4 전자적 구성요소는 제 2 제어 전극에 연결되는 제 2 제어 회로의 부분이다.In a particular embodiment of the first aspect, the integrated circuit further includes a first power transistor and a second power transistor. The first power transistor includes a first current-carrying electrode, a second current carrying electrode, and a first control electrode, wherein the first current carrying electrode is connected to a first terminal. . The second power transistor includes a third current carrying electrode, a fourth current carrying electrode, and a second control electrode. In an integrated circuit, the second current carrying electrode, the third current carrying electrode, and the buried conducting area are electrically connected to each other. The fourth current carrying electrode is connected to a second terminal designed to operate at a voltage different from that of the first terminal. The integrated circuit further includes a first electronic component in the first well region and a second electronic component in the second well region, wherein the first electronic component is connected to the first control electrode. And the second electronic component is part of the first control circuit connected to the first control electrode. Also, the integrated circuit further includes a third electronic component in the third well region and a fourth electronic component in the fourth well region, wherein the third electronic component is connected to the second control electrode. It is part of the circuit, and the fourth electronic component is part of the second control circuit connected to the second control electrode.

제 2 형태에서, 전자 장치는 매몰 전도 영역, 및 매몰 전도 영역 위에 놓이는 반도체층을 포함하는 집적 회로를 포함할 수 있다. 반도체층은 주표면, 및 그 반대쪽 표면을 포함할 수 있고, 매몰 전도 영역은 상기 주표면보다 그 반대쪽 표면에 더 가깝게 놓일 수 있다. 또한, 전자 장치는 반도체층을 통과해 뻗어 있으면서 매몰 전도 영역에 전기적으로 연결되는 제 1 수직 전도성 구조물을 포함할 수 있다. 또한 전자 장치는 제 1 도핑 구조물을 포함하는 제 1 우물 영역을 추가로 포함할 수 있으며, 여기서, 제 1 도핑 구조물은 매몰 전도 영역 및 제 1 수직 전도성 구조물 각각으로부터 이격되어 있다. 또한 전자 장치는 제 1 우물 영역 내에 부분적으로 또는 전체적으로 놓이는 전계 효과 트랜지스터(field-effect transistor)를 추가로 포함할 수 있다.In a second aspect, the electronic device can include an integrated circuit including an embedded conductive region and a semiconductor layer overlying the embedded conductive region. The semiconductor layer may comprise a major surface, and the opposite surface, and the buried conducting region may lie closer to the opposite surface than the major surface. Also, the electronic device may include a first vertical conductive structure extending through the semiconductor layer and electrically connected to the buried conductive region. The electronic device can further include a first well region comprising a first doped structure, wherein the first doped structure is spaced apart from each of the buried conductive region and the first vertical conductive structure. The electronic device may further include a field-effect transistor that lies partially or entirely within the first well region.

상기 제 2 형태의 또 다른 실시예에서, 전자 장치는 반도체층을 통과해 뻗어 있으면서 매몰 전도 영역에 전기적으로 연결되는 제 2 수직 전도성 구조물을 추가로 포함한다. 또한 전자 장치는 반도체층 내의 제 2 도핑 구조물을 포함할 수 있으며, 여기서, 상기 제 2 도핑 구조물은 매몰 전도 영역 및 제 1 수직 전도성 구조물에 인접하게 놓인다. 전자 장치는 반도체층의 내부 부분을 포함하는 제 2 우물 영역을 추가로 포함할 수 있다. 제 2 도핑 구조물은 반도체층의 내부 부분을 둘러싸며, 제 1 우물 영역 및 제 2 우물 영역은 서로 반대되는 전도성 구조물을 가진다. In yet another embodiment of the second aspect, the electronic device further includes a second vertical conductive structure extending through the semiconductor layer and electrically connected to the buried conductive region. The electronic device can also include a second doped structure in the semiconductor layer, wherein the second doped structure lies adjacent to the buried conductive region and the first vertical conductive structure. The electronic device may further include a second well region that includes an inner portion of the semiconductor layer. The second doped structure surrounds an inner portion of the semiconductor layer, and the first well region and the second well region have opposite conductive structures.

제 3형태에서, 집적 회로를 포함하는 전자 장치를 형성하는 공정은 매몰 전도 영역 위에 놓이는 반도체층을 포함하는 기판을 제공하는 단계를 포함할 수 있고, 여기서, 상기 반도체층은 주표면 및 그 반대쪽 표면을 가지며, 매몰 전도 영역은 주표면보다 그 반대쪽 표면에 더 가깝게 놓인다. 또한 상기 공정은 반도체층 내의 제 1 도핑 구조물을 형성하는 단계를 포함할 수 있고, 여기서, 상기 제 1 도핑 구조물은 주표면보다 그 반대쪽 표면에 더 가깝게 놓이며, 매몰 전도 영역과 반대되는 전도성 유형을 가진다. 상기 공정은 반도체층을 통과해 뻗어 있는 제 1 수직 전도성 구조물을 형성하는 단계를 추가로 포함할 수 있다. 완성된 장치에서, 제 1 우물 영역이 제 1 도핑 구조물 및 매몰 전도 영역 위에 놓이는 반도체층의 제 1 부분을 포함할 수 있고, 제 1 도핑 구조물 및 제 1 수직 전도성 구조물은 서로 전기적으로 연결된다.In a third aspect, a process of forming an electronic device including an integrated circuit can include providing a substrate comprising a semiconductor layer overlying an buried conductive region, wherein the semiconductor layer has a major surface and an opposite surface. The buried conducting area lies closer to the opposite surface than the main surface. The process may also include forming a first doped structure in the semiconductor layer, wherein the first doped structure is placed closer to the opposite surface than the major surface and has a conductivity type opposite to the buried conductive region. Have The process may further comprise forming a first vertical conductive structure extending through the semiconductor layer. In the completed device, the first well region may comprise a first portion of the semiconductor layer overlying the first doping structure and the buried conducting region, the first doping structure and the first vertical conductive structure being electrically connected to each other.

상기 제 3 형태의 실시예에서, 기판을 제공하고 제 1 도핑 구조물을 형성하는 단계는, 매몰 전도 영역 위에 반도체층의 제 1 부분을 포함하는 기판을 제공하는 단계, 제 1 도핑 구조물의 제 1 수평 부분을 형성하기 위해 반도체층의 제 1 부분을 선택적으로 도핑하는 단계, 및 제 1 도핑 구조물의 제 1 수직 부분을 형성하기 위하여 반도체층의 제 2 부분을 선택적으로 도핑하는 단계를 포함한다. 또 다른 실시예에서, 상기 공정은 반도체층의 제 2 부분을 포함하는 제 2 우물 영역을 형성하는 단계를 포함하고, 여기서, 상기 제 2 우물 영역은 제 1 우물 영역과 반대되는 전도성 유형을 가진다. 특정 실시예에서, 상기 공정은 반도체층 내의 제 2 도핑 구조물의 제 2 수평 부분을 형성하는 단계를 추가로 포함하며, 여기서, 상기 제 2 수평 부분은 매몰 전도 영역과 이격되어 있다. 또한 상기 공정은 제 2 도핑 구조물의 제 2 수직 부분을 형성하는 단계롤 포함할 수 있고, 여기서, 상기 제 2 수직 부분은 반도체층의 제 2 수평 부분과 주표면 사이에 놓인다. 완성된 장치에서, 제 2 우물 영역은 제 2 도핑 구조물을 추가로 포함하고, 상기 제 2 도핑 구조물은 반도체층의 제 2 부분을 감싸며, 상기 제 2 부분보다 더 높은 도펀트 농도를 가진다.In the third form of embodiment, providing the substrate and forming the first doped structure comprises providing a substrate comprising a first portion of the semiconductor layer over the buried conductive region, the first horizontal of the first doped structure. Selectively doping the first portion of the semiconductor layer to form a portion, and selectively doping the second portion of the semiconductor layer to form a first vertical portion of the first doped structure. In another embodiment, the process includes forming a second well region comprising a second portion of the semiconductor layer, wherein the second well region has a conductivity type opposite to the first well region. In a particular embodiment, the process further includes forming a second horizontal portion of the second doped structure in the semiconductor layer, wherein the second horizontal portion is spaced apart from the buried conductive region. The process may also include forming a second vertical portion of the second doped structure, wherein the second vertical portion lies between the second horizontal portion of the semiconductor layer and the major surface. In the completed device, the second well region further comprises a second doping structure, the second doping structure surrounding the second portion of the semiconductor layer and having a higher dopant concentration than the second portion.

상기 제 3 형태의 또 다른 특정 실시예에서, 상기 공정은 반도체층의 제 3 부분을 포함하는 제 3 우물 영역을 형성하는 단계를 추가로 포함하며, 여기서, 상기 제 3 우물 영역은 제 1 및 제 2 우물 영역과 이격되어 있다. 특정 실시예에서, 상기 공정은, 반도체층 내의 제 2 도핑 구조물의 제 2 수평 부분을 형성하는 단계, 제 2 도핑 구조물의 제 2 수직 부분을 형성하는 단계를 추가로 포함하며, 여기서, 상기 제 2 수직 부분은 반도체층의 제 2 수평 부분과 주표면 사이에 놓인다. 완성된 장치에서, 제 3 우물 영역은 제 2 도핑 구조물을 포함하고, 상기 제 2 도핑 구조물은 반도체층의 제 3 부분을 둘러싸며, 반도체층의 제 3 부분과 동일한 전도성 유형을 가지고, 상기 제 3 부분에 비해 더 높은 도펀트 농도를 가진다.In another particular embodiment of the third aspect, the process further includes forming a third well region comprising a third portion of the semiconductor layer, wherein the third well region is formed of the first and the first wells. 2 is spaced apart from the well area. In a particular embodiment, the process further comprises forming a second horizontal portion of the second doped structure in the semiconductor layer, forming a second vertical portion of the second doped structure, wherein the second The vertical portion lies between the second horizontal portion of the semiconductor layer and the major surface. In the finished device, the third well region comprises a second doping structure, the second doping structure surrounding the third portion of the semiconductor layer, having the same conductivity type as the third portion of the semiconductor layer, and the third It has a higher dopant concentration compared to the part.

상기 제 3 형태의 또 다른 실시예에서, 상기 공정은 반도체층의 제 4 부분을 포함하는 제 4 우물 영역을 형성하는 단계를 추가로 포함하며, 여기서, 상기 제 4 우물 영역은 제 1, 제 2, 및 제 3 우물 영역과 이격되어 있다. 특정 실시예에서, 상기 공정은 반도체층의 제 2 도핑 구조물의 제 2 수평 부분을 형성하는 단계를 추가로 포함하며, 여기서, 상기 제 2 수평 부분은 매몰 전도 영역에 접한다. 또한 상기 공정은, 제 2 도핑 구조물의 제 2 수직 부분을 형성하는 단계를 포함하며, 여기서, 상기 제 2 도핑구조의 제 2 수직 부분은 제 2 도핑 구조물의 제 2 수평 부분 사이에 놓이며, 반도체층 두께의 대부분을 따라 뻗어 있다. 상기 공정은 반도체층을 통과해 뻗어 있는 제 2 수직 전도성 구조물을 형성하는 단계를 추가로 포함한다. 완성된 장치에서, 제 2 도핑 구조물은 반도체층의 제 4 부분을 둘러싸고, 제 1 우물 영역 및 제 4 우물 영역은 서로 반대되는 전도성 구조물을 가지며, 매몰 전도 영역, 제 2 수직 전도성 구조물, 및 도핑 영역은 서로 전기적으로 연결된다. 또 다른 특정 실시예에서, 제 1 우물 영역은 p-우물 영역이고, 제 2 우물 영역은 n-우물 영역이며, 제 3 우물 영역은 또 다른 p-우물 영역이고, 제 4 우물 영역은 또 다른 n-우물 영역이다.In yet another embodiment of the third aspect, the process further includes forming a fourth well region comprising a fourth portion of the semiconductor layer, wherein the fourth well region is a first, second , And a third well region. In a particular embodiment, the process further includes forming a second horizontal portion of the second doped structure of the semiconductor layer, wherein the second horizontal portion is in contact with the buried conductive region. The process also includes forming a second vertical portion of the second doped structure, wherein the second vertical portion of the second doped structure lies between the second horizontal portion of the second doped structure, the semiconductor It extends along most of the layer thickness. The process further includes forming a second vertical conductive structure extending through the semiconductor layer. In the finished device, the second doped structure surrounds the fourth portion of the semiconductor layer, and the first well region and the fourth well region have opposite conductive structures, and the buried conductive region, the second vertical conductive structure, and the doped region are Are electrically connected to each other. In another particular embodiment, the first well region is a p-well region, the second well region is an n-well region, the third well region is another p-well region, and the fourth well region is another n Well area.

제 3 형태의 특정 실시예에서, 상기 공정은 제 1 우물 영역 내의 제 1 전자적 구성요소를 형성하는 단계, 제 2 우물 영역 내의 제 2 전자적 구성요소를 형성하는 단계, 제 3 우물 영역 내의 제 3 전자적 구성요소를 형성하는 단계, 및 제 4 우물 영역 내의 제 4 전자적 구성요소를 형성하는 단계를 추가로 포함하며, 여기서, 상기 제 1 전자적 구성요소는 제 1 제어 회로의 부분이고, 상기 제 2 전자적 구성요소는 제 1 제어 회로의 부분이며, 제 3 전자적 구성요소는 제 2 제어 회로의 부분이고, 상기 제 4 전자적 구성요소는 제 2 제어 회로의 부분이다. 또한 상기 공정은, 제 1 전력 트랜지스터의 제 1 전류 운반 전극, 제 2 전류 운반 전극, 및 제 1 제어 전극을 형성하는 단계와, 제 2 전력 트랜지스터의 제 3 전류 운반 전극, 제 4 전류 운반 전극, 및 제 2 제어 전극을 형성하는 단계와, 제 3 전류 운반 전극 및 제 3 전류 운반 전극을 매몰 전도 영역에 연결하는 단계를 포함할 수 있다. 상기 공정은, 제 1 제어 회로를 제 1 제어 전극에 연결하는 단계와, 제 2 제어 회로를 제 2 제어 전극에 연결하는 단계와, 제 1 단자에 제 1 전류 운반 전극을 연결하는 단계와, 제 4 전류 운반 전극을 제 1 단자와는 다른 전압으로 동작하도록 설계된 제 2 단자에 연결하는 단계를 추가로 포함할 수 있다.In a particular embodiment of the third form, the process includes forming a first electronic component in a first well region, forming a second electronic component in a second well region, a third electronic in a third well region Forming a component, and forming a fourth electronic component in the fourth well region, wherein the first electronic component is part of a first control circuit and wherein the second electronic component is formed. The element is part of the first control circuit, the third electronic component is part of the second control circuit and the fourth electronic component is part of the second control circuit. The process further includes the steps of forming a first current carrying electrode, a second current carrying electrode, and a first control electrode of the first power transistor, a third current carrying electrode, a fourth current carrying electrode of the second power transistor, And forming a second control electrode, and connecting the third current carrying electrode and the third current carrying electrode to the buried conducting region. The process includes connecting the first control circuit to the first control electrode, connecting the second control circuit to the second control electrode, connecting the first current carrying electrode to the first terminal, Connecting the four current carrying electrodes to a second terminal designed to operate at a different voltage than the first terminal.

일반적 설명에서 상술된 모든 활동 또는 예시가 필요한 것은 아니며, 특정 활동 부분도 필요하지 않을 수 있고, 위의 설명에 더하여 하나 이상의 추가적 활동이 수행될 수도 있다. 이에 더하여, 기재된 활동 순서가 그 활동이 수행되는데 반드시 필요한 순서인 것은 아니다.Not all of the activities or examples described above in the general description are required, no specific activity portion may be required, and one or more additional activities may be performed in addition to the above description. In addition, the order of activities described is not necessarily the order necessary for the activities to be performed.

또한, 개별적인 실시예의 맥락에서 설명된 특정 특징부는, 명확성을 위해, 단일의 실시예에서 조합되어 제공될 수 있다. 반대로, 단일의 실시예의 맥락에서 설명된 다양한 특징부들은, 간결성을 위해, 개별적으로 또는 임의의 서브조합되어 제공될 수 있다. 더욱이, 범위 내에서 정해진 값에 대한 언급은 그 범위 내에서 각각 또는 모든 값을 포함한다.In addition, certain features described in the context of separate embodiments may be provided in combination in a single embodiment, for clarity. Conversely, various features that are described in the context of a single embodiment can be provided individually or in any subcombination for the sake of brevity. Moreover, reference to a value defined within a range includes each or all values within that range.

이익, 그 밖의 이점, 및 문제에 대한 해결책이 특정 실시예와 관련하여 전술되었다. 그러나, 현저히 발생하는 어떠한 이익, 이점, 또는 해결책을 발생기키는 이익, 이점, 문제에 대한 해결책, 및 임의의 특징들이, 임의의 또는 모든 청구항에 대하여 결정적이고, 필요하고, 또는 필수적인 특징으로 해석되지는 않는다.Benefits, other advantages, and solutions to problems have been described above with regard to specific embodiments. However, any benefit, advantage, solution to the problem, and any features that arise notably from occurring are not to be construed as critical, necessary, or essential for any or all claims. Does not.

전술된 실시예들의 설명과 예시는 다양한 실시예의 구조에 대하여 일반적인 이해를 제공하도록 의도된다. 설명과 예시는 본원에 설명된 구조나 방법을 사용하는 장치 및 시스템의 모든 요소와 특징들을 총 망라한다거나 포괄적인 설명으로서 의도된 것은 아니다. 또한, 단일 실시예에서 개별적인 실시예가 조합되어 제공될 수 있으며, 역으로, 단일 실시예의 맥락으로 설명된 다양한 특징들이, 간결성을 위하여, 개벽적으로 또는 임의의 서브 조합으로 제공될 수 있다. 더욱이, 범위 내에서 정해진 값에 대한 언급은 그 범위 내에서 각각 또는 모든 값을 포함한다. 위의 설명을 읽고 난 후라면, 그 밖의 다른 다양한 실시예가 해당업계 종사자에게 명백할 것이다. 본원에 개시된 내용으로부터 그 밖의 다른 실시예가 이용되고 유래되며, 본 발명의 범위를 벗어남이 없이 구조적 대체, 논리적 대체, 또는 또 다른 변경이 가해질 것이다. 따라서, 개시 내용은 제한이 아니라 예시적인 것으로 고려되어야 한다.The description and examples of the above-described embodiments are intended to provide a general understanding of the structure of the various embodiments. The descriptions and examples are not intended to be exhaustive or comprehensive of all elements and features of apparatus and systems using the structures or methods described herein. In addition, separate embodiments may be provided in combination in a single embodiment, and vice versa, various features described in the context of a single embodiment may, for brevity, be provided alone or in any sub-combination. Moreover, reference to a value defined within a range includes each or all values within that range. After reading the above description, various other embodiments will be apparent to those skilled in the art. Other embodiments are utilized and derived from the teachings herein, and structural, logical, or other changes will be made without departing from the scope of the present invention. Accordingly, the disclosure should be considered as illustrative and not restrictive.

100: 작업부재 102: 매몰 전도 영역
104, 204, 302: 반도체층 106, 206, 208: 매몰 도핑 영역
122, 124, 126, 132, 134, 136: 섹션 304: 복합 반도체층
306, 502: 패드층 308, 504: 저지층
402: 주입 차단층 416, 418, 526: 도핑 구조물
522: 패턴화된 마스크층 524: 수직 도핑 영역
622, 1804: 측벽 스페이서 624, 1802: 트랜치
626: 절연 측벽 스페이서 724, 1924: 전도성 구조물
824, 1926: 전도성 플러그 902, 904: 드레인 영역
922, 1402, 1404: 절연층 944: 전도층
1002, 1102, 1302: 반도체 영역 1004: 소스/드레인 영역
1022, 1422: 게이트 유전층 1024, 1424, 1425: 게이트 전극
1126: 저항 몸체 영역 1222: 콜렉터
1242: 베이스 영역 1262: 이미터 영역
1304, 1306, 1526: 도핑 영역
1362, 1432, 1433, 1704, 1724: 소스 영역 1426, 1427: 우물 영역
1428: 절연 스페이서 1722: 도핑 반도체 스페이서
1724: 수직 전도성 구조물
100: working member 102: investment conductive area
104, 204, 302: semiconductor layers 106, 206, 208: buried doped region
122, 124, 126, 132, 134, 136: section 304: composite semiconductor layer
306, 502: pad layer 308, 504: stop layer
402: injection barrier layers 416, 418, 526: doped structures
522: patterned mask layer 524: vertical doped region
622, 1804: sidewall spacers 624, 1802: trenches
626: insulated sidewall spacers 724, 1924: conductive structure
824, 1926: conductive plugs 902, 904: drain region
922, 1402, 1404: insulating layer 944: conductive layer
1002, 1102, 1302: semiconductor region 1004: source / drain region
1022 and 1422 gate dielectric layers 1024 and 1424 and 1425 gate electrodes
1126: resistance body area 1222: collector
1242: base area 1262: emitter area
1304, 1306, 1526: doped region
1362, 1432, 1433, 1704, 1724: source area 1426, 1427: well area
1428: insulating spacer 1722: doped semiconductor spacer
1724: vertical conductive structure

Claims (5)

집적 회로를 포함하는 전자 장치에 있어서,
매몰 전도 영역(buried conductive region),
상기 매몰 전도 영역 위에 놓이는 반도체층으로서, 주 표면 및 반대쪽 표면을 갖고, 상기 매몰 전도 영역은 상기 주 표면보다 상기 반대쪽 표면에 더 가깝게 놓이는, 상기 반도체층;
상기 반도체층을 통과해 뻗어 있고, 상기 매몰 전도 영역에 전기적으로 연결되는 수직 전도성 구조물;
상기 매몰 전도 영역과 반대되는 전도성 유형을 갖고, 상기 주 표면보다 상기 반대쪽 표면에 더 가깝게 놓이고, 상기 매몰 전도 영역에 전기적으로 연결되는 제 1 도핑 구조물; 및
상기 반도체층의 제 1 부분을 포함하는 우물 영역(well region)을 포함하고;
상기 제 1 부분은 상기 제 1 도핑 구조물 위에 놓이고;
상기 제 1 부분은 제 1 도핑 구조물에 비해 더 낮은 도펀트 농도를 갖는, 집적 회로를 포함하는 전자 장치.
An electronic device comprising an integrated circuit,
Buried conductive region,
A semiconductor layer overlying said buried conductive region, said semiconductor layer having a major surface and an opposite surface, said buried conductive region lying closer to said opposite surface than said major surface;
A vertical conductive structure extending through the semiconductor layer and electrically connected to the buried conductive region;
A first doped structure having a conductivity type opposite to the buried conductive region, lying closer to the opposite surface than the major surface, and electrically connected to the buried conductive region; And
A well region comprising a first portion of the semiconductor layer;
The first portion overlies the first doped structure;
Wherein the first portion has a lower dopant concentration as compared to the first doped structure.
제 1 항에 있어서,
상기 제 1 도핑 구조물은:
상기 매몰 전도 영역에 인접하게 놓이는 수평적 부분,
상기 수직 전도성 구조물에 인접하게 놓이고, 상기 수직 전도성 구조물에 전기적으로 연결되는 수직적 부분을 포함하는, 집적 회로를 포함하는 전자 장치.
The method of claim 1,
The first doped structure is:
A horizontal portion lying adjacent to the buried conducting area,
And a vertical portion lying adjacent to the vertical conductive structure and electrically connected to the vertical conductive structure.
제 1 항에 있어서,
상기 우물 영역은 제 2 도핑 구조물을 추가로 포함하고:
상기 제 2 도핑 구조물은 상기 제 1 도핑 구조물과 이격되어 있고;
상기 제 1 도핑 구조물은 상기 제 2 도핑 구조물을 둘러싸고;
상기 제 2 도핑 구조물은 상기 우물 영역의 제 1 부분에 비해 더 높은 도펀트 농도를 갖는, 집적 회로를 포함하는 전자 장치.
The method of claim 1,
The well region further comprises a second doped structure:
The second doped structure is spaced apart from the first doped structure;
The first doped structure surrounds the second doped structure;
And the second doped structure has a higher dopant concentration than the first portion of the well region.
집적 회로를 포함하는 전자 장치에 있어서:
매몰 전도 영역,
상기 매몰 전도 영역 위에 놓이는 반도체층으로서, 주 표면 및 반대쪽 표면을 갖고, 상기 매몰 전도 영역은 상기 주 표면보다 상기 반대쪽 표면에 더 가깝게 놓이는, 상기 반도체층;
상기 반도체층을 통과해 뻗어 있고, 상기 매몰 전도 영역에 전기적으로 연결되는 수직 전도성 구조물;
도핑 구조물을 포함하는 우물 영역으로서, 상기 도핑 구조물은 상기 매몰 전도 영역 및 상기 수직 전도성 구조물 각각과 이격되어 있는, 상기 우물 영역; 및
제 1 우물 영역 내에 적어도 부분적으로 놓이는 전계 효과 트랜지스터(field-effect transistor)를 포함하는, 집적 회로를 포함하는 전자 장치.
In an electronic device comprising an integrated circuit:
Investment zone,
A semiconductor layer overlying said buried conductive region, said semiconductor layer having a major surface and an opposite surface, said buried conductive region lying closer to said opposite surface than said major surface;
A vertical conductive structure extending through the semiconductor layer and electrically connected to the buried conductive region;
A well region comprising a doping structure, wherein the doping structure is spaced apart from each of the buried conductive region and the vertical conductive structure; And
An electronic device comprising an integrated circuit comprising a field-effect transistor at least partially lying within a first well region.
제 1 항 내지 제 4 항 중 어느 한 항에 따른 전자 장치를 제 1 우물 영역 내에 놓이도록 형성하는 처리 방법.A processing method for forming the electronic device according to any one of claims 1 to 4 so as to lie within a first well region.
KR1020100057451A 2009-06-30 2010-06-17 Electronic device including a well region KR101675779B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/495,278 US8124468B2 (en) 2009-06-30 2009-06-30 Process of forming an electronic device including a well region
US12/495,278 2009-06-30

Publications (2)

Publication Number Publication Date
KR20110001893A true KR20110001893A (en) 2011-01-06
KR101675779B1 KR101675779B1 (en) 2016-11-14

Family

ID=43379734

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100057451A KR101675779B1 (en) 2009-06-30 2010-06-17 Electronic device including a well region

Country Status (5)

Country Link
US (2) US8124468B2 (en)
KR (1) KR101675779B1 (en)
CN (1) CN101937913B (en)
HK (1) HK1151889A1 (en)
TW (1) TWI569413B (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8530304B2 (en) 2011-06-14 2013-09-10 Semiconductor Components Industries, Llc Process of forming an electronic device including a gate electrode and a gate tap
US9040346B2 (en) * 2012-05-03 2015-05-26 Infineon Technologies Ag Semiconductor package and methods of formation thereof
US9641160B2 (en) * 2015-03-02 2017-05-02 Intel Corporation Common N-well state retention flip-flop
WO2021137432A1 (en) * 2019-12-30 2021-07-08 울산과학기술원 Transistor, ternary inverter comprising same, and transistor manufacturing method
US11302776B1 (en) 2021-05-31 2022-04-12 Genesic Semiconductor Inc. Method and manufacture of robust, high-performance devices

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7060545B1 (en) * 2002-10-31 2006-06-13 Micrel, Inc. Method of making truncated power enhanced drift lateral DMOS device with ground strap
US7427530B2 (en) * 2003-11-12 2008-09-23 Samsung Electronics Co., Ltd. Method of manufacturing photo diodes having a conductive plug contact to a buried layer

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4599635A (en) * 1975-08-28 1986-07-08 Hitachi, Ltd. Semiconductor integrated circuit device and method of producing same
JPS52131483A (en) * 1976-04-28 1977-11-04 Hitachi Ltd Mis-type semiconductor device
US4258379A (en) * 1978-09-25 1981-03-24 Hitachi, Ltd. IIL With in and outdiffused emitter pocket
USRE34158E (en) * 1984-10-17 1993-01-12 Hitachi, Ltd. Complementary semiconductor device
EP0178649B1 (en) * 1984-10-17 1991-07-24 Hitachi, Ltd. Complementary semiconductor device
GB2174540B (en) * 1985-05-02 1989-02-15 Texas Instruments Ltd Intergrated circuits
US4922318A (en) * 1985-09-18 1990-05-01 Advanced Micro Devices, Inc. Bipolar and MOS devices fabricated on same integrated circuit substrate
US4661838A (en) * 1985-10-24 1987-04-28 General Electric Company High voltage semiconductor devices electrically isolated from an integrated circuit substrate
US4918502A (en) * 1986-11-28 1990-04-17 Hitachi, Ltd. Semiconductor memory having trench capacitor formed with sheath electrode
US4763181A (en) * 1986-12-08 1988-08-09 Motorola, Inc. High density non-charge-sensing DRAM cell
US4901129A (en) * 1987-04-10 1990-02-13 Texas Instruments Incorporated Bulk charge modulated transistor threshold image sensor elements and method of making
US5060044A (en) * 1987-05-28 1991-10-22 Texas Instruments Incorporated Integrated bipolar-CMOS circuit isolation for providing different backgate and substrate bias
US4992841A (en) * 1987-06-25 1991-02-12 The United States Of America As Represented By The Secretary Of The Air Force Pseudo uniphase charge coupled device
US4881107A (en) * 1987-07-03 1989-11-14 Nissan Motor Company, Ltd. IC device having a vertical MOSFET and an auxiliary component
US5218228A (en) * 1987-08-07 1993-06-08 Siliconix Inc. High voltage MOS transistors with reduced parasitic current gain
US5290714A (en) * 1990-01-12 1994-03-01 Hitachi, Ltd. Method of forming semiconductor device including a CMOS structure having double-doped channel regions
JP2808562B2 (en) * 1990-02-27 1998-10-08 キヤノン株式会社 Semiconductor optical amplifier
US5070381A (en) * 1990-03-20 1991-12-03 Texas Instruments Incorporated High voltage lateral transistor
JP2509412B2 (en) * 1991-05-09 1996-06-19 サムスン エレクトロニクス カンパニー リミテッド Method for manufacturing semiconductor device
US5204277A (en) * 1992-02-03 1993-04-20 Motorola, Inc. Method of forming bipolar transistor having substrate to polysilicon extrinsic base contact
US5225365A (en) * 1992-03-30 1993-07-06 Motorola, Inc. Method of making a substantially planar semiconductor surface
US5559044A (en) * 1992-09-21 1996-09-24 Siliconix Incorporated BiCDMOS process technology
JPH08335684A (en) 1995-06-08 1996-12-17 Toshiba Corp Semiconductor device
JPH09331072A (en) * 1996-06-12 1997-12-22 Toshiba Corp Semiconductor device and its manufacturing method
US6252278B1 (en) 1998-05-18 2001-06-26 Monolithic Power Systems, Inc. Self-aligned lateral DMOS with spacer drift region
DE69931890T2 (en) * 1999-04-06 2007-01-11 Stmicroelectronics S.R.L., Agrate Brianza Integrated power circuit with vertical current flow and its manufacturing process
US6812526B2 (en) 2000-03-01 2004-11-02 General Semiconductor, Inc. Trench DMOS transistor structure having a low resistance path to a drain contact located on an upper surface
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US7052939B2 (en) 2002-11-26 2006-05-30 Freescale Semiconductor, Inc. Structure to reduce signal cross-talk through semiconductor substrate for system on chip applications
US6890804B1 (en) 2003-11-21 2005-05-10 Agere Systems, Inc. Metal-oxide-semiconductor device formed in silicon-on-insulator
SE0303106D0 (en) 2003-11-21 2003-11-21 Infineon Technologies Ag Ldmos transistor device, integrated circuit, and fabrication method thereof
US6998911B2 (en) * 2003-12-18 2006-02-14 International Rectifier Corporation Gate control circuit with soft start/stop function
US7439583B2 (en) 2004-12-27 2008-10-21 Third Dimension (3D) Semiconductor, Inc. Tungsten plug drain extension
US7276747B2 (en) 2005-04-25 2007-10-02 Semiconductor Components Industries, L.L.C. Semiconductor device having screening electrode and method
US7256119B2 (en) * 2005-05-20 2007-08-14 Semiconductor Components Industries, L.L.C. Semiconductor device having trench structures and method
US8692324B2 (en) 2005-07-13 2014-04-08 Ciclon Semiconductor Device Corp. Semiconductor devices having charge balanced structure
JP4914591B2 (en) * 2005-08-31 2012-04-11 オンセミコンダクター・トレーディング・リミテッド Motor speed control circuit
US7446375B2 (en) 2006-03-14 2008-11-04 Ciclon Semiconductor Device Corp. Quasi-vertical LDMOS device having closed cell layout
TW200737200A (en) * 2006-03-17 2007-10-01 Yield Microelectronics Corp Single-gate Non-Volatile Memory (NVM) and operating method thereof
US20070228463A1 (en) 2006-04-03 2007-10-04 Jun Cai Self-aligned complementary ldmos
TW200812066A (en) 2006-05-30 2008-03-01 Renesas Tech Corp Semiconductor device and power source unit using the same
US8008716B2 (en) * 2006-09-17 2011-08-30 Alpha & Omega Semiconductor, Ltd Inverted-trench grounded-source FET structure with trenched source body short electrode
US8188543B2 (en) * 2006-11-03 2012-05-29 Freescale Semiconductor, Inc. Electronic device including a conductive structure extending through a buried insulating layer
US7952145B2 (en) 2007-02-20 2011-05-31 Texas Instruments Lehigh Valley Incorporated MOS transistor device in common source configuration
US7482645B2 (en) 2007-03-30 2009-01-27 Fairchild Semiconductor Corporation Method and structure for making a top-side contact to a substrate
US8222695B2 (en) * 2009-06-30 2012-07-17 Semiconductor Components Industries, Llc Process of forming an electronic device including an integrated circuit with transistors coupled to each other

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7060545B1 (en) * 2002-10-31 2006-06-13 Micrel, Inc. Method of making truncated power enhanced drift lateral DMOS device with ground strap
US7427530B2 (en) * 2003-11-12 2008-09-23 Samsung Electronics Co., Ltd. Method of manufacturing photo diodes having a conductive plug contact to a buried layer

Also Published As

Publication number Publication date
US8530299B2 (en) 2013-09-10
HK1151889A1 (en) 2012-02-10
KR101675779B1 (en) 2016-11-14
US20100327347A1 (en) 2010-12-30
CN101937913B (en) 2014-09-10
US8124468B2 (en) 2012-02-28
TW201108397A (en) 2011-03-01
CN101937913A (en) 2011-01-05
US20120112278A1 (en) 2012-05-10
TWI569413B (en) 2017-02-01

Similar Documents

Publication Publication Date Title
KR101778502B1 (en) Electronic device including an integrated circuit with transistors coupled to each other
CN101740612B (en) Contact structure for semiconductor device having trench shield electrode and method
CN101740622B (en) Trench shielding structure for semiconductor device and method
CN101740623B (en) Semiconductor device having trench shield electrode structure
US8299560B2 (en) Electronic device including a buried insulating layer and a vertical conductive structure extending therethrough and a process of forming the same
US8969956B2 (en) Electronic device including a trench and a conductive structure therein
US9490358B2 (en) Electronic device including a vertical conductive structure
US8298886B2 (en) Electronic device including doped regions between channel and drain regions and a process of forming the same
US8389369B2 (en) Electronic device including a doped region disposed under and having a higher dopant concentration than a channel region and a process of forming the same
US9466698B2 (en) Electronic device including vertical conductive regions and a process of forming the same
US9991350B2 (en) Low resistance sinker contact
US8928050B2 (en) Electronic device including a schottky contact
KR101675779B1 (en) Electronic device including a well region
JP3827954B2 (en) IGBT with PN separation layer

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant