KR20100128861A - Semiconductor device - Google Patents

Semiconductor device Download PDF

Info

Publication number
KR20100128861A
KR20100128861A KR1020090047514A KR20090047514A KR20100128861A KR 20100128861 A KR20100128861 A KR 20100128861A KR 1020090047514 A KR1020090047514 A KR 1020090047514A KR 20090047514 A KR20090047514 A KR 20090047514A KR 20100128861 A KR20100128861 A KR 20100128861A
Authority
KR
South Korea
Prior art keywords
pattern
semiconductor
semiconductor substrate
layer
active
Prior art date
Application number
KR1020090047514A
Other languages
Korean (ko)
Other versions
KR101586041B1 (en
Inventor
오창우
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020090047514A priority Critical patent/KR101586041B1/en
Priority to US12/687,286 priority patent/US20100117152A1/en
Publication of KR20100128861A publication Critical patent/KR20100128861A/en
Priority to US13/207,832 priority patent/US8685805B2/en
Application granted granted Critical
Publication of KR101586041B1 publication Critical patent/KR101586041B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Element Separation (AREA)
  • Thin Film Transistor (AREA)

Abstract

PURPOSE: A semiconductor device is provided to easily implement an integrated circuit integrated with components having various threshold voltages by controlling the threshold voltage of a transistor by controlling the operating voltage applied to the semiconductor pattern. CONSTITUTION: A first separation oxide(125) is formed on a semiconductor substrate(111). An active pattern(131) is formed on a first separation insulation pattern. A semiconductor pattern(127) is formed between the semiconductor substrate and the first separation insulation pattern. A second separation insulation pattern(124) is formed between the semiconductor substrate and the semiconductor pattern.

Description

반도체 소자{SEMICONDUCTOR DEVICE}Semiconductor device {SEMICONDUCTOR DEVICE}

본 발명은 반도체 소자에 관한 것으로, 보다 상세하게는 얇은 매몰 절연막(Buried Oxide: BOX)을 갖는 SOI 기판을 포함하는 반도체 소자에 관한 것이다. The present invention relates to a semiconductor device, and more particularly, to a semiconductor device including an SOI substrate having a thin buried oxide (BOX).

반도체 소자의 고집적화 추세에 따라 소자 내에 각 구성요소들은 더 높은 밀도로 기판에 배치될 것이 요구되고 있다. 각 구성요소들이 좁은 간격으로 배치되는 것에 의해 구성요소들 간의 원치않는 상호작용이 발생할 수 있다. 이러한 상호작용은 소자의 신뢰성을 저하시킬 수 있다. 이를 방지하기 위해 각 구성요소들을 분리하기 위한 다양한 방법들이 시도되고 있다. With the trend toward higher integration of semiconductor devices, each component in the device is required to be disposed on a substrate at a higher density. As each component is placed at narrow intervals, unwanted interaction between the components may occur. This interaction can reduce the reliability of the device. To prevent this, various methods for separating each component have been attempted.

각 구성요소들을 전기적 및/또는 공간적으로 분리시키기 위한 일 수단으로 SOI(Silicon on insulator) 기판에 구성요소들을 배치하는 방법이 제시되어왔다. 그러나, 상기 SOI 기판에 소자의 구성요소들을 배치하는 경우 기존 벌크 기판에서 발생하지 않았던 문제가 발생하여 이에 대한 해결책이 강구되고 있다. A method of arranging components on a silicon on insulator (SOI) substrate has been proposed as a means to electrically and / or spatially separate each component. However, when the components of the device are disposed on the SOI substrate, there is a problem that does not occur in the existing bulk substrate.

본 발명의 실시예들이 이루고자하는 일 기술적 과제는 문턱 전압의 조절이 용이한 반도체 소자를 제공하는 것이다. One object of the present invention is to provide a semiconductor device capable of easily adjusting the threshold voltage.

상술한 기술적 과제를 해결하기 위한 반도체 소자가 제공된다. A semiconductor device for solving the above technical problem is provided.

이 반도체 소자는, 반도체 기판, 상기 반도체 기판 상에 제1 분리 절연패턴, 상기 제1 분리 절연패턴 상의 활성 패턴, 상기 반도체 기판과 상기 제1 분리 절연패턴 사이의 반도체 패턴, 상기 반도체 기판과 상기 반도체 패턴 사이의 제2 분리 절연패턴, 및 상기 반도체 기판과 상기 반도체 패턴을 연결하는 연결 패턴을 포함한다. The semiconductor device includes a semiconductor substrate, a first isolation insulating pattern on the semiconductor substrate, an active pattern on the first isolation insulation pattern, a semiconductor pattern between the semiconductor substrate and the first isolation insulation pattern, the semiconductor substrate and the semiconductor. And a second separation insulating pattern between the patterns, and a connection pattern connecting the semiconductor substrate and the semiconductor pattern.

일 실시예에서, 상기 활성 패턴 상에 차례로 적층된 게이트 절연막 및 게이트 전극을 더 포함할 수 있다. 이 경우, 상기 반도체 소자의 동작시, 상기 활성 패턴 및 반도체 패턴 내에 공핍층이 형성될 수 있다.In an embodiment, the semiconductor device may further include a gate insulating layer and a gate electrode sequentially stacked on the active pattern. In this case, during operation of the semiconductor device, a depletion layer may be formed in the active pattern and the semiconductor pattern.

일 실시예에서, 상기 공핍층은 상기 반도체 기판 내로 연장될 수 있다. In an embodiment, the depletion layer may extend into the semiconductor substrate.

일 실시예에서, 상기 연결 패턴은 상기 반도체 패턴의 일 측면 및 반도체 패턴 일 측의 반도체 기판과 접촉할 수 있다. In an embodiment, the connection pattern may be in contact with a semiconductor substrate on one side of the semiconductor pattern and one side of the semiconductor pattern.

일 실시예에서, 상기 제2 분리 절연패턴은 상기 제1 분리 절연패턴과 동일한 절연물질을 포함할 수 있다. In example embodiments, the second separation insulating pattern may include the same insulating material as the first separation insulating pattern.

일 실시예에서, 상기 반도체 기판과 상기 반도체 패턴은 상기 연결 패턴에 의해 전기적으로 연결될 수 있다. In an embodiment, the semiconductor substrate and the semiconductor pattern may be electrically connected by the connection pattern.

일 실시예에서, 상기 게이트 전극은 상기 활성 패턴의 측벽 상으로 연장될 수 있다. 이때, 상기 제1 분리 절연패턴은 상기 게이트 전극과 상기 활성 패턴의 측벽 사이로 연장될 수 있다. In an embodiment, the gate electrode may extend onto sidewalls of the active pattern. In this case, the first isolation insulating pattern may extend between the gate electrode and sidewalls of the active pattern.

일 실시예에서, 상기 활성 패턴 내의 채널 영역은 도핑되지 않은 반도체 물질을 포함하고, 상기 반도체 패턴은 도핑된 반도체 물질을 포함할 수 있다. In an embodiment, the channel region in the active pattern may include an undoped semiconductor material, and the semiconductor pattern may include a doped semiconductor material.

일 실시예에서, 상기 연결 패턴은 반도체 물질 또는 도전성 물질을 포함할 수 있다. In an embodiment, the connection pattern may include a semiconductor material or a conductive material.

일 실시예에서, 상기 연결 패턴 및 반도체 패턴은 동일한 물질을 포함할 수 있다. In example embodiments, the connection pattern and the semiconductor pattern may include the same material.

본 발명의 실시예들에 따르면, 반도체 기판과 활성 패턴을 전기적으로 연결하는 연결 패턴 및 전기적으로 매우 얇은 매몰 절연막을 갖는 SOI 소자가 제공된다. 상기 반도체 기판에 백 바이어스가 인가되면 이 전압이 얇은 매몰 절연막을 통해 상기 활성 패턴에 영향을 미칠 수 있다. 즉, 상기 백 바이어스에 의해 상기 활성 패턴을 포함하는 트랜지스터의 문턱 전압값이 용이하게 조절될 수 있다. According to embodiments of the present invention, an SOI device having a connection pattern electrically connecting a semiconductor substrate and an active pattern and an electrically thin investment insulating film is provided. When a back bias is applied to the semiconductor substrate, this voltage may affect the active pattern through the thin buried insulating layer. That is, the threshold voltage value of the transistor including the active pattern may be easily adjusted by the back bias.

이하, 참조된 도면을 참조하여 본 발명의 실시예들에 따른 반도체 소자 및 그 형성방법이 설명된다. 설명되는 실시예들은 본 발명의 사상을 당업자가 용이하게 이해할 수 있도록 제공되는 것으로, 이에 의해 본 발명이 한정되지 않는다. 본 발명의 실시예들은 본 발명의 기술적 사상 및 범위 내에서 다른 형태로 변형될 수 있다. 본 명세서에서 '및/또는'은 전후에 나열한 구성요소들 중 적어도 하나를 포함하는 의미로 사용되었다. 본 명세서에서 일 구성요소가 다른 구성요소 '상에' 위치한다는 것은 일 구성요소 상에 다른 구성요소가 직접 위치한다는 의미는 물론, 상기 일 구성요소 상에 제3 의 구성요소가 더 위치할 수 있다는 의미도 포함한다. 본 명세서 각 구성요소 또는 부분 등을 제1, 제2 등의 표현을 사용하여 지칭하였으나, 이는 명확한 설명을 위해 사용된 표현으로 이에 의해 한정되지 않는다. 도면에 표현된 구성요소들의 두께 및 상대적인 두께는 본 발명의 실시예들을 명확하게 표현하기 위해 과장된 것일 수 있다. Hereinafter, a semiconductor device and a method of forming the same according to embodiments of the present invention will be described with reference to the accompanying drawings. The described embodiments are provided so that those skilled in the art can easily understand the spirit of the present invention, and the present invention is not limited thereto. Embodiments of the invention may be modified in other forms within the spirit and scope of the invention. In this specification, 'and / or' is used to include at least one of the components listed before and after. In this specification, the fact that one component is 'on' another component means that another component is directly positioned on one component, and that a third component may be further positioned on the one component. It also includes meaning. Each component or part of the present specification is referred to using the first, second, and the like, but the present disclosure is not limited thereto. The thickness and relative thickness of the components represented in the drawings may be exaggerated to clearly express embodiments of the present invention.

도 10a 내지 도 10c를 참조하여, 본 발명의 일 실시예에 따른 반도체 소자가 설명된다. 도 10a는 본 발명의 일 실시예에 따른 반도체 소자의 사시도이고, 도 10b 및 도 10c는 각각 도 10a에 도시된 Ⅰ-Ⅰ' 과 Ⅱ-Ⅱ'을 따라 취한 반도체 소자의 단면도들이다. 10A to 10C, a semiconductor device according to an embodiment of the present invention is described. 10A is a perspective view of a semiconductor device according to an embodiment of the present invention, and FIGS. 10B and 10C are cross-sectional views of semiconductor devices taken along lines II ′ and II-II ′, respectively, shown in FIG. 10A.

반도체 기판(111)이 제공된다. 상기 반도체 기판(111)은 바닥부(112)와 상기 바닥부(112)로부터 돌출된 돌출부(113)를 포함할 수 있다. 상기 반도체 기판(111)은 단결정 반도체 물질을 포함할 수 있다. 상기 반도체 기판(111)은, 도펀트들로 도핑된 웰 영역(well region)을 포함할 수 있다. 상기 웰 영역의 적어도 일부는 상기 돌출부(113) 내에 배치될 수 있다. A semiconductor substrate 111 is provided. The semiconductor substrate 111 may include a bottom portion 112 and a protrusion 113 protruding from the bottom portion 112. The semiconductor substrate 111 may include a single crystal semiconductor material. The semiconductor substrate 111 may include a well region doped with dopants. At least a portion of the well region may be disposed in the protrusion 113.

상기 반도체 기판의 돌출부(113)의 측벽과 상부면은 제2 분리 절연패턴(124)에 의해 둘러싸일 수 있다. 상기 돌출부(113)에 인접한 바닥부(112)의 상부면의 일 부도 상기 제2 분리 절연패턴(124)에 의해 덮일 수 있다. 상기 반도체 기판의 바닥부(112)의 적어도 일부는 상기 제2 분리 절연패턴(124)에 의해 덮이지 않을 수 있다. 상기 반도체 기판(111)이 돌출부를 포함하지 않는 평판 형태인 경우, 상기 반도체 기판(111)의 상부면의 일부 상에만 상기 제2 분리 절연패턴(124)이 배치될 수 있다. Sidewalls and upper surfaces of the protrusions 113 of the semiconductor substrate may be surrounded by the second isolation insulating pattern 124. A portion of the upper surface of the bottom portion 112 adjacent to the protrusion 113 may also be covered by the second isolation insulating pattern 124. At least a portion of the bottom portion 112 of the semiconductor substrate may not be covered by the second isolation insulating pattern 124. When the semiconductor substrate 111 is in the form of a flat plate without a protrusion, the second isolation insulating pattern 124 may be disposed only on a portion of the upper surface of the semiconductor substrate 111.

상기 제2 분리 절연패턴(124)은 절연 물질을 포함할 수 있다. 상기 제2 분리 절연패턴(124)은 산화막, 질화막 및 산화질화막을 포함하는 절연막들 중 선택된 적어도 하나를 포함할 수 있다. 예를 들어, 상기 제2 분리 절연패턴(124)은 ONO(Oxide-Nitride-Oxide)막일 수 있다. The second separation insulating pattern 124 may include an insulating material. The second isolation insulating pattern 124 may include at least one selected from insulating layers including an oxide layer, a nitride layer, and an oxynitride layer. For example, the second isolation insulating pattern 124 may be an oxide-nitride-oxide (ONO) layer.

상기 제2 분리 절연패턴(124) 상에 반도체 패턴(127)이 배치될 수 있다. 상기 반도체 패턴(127)은 상기 제2 분리 절연패턴(124)의 상부면을 덮을 수 있다. 상기 반도체 기판(111)이 돌출부(113)를 포함하는 경우, 상기 반도체 패턴(127)은 상기 반도체 기판의 돌출부(113)의 상부면 및 측벽을 덮을 수 있다. 상기 반도체 패턴(127)의 측벽은 상기 제2 분리 절연패턴(124)의 일 단을 구성하는 측벽과 공면을 이룰 수 있다. 상기 반도체 패턴(127)은 상기 제2 분리 절연패턴(124)에 의해 상기 반도체 기판(111)과 이격될 수 있다. The semiconductor pattern 127 may be disposed on the second isolation insulating pattern 124. The semiconductor pattern 127 may cover an upper surface of the second isolation insulating pattern 124. When the semiconductor substrate 111 includes the protrusion 113, the semiconductor pattern 127 may cover the top surface and sidewalls of the protrusion 113 of the semiconductor substrate. Sidewalls of the semiconductor pattern 127 may be coplanar with sidewalls constituting one end of the second isolation insulating pattern 124. The semiconductor pattern 127 may be spaced apart from the semiconductor substrate 111 by the second isolation insulating pattern 124.

상기 반도체 패턴(127)은 반도체 물질을 포함할 수 있다. 일 실시예에서, 상기 반도체 패턴(127)은 다결정 반도체 물질을 포함할 수 있다. 상기 반도체 패턴(127)은 도펀트들로 도핑되거나, 도펀트들로 도핑되지 않을 수 있다. The semiconductor pattern 127 may include a semiconductor material. In an embodiment, the semiconductor pattern 127 may include a polycrystalline semiconductor material. The semiconductor pattern 127 may be doped with dopants or not.

상기 반도체 기판(111)과 상기 반도체 패턴(127)을 연결하는 연결 패턴(129) 이 배치된다. 상기 연결 패턴(129)은 상기 반도체 기판(111)과 접하는 하부면과 상기 반도체 패턴(127)과 접하는 측벽을 가질 수 있다. A connection pattern 129 connecting the semiconductor substrate 111 and the semiconductor pattern 127 is disposed. The connection pattern 129 may have a lower surface in contact with the semiconductor substrate 111 and a sidewall in contact with the semiconductor pattern 127.

상기 연결 패턴(129)은 반도체 물질 또는 도전성 물질을 포함할 수 있다. 예를 들어, 상기 연결 패턴(129)은 도핑된 반도체, 도핑되지 않은 반도체, 금속 또는 금속 화합물을 포함할 수 있다. 일 실시예에서, 상기 연결 패턴(129)은 상기 반도체 패턴(127)과 동일한 물질로 구성될 수 있다. 도시된 바와 달리, 상기 연결 패턴(129)과 상기 반도체 패턴(127)은 경계면을 가지지 않을 수 있다. The connection pattern 129 may include a semiconductor material or a conductive material. For example, the connection pattern 129 may include a doped semiconductor, an undoped semiconductor, a metal, or a metal compound. In some embodiments, the connection pattern 129 may be formed of the same material as the semiconductor pattern 127. Unlike shown, the connection pattern 129 and the semiconductor pattern 127 may not have an interface.

상기 연결 패턴(129)에 의해 상기 반도체 기판(111)과 상기 반도체 패턴(127)이 전기적으로 연결될 수 있다. 다시 말해, 상기 반도체 기판(111)과 상기 반도체 패턴(127)은, 상기 제2 분리 절연패턴(124)에 의해 공간적으로 이격되나, 상기 연결 패턴(129)을 경유하여 전기적으로 연결될 수 있다. The semiconductor substrate 111 and the semiconductor pattern 127 may be electrically connected by the connection pattern 129. In other words, the semiconductor substrate 111 and the semiconductor pattern 127 may be spaced apart from each other by the second isolation insulating pattern 124, but may be electrically connected to each other via the connection pattern 129.

상기 반도체 패턴(127) 상에 활성 패턴(131)이 배치된다. 상기 반도체 패턴(127)과 상기 활성 패턴(131) 사이에는 제1 분리 절연패턴(125)이 개재될 수 있다. 일 실시예에서, 상기 활성 패턴(131)은 제1 분리 절연패턴(125)에 의해 둘러싸인 소정의 영역 내에 배치될 수 있다. 상기 활성 패턴(131)은 상기 제1 분리 절연패턴(125)에 의해 상기 반도체 기판(111) 상의 다른 구성 요소들과 분리될 수 있다. An active pattern 131 is disposed on the semiconductor pattern 127. A first isolation insulating layer 125 may be interposed between the semiconductor pattern 127 and the active pattern 131. In an embodiment, the active pattern 131 may be disposed in a predetermined area surrounded by the first isolation insulating pattern 125. The active pattern 131 may be separated from other components on the semiconductor substrate 111 by the first isolation insulating pattern 125.

상기 활성 패턴(131)은 반도체 물질을 포함할 수 있다. 예를 들어, 상기 활성 패턴(131)은 단결정 상태의 반도체 물질을 포함할 수 있다. 상기 활성 패턴(131) 내에 소오스/드레인 영역(135)이 배치될 수 있다. 일 실시예에서, 상기 소 오스/드레인 영역(135)의 바닥면은 상기 활성 패턴(131)의 하부면 까지 연장될 수 있다. 즉, 상기 소오스/드레인 영역(135)의 바닥면과 상기 활성 패턴(131)의 일부 바닥면이 동일한 면으로 정의될 수 있다. The active pattern 131 may include a semiconductor material. For example, the active pattern 131 may include a semiconductor material in a single crystal state. A source / drain region 135 may be disposed in the active pattern 131. In an embodiment, the bottom surface of the source / drain region 135 may extend to the bottom surface of the active pattern 131. That is, the bottom surface of the source / drain region 135 and the bottom surface of the active pattern 131 may be defined as the same surface.

일 실시예에서, 상기 반도체 소자는 도핑된 반도체 패턴(127)과 도핑되지 않은 채널 영역을 포함하는 활성 패턴(131)을 포함할 수 있다. 이 경우, 상기 활성 패턴(131)은 상기 활성 패턴(131)이 도핑되는 경우보다 얇은 두께로 형성될 수 있다. In example embodiments, the semiconductor device may include an active pattern 131 including a doped semiconductor pattern 127 and an undoped channel region. In this case, the active pattern 131 may be formed to a thinner thickness than when the active pattern 131 is doped.

상기 활성 패턴(131) 상에 게이트 절연패턴(153)과 게이트 전극(155)이 적층될 수 있다. 상기 게이트 전극(155)의 측벽 상에 스페이서(156)가 배치될 수 있다. A gate insulating pattern 153 and a gate electrode 155 may be stacked on the active pattern 131. Spacers 156 may be disposed on sidewalls of the gate electrode 155.

SOI 기판에 소자의 구성요소들을 배치하는 경우, 벌크 기판에서 발생하지 않았던 다른 문제, 예를 들어 문턱 전압의 조절의 어려움 등의 문제가 발생할 수 있다. 그러므로, 다양한 문턱 전압을 갖는 소자를 필요로 하는 집적회로에의 SOI 소자의 응용이 제한적일 수 밖에 없다. When disposing the components of the device on the SOI substrate, other problems that may not occur in the bulk substrate, for example, difficulty in adjusting the threshold voltage may occur. Therefore, the application of SOI devices to integrated circuits that require devices with various threshold voltages is limited.

그러나, 본 발명의 실시예들에 따르면, SOI 소자의 문턱 전압이 용이하게 조절될 수 있다. However, according to embodiments of the present invention, the threshold voltage of the SOI device can be easily adjusted.

구체적으로, 본 발명의 실시예들에 따르면, SOI 소자의 매몰 절연막 역할을 하는 제1 분리 절연막(125)은 매우 얇은 두께로 형성될 수 있다. 일 실시예에서, 상기 제1 분리 절연막(125)은 10nm 이하로 형성될 수 있다. 이에 더하여, 본 발명의 실시예들에 따르면, 반도체 패턴(127)이 연결 패턴(129)에 의하여 반도체 기판(111)과 연결되어 있다. 이에 따라, 상기 반도체 기판(111)을 통하여 상기 반도 체 패턴(127)에 동작 전압을 인가할 수 있다. 상기 반도체 패턴(127)에 인가된 동작 전압에 의하여 상기 활성 패턴(131)에 백 바이어스가 유기된다.Specifically, according to the embodiments of the present invention, the first isolation insulating film 125 serving as the buried insulating film of the SOI device may be formed to have a very thin thickness. In an embodiment, the first isolation insulating layer 125 may be formed to 10 nm or less. In addition, according to example embodiments, the semiconductor pattern 127 is connected to the semiconductor substrate 111 by the connection pattern 129. Accordingly, an operating voltage may be applied to the semiconductor pattern 127 through the semiconductor substrate 111. The back bias is induced in the active pattern 131 by the operating voltage applied to the semiconductor pattern 127.

또한, 상기 반도체 패턴(127)은 상기 활성 패턴(131)의 하부면 아래에 배치되어, 상기 활성 패턴(131)을 제어하는 하부 게이트 기능을 수행할 수 있다. 이 때, 상기 제1 분리 절연막(125)은 상기 하부 게이트의 게이트 절연막의 기능을 수행할 수 있다.In addition, the semiconductor pattern 127 may be disposed under the lower surface of the active pattern 131 to perform a lower gate function for controlling the active pattern 131. In this case, the first isolation insulating layer 125 may function as a gate insulating layer of the lower gate.

즉, 상기 반도체 기판(111)과 상기 반도체 패턴(127)은 전기적으로 연결될 수 있다. 또한, 상기 제1 분리 절연막(125)은 매우 얇은 두께로 형성되므로, 상기 제1 분리 절연막(125)이 개재된 경우라도, 상기 반도체 기판(111)에 인가된 전압이 상기 활성 패턴(131)에 영향을 미칠 수 있다. 따라서, 상기 반도체 기판(111) 및/또는 상기 반도체 패턴(127)에 인가되는 동작 전압을 조절하여 트랜지스터의 문턱 전압을 조절할 수 있다. 이에 따라, 다양한 문턱 전압을 갖는 소자가 집적된 집적 회로가 보다 용이하게 구현할 수 있다. That is, the semiconductor substrate 111 and the semiconductor pattern 127 may be electrically connected to each other. In addition, since the first isolation insulating layer 125 is formed to have a very thin thickness, even when the first isolation insulating layer 125 is interposed, a voltage applied to the semiconductor substrate 111 is applied to the active pattern 131. Can affect Therefore, the threshold voltage of the transistor may be controlled by adjusting the operating voltage applied to the semiconductor substrate 111 and / or the semiconductor pattern 127. Accordingly, an integrated circuit in which devices having various threshold voltages are integrated may be more easily implemented.

본 발명의 실시예에 따른 반도체 소자의 동작시, 상기 활성 패턴(131) 내에 공핍층이 형성될 수 있다. 상기 공핍층은 상기 활성 패턴(131)의 전 영역 내에 형성될 수 있다. 상술한 바와 같이, 상기 활성 패턴(131)의 하부면 및 측벽을 둘러싸는 상기 제1 분리 절연막(125)은 매우 얇게 형성되므로, 상기 공핍층은 상기 반도체 패턴(127) 내로 확장될 수 있다. 또한, 인가되는 전압의 세기에 따라, 상기 공핍층은 상기 반도체 기판(111) 내로 확장될 수 있다. In the operation of the semiconductor device according to the embodiment of the present invention, a depletion layer may be formed in the active pattern 131. The depletion layer may be formed in the entire area of the active pattern 131. As described above, since the first isolation insulating layer 125 surrounding the lower surface and the sidewall of the active pattern 131 is formed very thin, the depletion layer may extend into the semiconductor pattern 127. In addition, the depletion layer may extend into the semiconductor substrate 111 according to the intensity of the applied voltage.

도 1a 내지 도 1c, 도 2a 내지 도 2c, 도 3a 내지 도 3c, 도 4a 내지 도 4c, 도 5a 내지 도 5c, 도 6a 내지 도 6c, 도 7a 내지 도 7c, 도 8a 내지 도 8c, 도 9a 내지 도 9c, 및 도 10a 내지 도 10c를 참조하여, 본 발명의 일 실시예에 따른 반도체 소자의 형성방법이 설명된다. 도 1a 내지 도 10a는 본 발명의 일 실시예에 따른 반도체 소자의 형성방법을 설명하기 위한 사시도들이다. 도 1b 내지 도 10b, 및 도 1c 내지 도 10c는 각각 도 1a 내지 도 10a에 도시된 Ⅰ-Ⅰ' 과 Ⅱ-Ⅱ'을 따라 취한 단면도들이다. 1A to 1C, 2A to 2C, 3A to 3C, 4A to 4C, 5A to 5C, 6A to 6C, 7A to 7C, 8A to 8C, and 9A. 9C and 10A to 10C, a method of forming a semiconductor device according to an embodiment of the present invention will be described. 1A to 10A are perspective views illustrating a method of forming a semiconductor device in accordance with an embodiment of the present invention. 1B to 10B and 1C to 10C are cross-sectional views taken along lines II ′ and II-II ′ illustrated in FIGS. 1A to 10A, respectively.

본 발명의 일 실시예에 따른 반도체 소자의 형성방법은, 반도체 기판 상에 희생층과 활성층이 차례로 적층된 적층 구조를 형성하는 것, 상기 희생층을 제거하여 상기 활성층 및 상기 반도체 기판 사이에 빈 공간을 형성하는 것, 상기 빈 공간 내의 상기 반도체 기판 상에 제2 분리 절연패턴을 형성하는 것, 상기 빈 공간을 채우되 상기 반도체 기판과 공간적으로 이격되는 반도체 패턴을 형성하는 것, 및 상기 반도체 패턴과 상기 반도체 기판을 연결하는 연결 패턴을 형성하는 것을 포함할 수 있다. In the method of forming a semiconductor device according to an embodiment of the present invention, forming a stacked structure in which a sacrificial layer and an active layer are sequentially stacked on a semiconductor substrate, and removing the sacrificial layer to empty space between the active layer and the semiconductor substrate. Forming a second isolation insulating pattern on the semiconductor substrate in the empty space, filling the empty space, but forming a semiconductor pattern spaced apart from the semiconductor substrate, and forming the semiconductor pattern; It may include forming a connection pattern for connecting the semiconductor substrate.

도 1a 내지 도 1c를 참조하면, 반도체 기판(110) 상에 희생층(120)과 활성층(130)이 차례로 적층된다. 상기 반도체 기판(110)은 반도체 원소로 구성된 벌크 기판일 수 있다. 상기 반도체 기판(110)은 웰 영역을 포함할 수 있다. 1A to 1C, the sacrificial layer 120 and the active layer 130 are sequentially stacked on the semiconductor substrate 110. The semiconductor substrate 110 may be a bulk substrate composed of semiconductor elements. The semiconductor substrate 110 may include a well region.

일 실시예에서, 상기 희생층(120) 및 활성층(130)은 상기 반도체 기판(110)의 일부분 상에 한정적으로 형성될 수 있다. 예컨대, 상기 반도체 기판(110)은 SOI 영역 및 벌크 영역을 포함하고, 상기 희생층(120) 및 활성층(130)은 상기 SOI 영역 의 반도체 기판(110) 상에 형성될 수 있다. 상기 SOI 영역 및 벌크 영역을 포함하는 반도체 기판(110)을 준비하고, 상기 벌크 영역의 반도체 기판(110) 상에 마스크 막을 형성할 수 있다. 이때, 상기 SOI 영역의 반도체 기판(110)은 노출될 수 있다. 이어서, 상기 마스크 막을 식각 마스크로 사용하여, 상기 SOI 영역의 반도체 기판(110)을 이방성 식각할 수 있다. 상기 SOI 영역의 식각된 반도체 기판(110) 상에 상기 희생층(120) 및 활성층(130)을 차례로 적층할 수 있다. 상술한 바와 같이 본 발명의 실시예에 따르면, 하나의 벌크 기판에 SOI 영역과 벌크 영역을 모두 형성할 수 있다. In example embodiments, the sacrificial layer 120 and the active layer 130 may be formed on a portion of the semiconductor substrate 110. For example, the semiconductor substrate 110 may include an SOI region and a bulk region, and the sacrificial layer 120 and the active layer 130 may be formed on the semiconductor substrate 110 of the SOI region. The semiconductor substrate 110 including the SOI region and the bulk region may be prepared, and a mask film may be formed on the semiconductor substrate 110 of the bulk region. In this case, the semiconductor substrate 110 in the SOI region may be exposed. Subsequently, the semiconductor substrate 110 in the SOI region may be anisotropically etched using the mask layer as an etching mask. The sacrificial layer 120 and the active layer 130 may be sequentially stacked on the etched semiconductor substrate 110 in the SOI region. As described above, according to the exemplary embodiment of the present invention, both the SOI region and the bulk region may be formed on one bulk substrate.

이와 달리, 상기 반도체 기판(110)의 전면 상에 상기 희생층(120) 및 활성층(130)을 형성한 후, 벌크 영역의 상기 희생층(120) 및 활성층(130)을 제거하여 상기 희생층(120) 및 활성층(130)을 포함하는 반도체 기판(110)을 준비할 수도 있다. Alternatively, after the sacrificial layer 120 and the active layer 130 are formed on the entire surface of the semiconductor substrate 110, the sacrificial layer 120 and the active layer 130 in the bulk region are removed to remove the sacrificial layer ( The semiconductor substrate 110 including the 120 and the active layer 130 may be prepared.

상기 희생층(120)은 상기 반도체 기판(110)과 활성층(130)에 대해 식각 선택비를 갖는 물질을 포함할 수 있다. 예를 들어, 상기 희생층(120)은 단결정 실리콘 게르마늄(Si-Ge)을 포함할 수 있다. 상기 희생층(120)은 상기 반도체 기판(110)을 시드층(seed layer)로 사용한 에피택시얼 성장법에 의해 형성될 수 있다. The sacrificial layer 120 may include a material having an etch selectivity with respect to the semiconductor substrate 110 and the active layer 130. For example, the sacrificial layer 120 may include single crystal silicon germanium (Si-Ge). The sacrificial layer 120 may be formed by an epitaxial growth method using the semiconductor substrate 110 as a seed layer.

상기 활성층(130)은 반도체 물질을 포함할 수 있다. 일 실시예에서, 상기 활성층(130)은 단결정 실리콘으로 구성된 층일 수 있다. 상기 활성층(130)은 상기 희생층(120)을 시드층으로 사용한 에피택시얼 성장법에 의해 형성될 수 있다. The active layer 130 may include a semiconductor material. In one embodiment, the active layer 130 may be a layer composed of single crystal silicon. The active layer 130 may be formed by an epitaxial growth method using the sacrificial layer 120 as a seed layer.

도 2a 내지 도 2c를 참조하면, 상기 희생층(120) 및 활성층(130)을 패터닝하 여, 희생 패턴(121) 및 활성 패턴(131)을 형성한다. 상기 패터닝은 상기 희생층(120) 및 활성층(130) 상에 제1 마스크(141)를 형성하는 것과 상기 제1 마스크(141)를 식각 마스크로 사용하여 상기 희생층(120) 및 활성층(130)을 이방성 식각하는 것을 포함할 수 있다.2A through 2C, the sacrificial layer 120 and the active layer 130 are patterned to form the sacrificial pattern 121 and the active pattern 131. The patterning is performed by forming a first mask 141 on the sacrificial layer 120 and the active layer 130 and using the first mask 141 as an etching mask. May include anisotropic etching.

상기 이방성 식각에서 상기 반도체 기판(110)은 식각 정지막으로 작용할 수 있다. 이 경우, 상기 반도체 기판(110)의 일부가 식각될 수 있다. 식각된 상기 반도체 기판(111)은 바닥부(112)와 상기 바닥부(112)로부터 돌출된 돌출부(113)를 포함할 수 있다.In the anisotropic etching, the semiconductor substrate 110 may act as an etch stop layer. In this case, a portion of the semiconductor substrate 110 may be etched. The etched semiconductor substrate 111 may include a bottom portion 112 and a protrusion 113 protruding from the bottom portion 112.

상기 반도체 기판(111) 상에 지지 절연막(142)이 형성된다. 상기 소자 분리막은 상기 반도체 기판의 바닥부(112)의 상부면과 상기 반도체 기판(111)의 돌출부(113), 희생 패턴(121), 활성 패턴(131) 및 제1 마스크(141)의 측벽들을 덮을 수 있다. 상기 지지 절연막(142)의 상부면이 평탄화되어, 상기 제1 마스크(141)의 상부면이 노출될 수 있다.A support insulating layer 142 is formed on the semiconductor substrate 111. The device isolation layer may include an upper surface of the bottom portion 112 of the semiconductor substrate and sidewalls of the protrusion 113, the sacrificial pattern 121, the active pattern 131, and the first mask 141 of the semiconductor substrate 111. Can be covered An upper surface of the support insulating layer 142 may be planarized to expose the upper surface of the first mask 141.

도 3a 내지 도 3c를 참조하면, 도 2a 내지 도 2c에서 형성된 구조물의 상부면 상에 제2 마스크(151)가 형성된다. 상기 제2 마스크(151)는 상기 지지 절연막(142) 및 제1 마스크(141)의 상부면의 일부만을 덮을 수 있다.3A to 3C, a second mask 151 is formed on the upper surface of the structure formed in FIGS. 2A to 2C. The second mask 151 may cover only a portion of the upper surface of the support insulating layer 142 and the first mask 141.

상기 제2 마스크(151)를 식각 마스크로 사용하여 상기 지지 절연막(142)을 이방성 식각한다. 이 이방성 식각 공정에 의해, 상기 희생 패턴(121), 활성 패턴(131) 및 제1 마스크(141)로 구성된 적층 구조의 측벽이 노출될 수 있다. 또한, 상기 반도체 기판의 바닥부(112)의 상부면과, 상기 돌출부(113)의 측벽이 노출될 수 있다.The support insulating layer 142 is anisotropically etched using the second mask 151 as an etching mask. By the anisotropic etching process, sidewalls of the stacked structure including the sacrificial pattern 121, the active pattern 131, and the first mask 141 may be exposed. In addition, an upper surface of the bottom portion 112 of the semiconductor substrate and a sidewall of the protrusion 113 may be exposed.

이후, 상기 희생 패턴(121)이 제거될 수 있다. 상술한 바와 같이, 상기 희생 패턴(121)은 상기 활성 패턴(131) 및 식각된 반도체 기판(111)에 대해 식각 선택비를 갖는 물질로 형성되므로, 상기 희생 패턴(121)을 선택적으로 제거할 수 있다. 상기 지지 절연막(142)은 상기 희생 패턴(121)의 제거에 의해 상기 적층 구조가 붕괴되지 않도록 상기 적층 구조를 지지할 수 있다.Thereafter, the sacrificial pattern 121 may be removed. As described above, since the sacrificial pattern 121 is formed of a material having an etch selectivity with respect to the active pattern 131 and the etched semiconductor substrate 111, the sacrificial pattern 121 may be selectively removed. have. The support insulating layer 142 may support the stack structure such that the stack structure is not collapsed by removing the sacrificial pattern 121.

상기 희생 패턴(121)이 있던 공간에 빈 공간(122)이 형성된다. 상기 빈 공간(122)은 상기 반도체 기판의 돌출부(113)의 상부면, 상기 활성 패턴(131)의 하부면 및 지지 절연막(142)에 의해 둘러싸일 수 있다. 상기 빈 공간(122)의 형성에 의해, 상기 반도체 기판의 돌출부(113)의 상부면 및 활성 패턴(131)의 하부면이 노출될 수 있다.An empty space 122 is formed in the space where the sacrificial pattern 121 was. The empty space 122 may be surrounded by an upper surface of the protrusion 113 of the semiconductor substrate, a lower surface of the active pattern 131, and a support insulating layer 142. By forming the empty space 122, an upper surface of the protrusion 113 of the semiconductor substrate and a lower surface of the active pattern 131 may be exposed.

도 4a 내지 도 4c를 참조하면, 상기 반도체 기판(111) 및 상기 빈 공간(122)에 의해 노출된 면들 및 상기 적층 구조의 측면 상에 절연막들(123, 125)이 형성된다. 상기 절연막들(123, 125)은, 상기 반도체 기판의 바닥부(112)의 상부면, 상기 반도체 기판의 돌출부(113)의 측벽 및 상부면 상에 형성되는 제2 분리 절연막(123)과 상기 활성 패턴(131)의 하부면 및 측벽 상에 형성되는 제1 분리 절연막(125)을 포함할 수 있다. 상기 제1 분리 절연막(125) 및 제2 분리 절연막(123)은 매우 얇은 두께로 형성될 수 있다. 일 실시예에서, 상기 제1 분리 절연막(125)과 제2 분리 절연막(123)은 10nm이하로 형성될 수 있다.4A through 4C, insulating layers 123 and 125 are formed on surfaces exposed by the semiconductor substrate 111 and the empty space 122 and side surfaces of the stacked structure. The insulating layers 123 and 125 may include an upper surface of the bottom portion 112 of the semiconductor substrate, a second isolation insulating layer 123 formed on the sidewalls and the upper surface of the protrusion 113 of the semiconductor substrate, and the active layer. The first isolation insulating layer 125 may be formed on the bottom surface and the sidewall of the pattern 131. The first isolation insulating layer 125 and the second isolation insulating layer 123 may be formed to have a very thin thickness. In an embodiment, the first isolation insulating layer 125 and the second isolation insulating layer 123 may be formed to be less than 10 nm.

상기 절연막들(123, 125)은 산화막, 질화막 및 산화질화막을 포함하는 다양 한 절연막들 중 선택된 적어도 하나의 절연막일 수 있다. 일 실시예에서, 상기 절연막들(123, 125)은 ONO(Oxide-Nitride-Oxide)막일 수 있다. 상기 ONO막을 형성하는 것은, 상기 반도체 기판(111) 및 활성 패턴(131)의 노출된 면들을 산화시켜 제1 산화막을 형성하는 것, 상기 제1 산화막을 덮는 질화막을 증착하는 것 및 상기 질화막 상에 제2 산화막을 형성하는 것을 포함할 수 있다.The insulating layers 123 and 125 may be at least one insulating layer selected from various insulating layers including an oxide layer, a nitride layer, and an oxynitride layer. In example embodiments, the insulating layers 123 and 125 may be an oxide-nitride-oxide (ONO) layer. Forming the ONO film may include oxidizing exposed surfaces of the semiconductor substrate 111 and the active pattern 131 to form a first oxide film, depositing a nitride film covering the first oxide film, and on the nitride film. It may include forming a second oxide film.

도 5a 내지 도 5c를 참조하면, 상기 활성 패턴(131)과 반도체 기판(111) 사이에 반도체 막(126)을 형성한다. 상기 반도체 막(126)은 상기 빈 공간(122)을 채울 수 있다. 상기 반도체 막(126)은 상기 적층 구조의 측벽 상으로 연장될 수 있다. 상기 반도체 막(126)은 상기 반도체 기판의 돌출부(113)의 전부 및 상기 반도체 기판의 바닥부(112)의 일부를 덮을 수 있다. 상기 반도체 막(126)은 막의 증착과 화학 기계적 연마 공정 또는 에치백 공정을 수행하여 형성될 수 있다. 상기 화학 기계적 연마 공정 수행시, 상기 제2 마스크(151)도 함께 제거될 수 있다.5A through 5C, a semiconductor film 126 is formed between the active pattern 131 and the semiconductor substrate 111. The semiconductor film 126 may fill the empty space 122. The semiconductor film 126 may extend onto sidewalls of the stacked structure. The semiconductor film 126 may cover the entirety of the protrusion 113 of the semiconductor substrate and a portion of the bottom 112 of the semiconductor substrate. The semiconductor film 126 may be formed by depositing a film and performing a chemical mechanical polishing process or an etch back process. When performing the chemical mechanical polishing process, the second mask 151 may also be removed.

상기 반도체 막(126)은 반도체 물질을 포함할 수 있다. 예를 들어, 상기 반도체 막(126)은 비정질 상태의 반도체 물질을 포함할 수 있다. 상기 반도체 막(126)은 도펀트들로 도핑된 반도체 물질을 포함할 수 있다. 이와 달리, 상기 반도체 막(126)은 도핑되지 않은 반도체 물질을 포함할 수 있다. 상기 반도체 막(126)이 도펀트들로 도핑된 경우, 상기 도펀트들은 막의 형성 공정시 인 시츄 공정을 통해 막 내로 주입되거나, 막의 형성 이후 이온 주입 공정을 통해 막 내로 주입될 수 있다. 상기 반도체 막(126)은 이후의 공정에서 다결정 상태의 반도체 물질로 변환될 수 있다. The semiconductor film 126 may include a semiconductor material. For example, the semiconductor film 126 may include a semiconductor material in an amorphous state. The semiconductor film 126 may include a semiconductor material doped with dopants. Alternatively, the semiconductor film 126 may include an undoped semiconductor material. When the semiconductor film 126 is doped with dopants, the dopants may be implanted into the film through an in-situ process during the film formation process, or into the film through an ion implantation process after the film formation. The semiconductor film 126 may be converted into a semiconductor material in a polycrystalline state in a subsequent process.

일 실시예에서, 상기 반도체 막(126)은 도핑되고, 상기 활성 패턴(131) 내의 채널 영역은 도핑되지 않을 수 있다. 이 경우, 상기 반도체 막(126) 및 활성 패턴(131)을 이용하여 형성된 반도체 소자의 문턱 전압 편차(threshold voltage variation)가 감소될 수 있다. In example embodiments, the semiconductor layer 126 may be doped, and the channel region in the active pattern 131 may not be doped. In this case, a threshold voltage variation of the semiconductor device formed by using the semiconductor film 126 and the active pattern 131 may be reduced.

구체적으로, 상기 활성 패턴(131)의 채널 영역을 도펀트들로 도핑하는 경우, 상기 활성 패턴(131) 내의 도펀트 농도 프로파일이 원하는 형태로 나타나지 않을 수 있다. 즉, 활성 패턴(131) 내의 도펀트 변동(random dopant fluctuation)이 나타날 수 있다. 이러한 활성 패턴(131)을 포함하는 트랜지스터의 문턱 전압은 원하는 값을 나타내지 않을 수 있다. 특히, 이온 주입 공정에 의해 상기 도펀트들이 주입되는 경우, 이러한 도펀트 변동 현상은 더욱 심화될 수 있다. In detail, when the channel region of the active pattern 131 is doped with dopants, the dopant concentration profile in the active pattern 131 may not appear in a desired shape. That is, dopant fluctuations in the active pattern 131 may appear. The threshold voltage of the transistor including the active pattern 131 may not represent a desired value. In particular, when the dopants are implanted by an ion implantation process, such a dopant variation may be further intensified.

그러나, 본 발명의 일 실시예에 따라, 반도체 막(126)을 도핑하는 경우, 상기 반도체 막(126)의 도핑 농도의 프로파일이 원하는 형태에 근접하게 나타날 수 있다. 즉, 상기 활성 패턴(131)을 향해 도펀트들이 주입되는 경우, 상기 반도체 막(126) 내의 도펀트 농도 프로파일이 상기 활성 패턴(131) 내의 도펀트 농도 프로파일에 비해 균일할 수 있다. 따라서, 상기 반도체 막(126)을 도핑하고 이를 이용하여 반도체 소자를 형성하는 경우, 도펀트 변동 현상이 개선될 수 있다. 이에 따라, 소자의 문턱 전압 편차가 크게 감소될 수 있다. However, according to one embodiment of the present invention, when the semiconductor film 126 is doped, the doping concentration profile of the semiconductor film 126 may appear close to the desired shape. That is, when dopants are implanted toward the active pattern 131, the dopant concentration profile in the semiconductor layer 126 may be uniform compared to the dopant concentration profile in the active pattern 131. Accordingly, when the semiconductor layer 126 is doped and the semiconductor device is formed using the semiconductor layer 126, the dopant variation may be improved. Accordingly, the threshold voltage deviation of the device can be greatly reduced.

상기 반도체 막(126)의 형성 후, 상기 분리 절연막(123)의 일부가 식각되어 제2 분리 절연패턴(124)이 형성될 수 있다. 상기 분리 절연막(123)의 식각에 의해, 상기 반도체 기판(111)의 상부면의 일부가 노출된다. 상기 반도체 기판(111)이 돌 출부(113) 및 바닥부(112)를 포함하는 경우, 상기 바닥부(112)의 일부가 노출될 수 있다. After the formation of the semiconductor layer 126, a portion of the isolation insulating layer 123 may be etched to form a second isolation insulating pattern 124. A portion of the upper surface of the semiconductor substrate 111 is exposed by the etching of the isolation insulating layer 123. When the semiconductor substrate 111 includes the protrusion 113 and the bottom 112, a portion of the bottom 112 may be exposed.

도 6a 내지 도 6c를 참조하면, 노출된 상기 반도체 기판(111) 상에 연결막(128)이 형성된다. 상기 연결막(128)은 증착 및 화학 기계적 연마공정 또는 에치백 공정을 수행하여 형성될 수 있다. 상기 연결막(128)은 상기 반도체 기판의 바닥부(112)의 상부면상에 형성될 수 있다. 상기 연결막(128)은 상기 반도체 막(126)의 측벽을 덮도록 형성될 수 있다. 상기 연결막(128)은 상기 반도체 기판(111)과 상기 반도체 막(126)을 전기적으로 연결시킬 수 있는 물질로 형성될 수 있다. 예를 들어, 상기 연결막(128)은 반도체 물질 또는 도전성 물질을 포함할 수 있다. 상기 연결막(128)은 도핑된 반도체 물질, 도핑되지 않은 반도체 물질 또는 금속 화합물을 포함할 수 있다. 6A through 6C, a connection layer 128 is formed on the exposed semiconductor substrate 111. The connection layer 128 may be formed by performing a deposition and chemical mechanical polishing process or an etch back process. The connection layer 128 may be formed on an upper surface of the bottom portion 112 of the semiconductor substrate. The connection layer 128 may be formed to cover sidewalls of the semiconductor layer 126. The connection layer 128 may be formed of a material capable of electrically connecting the semiconductor substrate 111 and the semiconductor layer 126. For example, the connection layer 128 may include a semiconductor material or a conductive material. The connection layer 128 may include a doped semiconductor material, an undoped semiconductor material, or a metal compound.

일 실시예에서, 상기 반도체 막(126)과 연결막(128)은 동시에 형성될 수 있다. 예를 들어, 상기 빈공간(122) 및 상기 활성 패턴(131)과 반도체 기판의 돌출부(113)의 측벽 상에 반도체 물질막을 형성하고 식각 공정을 생략하는 것에 의해 상기 반도체 막(126) 및 상기 연결막(128)이 동시에 형성될 수 있다. 이 경우, 상기 반도체 막(126) 및 상기 연결막(128)의 형성 이전에, 상기 제2 분리 절연막(123)에 대한 식각 공정이 수행될 수 있다. 구체적으로, 상기 반도체 기판의 바닥부(112) 상의 상기 제2 분리 절연막(123)의 적어도 일부가 제거될 수 있다. 상기 제2 분리 절연막(123)에 대한 식각 공정에 의해, 상기 반도체 기판의 바닥부(112)의 일부가 노출될 수 있다. In one embodiment, the semiconductor film 126 and the connection film 128 may be formed at the same time. For example, the semiconductor film 126 and the connection may be formed by forming a semiconductor material film on sidewalls of the void 122, the active pattern 131, and the protrusion 113 of the semiconductor substrate, and omitting an etching process. The film 128 may be formed at the same time. In this case, an etching process may be performed on the second isolation insulating layer 123 before the semiconductor layer 126 and the connection layer 128 are formed. Specifically, at least a portion of the second isolation insulating layer 123 on the bottom portion 112 of the semiconductor substrate may be removed. A portion of the bottom 112 of the semiconductor substrate may be exposed by the etching process of the second isolation insulating layer 123.

도 7a 내지 도 7c를 참조하면, 상기 반도체 막(126) 및 연결막(128)의 윗부분들이 식각될 수 있다. 상기 반도체 막(126) 및 연결막(128)은 동시에 식각될 수 있다. 이에 의해 반도체 패턴(127) 및 연결 패턴(129)이 형성될 수 있다. 도시된 바와 달리, 상기 반도체 패턴(127) 및 연결 패턴(129)의 상부면들은, 상기 제1 분리 절연패턴(125)의 하부면보다 낮게 위치할 수 있다. 또한, 상기 반도체 패턴(127) 및 연결 패턴(129)의 상부면들은, 상기 제2 분리 절연패턴(124)의 상부면보다 높게 위치할 수 있다. 7A to 7C, upper portions of the semiconductor layer 126 and the connection layer 128 may be etched. The semiconductor layer 126 and the connection layer 128 may be simultaneously etched. As a result, the semiconductor pattern 127 and the connection pattern 129 may be formed. Unlike illustrated, upper surfaces of the semiconductor pattern 127 and the connection pattern 129 may be lower than a lower surface of the first isolation insulating pattern 125. In addition, upper surfaces of the semiconductor pattern 127 and the connection pattern 129 may be higher than an upper surface of the second isolation insulating pattern 124.

상기 반도체 패턴(127) 및 연결 패턴(129) 상에 층간 절연막(144)이 형성될 수 있다. 상기 층간 절연막(144)의 상부면은 평탄화되어, 상기 지지 절연막(142)과 공면을 이룰 수 있다. 상기 층간 절연막(144)은 노출된 상기 제1 분리 절연패턴(125)의 측벽을 덮을 수 있다. 상기 활성 패턴(131)의 측벽들은 상기 지지 절연막(142)과 층간 절연막(144)에 의해 둘러싸일 수 있다. An interlayer insulating layer 144 may be formed on the semiconductor pattern 127 and the connection pattern 129. An upper surface of the interlayer insulating layer 144 may be planarized to form a coplanar surface with the supporting insulating layer 142. The interlayer insulating layer 144 may cover sidewalls of the exposed first isolation insulating pattern 125. Sidewalls of the active pattern 131 may be surrounded by the support insulating layer 142 and the interlayer insulating layer 144.

도 8a 내지 도 8c를 참조하면, 상기 제1 마스크(141)가 제거된다. 상기 제1 마스크(141)의 제거시, 상기 제1 분리 절연패턴(125) 및 층간 절연막(144)의 일부도 함께 식각될 수 있다. 상기 제1 마스크(141)의 제거에 의해, 활성 패턴(131)의 상부면이 노출될 수 있다. 8A to 8C, the first mask 141 is removed. When the first mask 141 is removed, a portion of the first isolation insulating layer 125 and the interlayer insulating layer 144 may be etched together. By removing the first mask 141, an upper surface of the active pattern 131 may be exposed.

도 9a 내지 도 9c를 참조하면, 상기 활성 패턴(131)의 상부면 상에 게이트 절연막(153)이 형성될 수 있다. 상기 게이트 절연막(153)은 산화막, 질화막 및 산화질화막을 포함하는 다양한 절연막 중 선택된 적어도 하나일 수 있다. 일 실시예에서, 상기 게이트 절연막(153)은 상기 활성 패턴(131)의 상부면을 열산화하여 형 성될 수 있다. 9A through 9C, a gate insulating layer 153 may be formed on an upper surface of the active pattern 131. The gate insulating layer 153 may be at least one selected from various insulating layers including an oxide layer, a nitride layer, and an oxynitride layer. In an embodiment, the gate insulating layer 153 may be formed by thermally oxidizing an upper surface of the active pattern 131.

상기 게이트 절연막(153) 상에 게이트 막(154)이 형성된다. 상기 게이트 막(154)은 도핑된 반도체 물질, 금속 또는 금속 화합물을 포함할 수 있다. A gate film 154 is formed on the gate insulating film 153. The gate layer 154 may include a doped semiconductor material, a metal, or a metal compound.

도 10a 내지 도 10c를 참조하면, 상기 게이트 막(154)을 이방성 식각하여 게이트 전극(155)을 형성할 수 있다. 상기 게이트 전극(155)은 상기 활성 패턴(131)의 길이 방향에 수직한 방향으로 연장될 수 있다. 상기 게이트 전극(155)의 양 측벽 상에 스페이서(156)가 형성될 수 있다. 10A to 10C, the gate electrode 155 may be formed by anisotropically etching the gate layer 154. The gate electrode 155 may extend in a direction perpendicular to the length direction of the active pattern 131. Spacers 156 may be formed on both sidewalls of the gate electrode 155.

상기 스페이서(156)의 형성 전 및/또는 후에, 상기 게이트 전극(155) 양측의 상기 활성 패턴(131) 내에 소오스/드레인 영역(135)이 형성될 수 있다. 상기 소오스/드레인 영역(135)은 상기 게이트 전극(155) 및/또는 상기 스페이서(156)를 마스크로 사용한 이온 주입 공정에 의해 상기 활성 패턴(131) 내에 도펀트들을 주입하여 형성될 수 있다. Before and / or after forming the spacer 156, a source / drain region 135 may be formed in the active pattern 131 on both sides of the gate electrode 155. The source / drain region 135 may be formed by implanting dopants into the active pattern 131 by an ion implantation process using the gate electrode 155 and / or the spacer 156 as a mask.

도 18a 내지 도 18c를 참조하여, 본 발명의 다른 실시예에 따른 반도체 소자가 설명된다. 도 18a는 본 발명의 일 실시예에 따른 반도체 소자의 사시도이고, 도 18b 및 도 18c는 각각 도 10a에 도시된 Ⅰ-Ⅰ' 과 Ⅱ-Ⅱ'을 따라 취한 반도체 소자의 단면도들이다. 도 18a 내지 도 18c는 핀(fin) 형태의 활성 패턴을 갖는 반도체 소자를 나타낸다. 18A to 18C, a semiconductor device according to another embodiment of the present invention is described. 18A is a perspective view of a semiconductor device according to an embodiment of the present invention, and FIGS. 18B and 18C are cross-sectional views of semiconductor devices taken along lines II ′ and II-II ′, respectively, shown in FIG. 10A. 18A to 18C illustrate a semiconductor device having an active pattern in the form of a fin.

반도체 기판(211)이 준비된다. 상기 반도체 기판(211)은 바닥부(212)와 상기 바닥부(212)로부터 돌출된 돌출부(213)를 포함한다. 상기 반도체 기판의 돌출 부(213)의 상부면 및 측면 상에 제2 분리 절연패턴(213)이 배치된다. 상기 제2 분리 절연패턴(213)의 일부는 상기 반도체 기판(211)의 바닥부의 상부면으로 연장될 수 있다. The semiconductor substrate 211 is prepared. The semiconductor substrate 211 includes a bottom portion 212 and a protrusion 213 protruding from the bottom portion 212. The second isolation insulating pattern 213 is disposed on the upper surface and the side surface of the protrusion 213 of the semiconductor substrate. A portion of the second isolation insulating pattern 213 may extend to an upper surface of the bottom portion of the semiconductor substrate 211.

상기 반도체 기판의 돌출부(213) 상에 반도체 패턴(227)이 배치된다. 상기 반도체 패턴(227)은 상기 제2 분리 절연패턴(224)에 의해 상기 반도체 기판(211)과 이격될 수 있다. 상기 반도체 패턴(227)은 반도체 물질을 포함할 수 있다. 예를 들어, 상기 반도체 패턴(227)은 다결정 상태의 반도체 물질을 포함할 수 있다. The semiconductor pattern 227 is disposed on the protrusion 213 of the semiconductor substrate. The semiconductor pattern 227 may be spaced apart from the semiconductor substrate 211 by the second isolation insulating pattern 224. The semiconductor pattern 227 may include a semiconductor material. For example, the semiconductor pattern 227 may include a semiconductor material in a polycrystalline state.

상기 반도체 기판(211)과 상기 반도체 패턴(227)을 연결하는 연결 패턴(229)이 배치된다. 상기 연결 패턴(229)은, 공간적으로 이격된 상기 반도체 기판(211)과 상기 반도체 패턴(227)을 전기적으로 연결시킬 수 있다. 즉, 상기 반도체 패턴(227)은 상기 연결 패턴(229)을 경유하여 상기 반도체 기판(211)에 전기적으로 연결된다. A connection pattern 229 connecting the semiconductor substrate 211 and the semiconductor pattern 227 is disposed. The connection pattern 229 may electrically connect the semiconductor substrate 211 and the semiconductor pattern 227 that are spaced apart from each other. That is, the semiconductor pattern 227 is electrically connected to the semiconductor substrate 211 via the connection pattern 229.

상기 반도체 패턴(227) 상에 활성 패턴(231)이 배치될 수 있다. 상기 활성 패턴(231)은 반도체 물질을 포함할 수 있다. 예를 들어, 상기 활성 패턴(231)은 단결정 상태의 반도체 물질을 포함할 수 있다. 도시된 바와 달리, 상기 활성 패턴(231)은 라운딩된 가장자리를 포함할 수 있다. 예를 들어, 상기 활성 패턴(231)은 나노 와이어 형으로 형성될 수 있다. An active pattern 231 may be disposed on the semiconductor pattern 227. The active pattern 231 may include a semiconductor material. For example, the active pattern 231 may include a semiconductor material in a single crystal state. Unlike shown, the active pattern 231 may include a rounded edge. For example, the active pattern 231 may be formed in a nanowire shape.

상기 활성 패턴(231)을 둘러싸는 제1 분리 절연막(225)이 배치될 수 있다. 상기 제1 분리 절연막(225)은 상기 활성 패턴(231)의 하부면 및 일부 측벽 상에 배치될 수 있다. 상기 제1 분리 절연막(225)은 상기 활성 패턴(231)의 상부면 상으로 연장될 수 있다. 이와 달리, 상기 활성 패턴(231) 상에 별도의 게이트 절연막(252)이 배치될 수도 있다. 상기 제1 분리 절연막(225)은 상기 활성 패턴(231)을 다른 구성 요소들로부터 공간적으로 이격시킬 수 있다. 즉, 상기 제1 분리 절연막(225)은 반도체 기판 내의 SOI 영역의 매몰 절연막일 수 있다. The first isolation insulating layer 225 may be disposed to surround the active pattern 231. The first isolation insulating layer 225 may be disposed on the bottom surface and some sidewalls of the active pattern 231. The first isolation insulating layer 225 may extend onto the top surface of the active pattern 231. Alternatively, a separate gate insulating layer 252 may be disposed on the active pattern 231. The first isolation insulating layer 225 may spatially separate the active pattern 231 from other components. That is, the first isolation insulating film 225 may be a buried insulating film of the SOI region in the semiconductor substrate.

상기 제1 분리 절연막(225)은 산화막, 질화막 및 산화질화막을 포함하는 다양한 절연막 중 선택된 적어도 하나일 수 있다. 예를 들어, 상기 제1 분리 절연막(225)은 ONO막일 수 있다. The first isolation insulating film 225 may be at least one selected from various insulating films including an oxide film, a nitride film, and an oxynitride film. For example, the first isolation insulating layer 225 may be an ONO layer.

상기 활성 패턴(231)의 상부면 및 측벽 상에 게이트 전극(255)이 배치될 수 있다. 상기 게이트 전극(255)은 상기 활성 패턴(231)의 일부를 덮을 수 있다. 도시된 바와 달리, 상기 게이트 전극(255)은 상기 활성 패턴(231)의 일부 하부면 상으로 연장될 수 있다. 구체적으로, 상기 게이트 전극(255)은 하부면의 가장자리 부분까지 연장될 수 있다. 이에 의해 형성되는 트랜지스터는 오메가형 트랜지스터일 수 있다. The gate electrode 255 may be disposed on the top surface and sidewalls of the active pattern 231. The gate electrode 255 may cover a portion of the active pattern 231. Unlike the illustrated figure, the gate electrode 255 may extend on some lower surfaces of the active pattern 231. In detail, the gate electrode 255 may extend to an edge portion of the lower surface. The transistor formed thereby may be an omega transistor.

도 11a 내지 도 18c를 참조하여, 본 발명의 다른 실시예에 따른 반도체 소자의 형성방법이 설명된다. 도 11a 내지 도 18a는 반도체 소자의 사시도들이고, 도 11b 내지 도 18b 및 도 11c 내지 도 18c는 도 11a 내지 도 18a에 도시된 Ⅰ-Ⅰ' 및 Ⅱ-Ⅱ'을 따라 취한 반도체 소자의 단면도들이다. 11A to 18C, a method of forming a semiconductor device according to another embodiment of the present invention will be described. 11A through 18A are perspective views of the semiconductor device, and FIGS. 11B through 18B and 11C through 18C are cross-sectional views of the semiconductor device taken along lines II ′ and II-II ′ shown in FIGS. 11A through 18A.

도 11a 내지 도 11c를 참조하면, 반도체 기판(210) 상에 희생층(220)과 활성층(230)이 차례로 적층된다. 앞서 도 1a 내지 도 1c를 참조하여 설명된 반도체 기 판, 희생층 및 활성층에 대한 설명들은 이하에서도 동일하게 적용될 수 있다. 11A through 11C, the sacrificial layer 220 and the active layer 230 are sequentially stacked on the semiconductor substrate 210. The description of the semiconductor substrate, the sacrificial layer, and the active layer described above with reference to FIGS. 1A to 1C may be equally applicable to the following description.

도 12a 내지 도 12c를 참조하면, 상기 활성층(230) 및 희생층(220)을 식각하여 활성 패턴(231) 및 희생 패턴(221)을 형성할 수 있다. 상기 활성 패턴(231) 및 희생 패턴(221)은 도 11a 의 활성층(230) 상에 제1 마스크(241)를 형성한 후, 이를 식각 마스크로 사용한 식각 공정을 수행하여 형성될 수 있다. 상기 식각 공정 시, 상기 반도체 기판(210)이 식각 정지막으로 작용할 수 있다. 이 때, 상기 반도체 기판(210)의 일부가 식각될 수 있다. 식각된 반도체 기판(211)은 돌출부(213) 및 바닥부(212)를 가질 수 있다.12A through 12C, the active layer 230 and the sacrificial layer 220 may be etched to form an active pattern 231 and a sacrificial pattern 221. The active pattern 231 and the sacrificial pattern 221 may be formed by forming an first mask 241 on the active layer 230 of FIG. 11A and then performing an etching process using the same as an etching mask. During the etching process, the semiconductor substrate 210 may serve as an etch stop layer. In this case, a portion of the semiconductor substrate 210 may be etched. The etched semiconductor substrate 211 may have a protrusion 213 and a bottom 212.

도 13a 내지 13c를 참조하면, 상기 활성 패턴(231) 및 희생 패턴(221)의 적층 구조의 양단을 둘러싸는 지지 절연막(242)이 형성될 수 있다. 상기 지지 절연막(242)은 상기 적층 구조의 측벽을 모두 덮도록 절연막을 형성한 후, 상기 적층 구조의 측벽의 일부가 노출되도록 상기 절연막을 식각하여 형성될 수 있다. 상기 절연막의 식각은 제2 마스크(251)를 사용한 이방성 식각에 의해 수행될 수 있다. 13A through 13C, a support insulating layer 242 may be formed to surround both ends of the stacked structure of the active pattern 231 and the sacrificial pattern 221. The supporting insulating layer 242 may be formed by forming an insulating layer to cover all of the sidewalls of the stacked structure, and then etching the insulating layer to expose a portion of the sidewalls of the laminated structure. Etching of the insulating layer may be performed by anisotropic etching using the second mask 251.

도 14a 내지 도 14c를 참조하면, 상기 희생 패턴(221)이 제거되어 빈 공간(222)이 형성될 수 있다. 상기 빈 공간(222)은 상기 활성 패턴(231)의 하부면 및 상기 반도체 기판의 돌출부(213)의 상부면을 노출시킬 수 있다.14A to 14C, the sacrificial pattern 221 may be removed to form an empty space 222. The empty space 222 may expose a lower surface of the active pattern 231 and an upper surface of the protrusion 213 of the semiconductor substrate.

도 15a 내지 도 15c를 참조하면, 상기 활성 패턴(231)의 하부면 및 측벽 상에 제1 분리 절연막(225)이 형성될 수 있다. 상기 반도체 기판의 돌출부(213)의 상부면 및 측벽 상에는 분리 절연막(223)이 형성될 수 있다. 상기 분리 절연막(223)은 상기 반도체 기판의 바닥부(212)의 상부면 상에도 형성될 수 있다. 상기 제1 분 리 절연막(225) 및 분리 절연막(223)은 동시에 형성될 수 있다. 15A through 15C, a first isolation insulating layer 225 may be formed on the bottom surface and sidewalls of the active pattern 231. An isolation insulating layer 223 may be formed on the top surface and the sidewall of the protrusion 213 of the semiconductor substrate. The isolation insulating layer 223 may also be formed on an upper surface of the bottom portion 212 of the semiconductor substrate. The first isolation insulating layer 225 and the isolation insulating layer 223 may be formed at the same time.

상기 제1 분리 절연막(225) 및 분리 절연막(223)은 산화막, 질화막 및 산화질화막을 포함하는 다양한 절연막들 중 선택된 적어도 하나의 막일 수 있다. 일 실시예에서, 상기 제1 분리 절연막(225) 및 분리 절연막(223)은 ONO막일 수 있다. The first isolation insulating film 225 and the isolation insulating film 223 may be at least one selected from various insulating films including an oxide film, a nitride film, and an oxynitride film. In some embodiments, the first isolation insulating layer 225 and the isolation insulating layer 223 may be ONO layers.

도 16a 내지 도 16c를 참조하면, 상기 빈 공간(222)을 채우는 반도체 막(226)이 형성된다. 상기 반도체 막(226)을 형성하는 것은, 상기 반도체 기판(211) 상에 반도체 막을 형성하는 것과, 상기 반도체 막을 이방성 식각하는 것을 포함할 수 있다. 상기 반도체 막의 식각은 상기 반도체 기판의 바닥부(212) 상의 분리 절연막(223)의 상부면이 노출될 때까지 수행될 수 있다. 이후, 노출된 상기 분리 절연막(223)을 식각하여 제2 분리 절연패턴(224)을 형성한다. 상기 분리 절연막(223)의 식각에 의해, 상기 반도체 기판의 바닥부(212)의 상부면이 노출된다. 상기 분리 절연막(223)에 대한 식각 공정은 습식 식각 공정일 수 있다. 16A through 16C, a semiconductor film 226 filling the empty space 222 is formed. Forming the semiconductor film 226 may include forming a semiconductor film on the semiconductor substrate 211 and anisotropically etching the semiconductor film. The etching of the semiconductor film may be performed until the top surface of the isolation insulating layer 223 on the bottom portion 212 of the semiconductor substrate is exposed. Thereafter, the exposed isolation insulating layer 223 is etched to form a second isolation insulating pattern 224. The upper surface of the bottom portion 212 of the semiconductor substrate is exposed by etching the separation insulating layer 223. An etching process for the isolation insulating layer 223 may be a wet etching process.

상기 반도체 막(226)은 상기 활성 패턴(231)과 상기 반도체 기판의 돌출부(213) 사이에 개재되며, 상기 활성 패턴(231)의 측벽과 상기 반도체 기판의 돌출부(213)의 측벽 상으로 연장될 수 있다. The semiconductor layer 226 is interposed between the active pattern 231 and the protrusion 213 of the semiconductor substrate, and extends on the sidewall of the active pattern 231 and the sidewall of the protrusion 213 of the semiconductor substrate. Can be.

상기 반도체 막(226)과 상기 반도체 기판(211) 사이에 연결막(228)이 형성된다. 상기 연결막(228)은 상기 반도체 막(226)과 동일한 물질을 포함할 수 있다. 예를 들어, 상기 연결막(228) 및 반도체 막(226)은 다결정 상태의 반도체 물질을 포함할 수 있다. 일 실시예에서, 상기 반도체 막(226)은 비정질 상태로 형성된 후, 후속 공정에서 발생하는 열 등의 요인에 의해 다결정 상태로 변환될 수 있다. A connection film 228 is formed between the semiconductor film 226 and the semiconductor substrate 211. The connection layer 228 may include the same material as the semiconductor layer 226. For example, the connection layer 228 and the semiconductor layer 226 may include a semiconductor material in a polycrystalline state. In an embodiment, the semiconductor film 226 may be formed in an amorphous state and then converted into a polycrystalline state by factors such as heat generated in a subsequent process.

일 실시예에서, 상기 연결막(228)과 반도체 막(226)은 동시에 형성될 수 있다. 이 경우, 상기 제2 분리 절연막(223)에 대한 식각 공정이 먼저 수행될 수 있다. 즉, 상기 반도체 기판의 바닥부(212) 상의 상기 제2 분리 절연막(223)의 적어도 일부를 제거하여, 상기 반도체 기판의 바닥부(212)의 상부면의 적어도 일부를 노출시킬 수 있다. In one embodiment, the connection film 228 and the semiconductor film 226 may be formed at the same time. In this case, an etching process for the second isolation insulating layer 223 may be performed first. That is, at least a portion of the second isolation insulating layer 223 on the bottom portion 212 of the semiconductor substrate may be removed to expose at least a portion of the top surface of the bottom portion 212 of the semiconductor substrate.

도 17a 내지 도 17c를 참조하면, 상기 반도체 막(226) 및 연결막(228)을 식각하여, 반도체 패턴(227) 및 연결 패턴(229)을 형성한다. 상기 반도체 패턴(227) 및 연결 패턴(229)의 상부면들은 상기 분리 절연막(223)의 상부면보다 높게 위치한다. 상기 반도체 패턴(227) 및 연결 패턴(229)의 상부면들은 상기 활성 패턴(231)의 하부면보다 낮게 위치할 수 있다. 상기 반도체 막(226) 및 연결막(228)의 식각은 상기 분리 절연막(223)이 노출되지 않을 때까지 수행될 수 있다. 17A to 17C, the semiconductor layer 226 and the connection layer 228 are etched to form a semiconductor pattern 227 and a connection pattern 229. Top surfaces of the semiconductor pattern 227 and the connection pattern 229 are positioned higher than the top surface of the isolation insulating layer 223. Upper surfaces of the semiconductor pattern 227 and the connection pattern 229 may be lower than a lower surface of the active pattern 231. The etching of the semiconductor layer 226 and the connection layer 228 may be performed until the isolation insulating layer 223 is not exposed.

도시된 바와 달리, 상기 반도체 막(226)과 연결막(228)에 대해 등방성 식각이 추가적으로 수행될 수 있다. 이에 의해 상기 제1 분리 절연막(225)의 하부면의 일부가 노출될 수 있다. Unlike shown, isotropic etching may be additionally performed on the semiconductor film 226 and the connection film 228. As a result, a part of the lower surface of the first isolation insulating layer 225 may be exposed.

상기 제1 마스크(241)를 제거하여 상기 활성 패턴(231)의 상부면을 노출시킨다. 상기 제1 마스크(241) 측벽 상의 제1 분리 절연막(225)과 지지 절연막(242)이 함께 식각될 수 있다. 상기 지지 절연막(242)은 상기 활성 패턴(231)의 측벽의 적어도 일부가 노출될 때까지 제거될 수 있다. 이와 달리, 상기 지지 절연막(242)은 모두 제거될 수도 있다. The upper surface of the active pattern 231 is exposed by removing the first mask 241. The first isolation insulating layer 225 and the support insulating layer 242 on the sidewalls of the first mask 241 may be etched together. The support insulating layer 242 may be removed until at least a portion of the sidewall of the active pattern 231 is exposed. Alternatively, all of the supporting insulating layer 242 may be removed.

상기 활성 패턴(231)의 상부면 상에 게이트 절연막(252)을 형성한다. 상기 게이트 절연막(252)은 상기 활성 패턴(231)의 상부면을 산화시켜 형성될 수 있다. 이와 달리, 상기 게이트 절연막(252)은 다양한 절연막 형성법 중 선택된 어느 하나에 의해 형성될 수 있다. A gate insulating layer 252 is formed on the upper surface of the active pattern 231. The gate insulating layer 252 may be formed by oxidizing an upper surface of the active pattern 231. Alternatively, the gate insulating film 252 may be formed by any one selected from various insulating film forming methods.

상기 연결 패턴(229) 및 반도체 패턴(227) 상에 소자 분리막(253)이 형성될 수 있다. 상기 소자 분리막(253)은 상기 활성 패턴(231)의 상부면보다 낮은 위치의 상부면을 가질 수 있다. An isolation layer 253 may be formed on the connection pattern 229 and the semiconductor pattern 227. The device isolation layer 253 may have an upper surface lower than an upper surface of the active pattern 231.

도 18a 내지 도 18c를 참조하면, 상기 활성 패턴(231)의 상부면 및 측벽을 덮는 게이트 전극(255)이 형성될 수 있다. 상기 게이트 전극(255)은 상기 활성 패턴(231)의 상부면 상에 형성되되, 상기 활성 패턴(231)의 측벽 상으로 연장될 수 있다. 상기 게이트 전극(255)은 도핑된 반도체, 금속 또는 금속 화합물을 포함할 수 있다. 상기 게이트 전극(255)은 상기 게이트 절연막(252) 및 제1 분리 절연막(225)에 의해 상기 활성 패턴(231)과 이격될 수 있다. 18A to 18C, a gate electrode 255 may be formed to cover the top surface and sidewalls of the active pattern 231. The gate electrode 255 may be formed on an upper surface of the active pattern 231 and may extend on sidewalls of the active pattern 231. The gate electrode 255 may include a doped semiconductor, a metal, or a metal compound. The gate electrode 255 may be spaced apart from the active pattern 231 by the gate insulating layer 252 and the first isolation insulating layer 225.

본 발명의 실시예들의 적용예가 도 19를 참조하여 설명된다. 도 19를 참조하면, 하나의 반도체 기판 내에 다른 두께의 절연층을 갖는 SOI 구조들이 형성될 수 있다. 도면에서, A 영역은 얇은 매몰 절연막(BOX: Buried Oxide)을 포함하는 SOI 소자 영역이고, B영역은 두꺼운 매몰 절연막을 포함하는 SOI 소자 영역일 수 있다. An application of embodiments of the present invention is described with reference to FIG. 19. Referring to FIG. 19, SOI structures having different thicknesses of insulating layers may be formed in one semiconductor substrate. In the drawing, region A may be an SOI device region including a thin buried oxide (BOX), and region B may be an SOI device region including a thick buried insulating film.

상기 A 영역은 앞서 도 1a 내지 도 8c를 참조하여 설명된 방법에 의해 형성될 수 있다. 구체적으로, A 영역과 B 영역을 포함하는 반도체 기판(2100)이 준비된다. 상기 반도체 기판(2100)에 도 1a 내지 도 1c에 도시된 바와 같이 희생층, 활성 층 및 제1 마스크(2230)를 적층한다. 상기 제1 마스크(2230)를 식각 마스크로 사용하여 상기 희생층 및 활성층을 이방성 식각한다. 즉, 상기 A 영역의 희생층 및 활성층과 상기 B 영역의 희생층과 활성층을 분리시킨다. 이로써, 상기 A 영역 및 B 영역에 희생 패턴들 및 활성 패턴들이 적층된 적층 구조들이 형성된다. The region A may be formed by the method described above with reference to FIGS. 1A to 8C. Specifically, the semiconductor substrate 2100 including the A region and the B region is prepared. A sacrificial layer, an active layer, and a first mask 2230 are stacked on the semiconductor substrate 2100 as shown in FIGS. 1A to 1C. The sacrificial layer and the active layer are anisotropically etched using the first mask 2230 as an etching mask. That is, the sacrificial layer and the active layer of the A region and the sacrificial layer and the active layer of the B region are separated. As a result, stacked structures in which sacrificial patterns and active patterns are stacked in the A and B regions are formed.

상기 적층 구조들의 양단들과 접하는 지지 절연막이 형성된다. 상기 지지 절연막은 앞서 도 2a 내지 도 2c를 참조하여 설명된 지지 절연막(142)과 유사할 수 있다. 이후, 상기 지지 절연막 상에 마스크를 형성한 후, 상기 마스크를 마스크 패턴으로 이방성 식각을 수행한다. 이에 의해, 상기 적층 구조들의 측벽들이 노출된다. A support insulating film is formed in contact with both ends of the stacked structures. The supporting insulating film may be similar to the supporting insulating film 142 described above with reference to FIGS. 2A to 2C. Thereafter, after forming a mask on the supporting insulating layer, the mask is anisotropically etched using a mask pattern. Thereby, sidewalls of the laminate structures are exposed.

상기 적층 구조들의 희생 패턴들을 제거한다. 상기 희생 패턴들은 습식 식각에 의해 제거될 수 있다. 상기 희생 패턴들의 제거에 의해 상기 활성 패턴(2131)과 상기 반도체 기판(2100) 사이에 빈 공간(2122)이 형성된다. 상기 희생 패턴들의 제거에 의해 상기 활성 패턴(2131)의 하부면 및 반도체 기판(2100)의 상부면이 노출될 수 있다. The sacrificial patterns of the stacked structures are removed. The sacrificial patterns may be removed by wet etching. An empty space 2122 is formed between the active pattern 2131 and the semiconductor substrate 2100 by removing the sacrificial patterns. The lower surface of the active pattern 2131 and the upper surface of the semiconductor substrate 2100 may be exposed by removing the sacrificial patterns.

노출된 상기 활성 패턴(2131)의 하부면 및 반도체 기판(2100)의 상부면 상에 매몰 절연막(2225) 및 분리 절연막(2223)이 형성된다. 상기 매몰 절연막(2225) 및 분리 절연막(2223)은 동시에 형성될 수 있다. A buried insulating film 2225 and a separation insulating film 2223 are formed on the exposed lower surface of the active pattern 2131 and the upper surface of the semiconductor substrate 2100. The investment insulating film 2225 and the isolation insulating film 2223 may be formed at the same time.

상기 빈 공간(2122)을 채우는 박스 절연막(2200)이 형성된다. 상기 박스 절연막(2200)은 상기 A 영역 및 B 영역의 패턴들을 둘러싸며, 상기 빈공간(2122)을 채울 수 있다. 상기 A 영역의 상기 박스 절연막(2200)이 제거된다. 이 때, 상기 A 영역의 매몰 절연막(2225) 및 분리 절연막(2223)이 동시에 제거될 수 있다. 이후, 상기 A 영역에는 앞서 도 1a 내지 도 10c를 참조하여 설명된 것처럼 매몰 절연막, 분리 절연패턴, 반도체 패턴 및 연결 패턴이 형성될 수 있다. A box insulating film 2200 is formed to fill the empty space 2122. The box insulating layer 2200 may surround the patterns of the region A and the region B and fill the empty space 2122. The box insulating layer 2200 of the A region is removed. At this time, the buried insulation film 2225 and the isolation insulation film 2223 in the region A may be removed at the same time. Subsequently, a buried insulating film, a separation insulating pattern, a semiconductor pattern, and a connection pattern may be formed in the region A as described above with reference to FIGS. 1A through 10C.

상술한 매몰 절연막이 상기 A 영역의 활성 영역을 둘러싸는 얇은 박스일 수 있다. 또한, 상기 B 영역의 활성 영역은 박스 절연막(2200)은 두꺼운 박스일 수 있다. 상기 A 영역 및 B영역의 활성 영역들은 상기 기판으로부터 전기적 및/또는 공간적으로 이격된 정도가 상이하므로 이 활성 영역들을 포함하는 각 소자들은 서로 다른 특성들을 나타낼 수 있다. 도시된 바와 같이, 본 발명의 실시예들을 응용하여 하나의 기판 내에 각 소자의 특성에 맞는 구조들을 구현할 수 있다. The above-described buried insulating film may be a thin box surrounding the active region of the A region. In addition, the box insulating layer 2200 may be a thick box in the active region of the B region. Since the active regions of the A region and the B region are electrically and / or spatially spaced apart from the substrate, each device including the active regions may exhibit different characteristics. As shown, embodiments of the present invention can be applied to implement structures suitable for the characteristics of each device in one substrate.

본 발명의 실시예들에 따른 다른 적용예가 도 20을 참조하여 설명된다. A 영역과 B영역을 포함하는 반도체 기판(1110)이 제공된다. Another application according to embodiments of the present invention is described with reference to FIG. 20. There is provided a semiconductor substrate 1110 including region A and region B.

상기 A 영역과 B 영역의 반도체 기판(1110) 내에 웰 영역들(1111a, 1111b)이 제공될 수 있다. 일 실시예에서, 상기 A 영역과 B 영역의 웰 영역들(1111a, 1111b)은 서로 다른 도전형의 도펀트들로 도핑될 수 있다. 다른 실시예에서, 상기 A 영역과 B 영역의 웰 영역들(1111a, 1111b)은 동일한 도전형의 도펀트들로 도핑될 수 있다. Well regions 1111a and 1111b may be provided in the semiconductor substrates 1110 in regions A and B, respectively. In an embodiment, the well regions 1111a and 1111b of the A region and the B region may be doped with dopants of different conductivity types. In another embodiment, the well regions 1111a and 1111b of the A region and the B region may be doped with dopants of the same conductivity type.

상기 웰 영역들(1111a, 1111b) 상에 반도체 패턴들(1127a, 1127b)이 배치될 수 있다. 상기 반도체 패턴들(1127a, 1127b)은 다결정 상태의 반도체 물질을 포함할 수 있다. 상기 반도체 패턴들(1127a, 1127b)은 상기 웰 영역들(1111a, 1111b)과 연결 패턴들(1129a, 1129b)에 의해 전기적으로 연결될 수 있다. Semiconductor patterns 1127a and 1127b may be disposed on the well regions 1111a and 1111b. The semiconductor patterns 1127a and 1127b may include a semiconductor material in a polycrystalline state. The semiconductor patterns 1127a and 1127b may be electrically connected to the well regions 1111a and 1111b by the connection patterns 1129a and 1129b.

두 영역들의 웰 영역들(111a, 111b)은 연결 패턴들(1129a, 1129b)에 의해 반도체 패턴들(1127a, 1127b)에 전기적으로 연결될 수 있다. 또한, 상기 반도체 패턴들(1127a, 1127b) 및 연결 패턴들(1129a, 1129b)을 경유하여, 상기 반도체 기판(1110)과 상기 활성 패턴들(1131a, 1131b)이 전기적으로 연결될 수 있다. The well regions 111a and 111b of the two regions may be electrically connected to the semiconductor patterns 1127a and 1127b by the connection patterns 1129a and 1129b. In addition, the semiconductor substrate 1110 and the active patterns 1131a and 1131b may be electrically connected to each other via the semiconductor patterns 1127a and 1127b and the connection patterns 1129a and 1129b.

일 실시예에서, A 영역과 B 영역은 동일한 모드의 트랜지스터들을 포함할 수 있다. 예를 들면, 상기 A 영역과 B 영역에는 반전 모드(inversion mode)의 트랜지스터들이 배치될 수 있다. 구체적으로, 상기 A 영역의 웰 영역(1111a)은 p형 도펀트로, 소오스/드레인 영역(1135a)은 n형 도펀트로 도핑될 수 있다. 상기 A 영역의 게이트 전극(1156a)은 n형 도펀트로 도핑될 수 있다. B 영역의 웰 영역(1111b)은 n형 도펀트로, 소오스/드레인 영역(1135b)은 p형 도펀트로 도핑될 수 있다. 상기 B 영역의 게이트 전극(1156b)은 p형 도펀트로 도핑될 수 있다. In one embodiment, region A and region B may include transistors of the same mode. For example, transistors in an inversion mode may be disposed in the A and B regions. In detail, the well region 1111a of the A region may be doped with a p-type dopant, and the source / drain region 1135a may be doped with an n-type dopant. The gate electrode 1156a of the A region may be doped with an n-type dopant. The well region 1111b of the B region may be doped with an n-type dopant, and the source / drain region 1135b may be doped with a p-type dopant. The gate electrode 1156b of the B region may be doped with a p-type dopant.

이와 달리, 상기 A 영역 및 B 영역의 트랜지스터들은 축적 모드(accumulation mode)의 트랜지스터들일 수 있다. 이 경우, 상기 A 영역의 웰(1111a)은 p형, 소오스/드레인 영역(1135a)은 n형, 게이트 전극(1156a)은 p형의 도펀트들로 각각 도핑될 수 있다. 상기 B 영역의 웰(1111b)은 n형, 소오스/드레인 영역(1135a)은 p형, 게이트 전극(1156b)은 n형의 도펀트들로 각각 도핑될 수 있다. Alternatively, the transistors in region A and region B may be transistors in an accumulation mode. In this case, the well 1111a of the A region may be doped with p-type dopants, the source / drain region 1135a may be n-type, and the gate electrode 1156a may be doped with p-type dopants. The well 1111b of the region B may be doped with n-type dopants, the source / drain region 1135a may be p-type, and the gate electrode 1156b may be n-type dopants.

다른 실시예에서, 상기 A 영역의 트랜지스터와 B 영역의 트랜지스터는 서로 다른 모드의 트랜지스터들을 포함할 수 있다. 예를 들어, 상기 A 영역 및 B 영역 중 일 영역에는 반전 모드의 트랜지스터가 배치되고, 다른 영역에는 축적 모드의 트랜지스터가 배치될 수 있다. 구체적으로, 상기 A 영역과 B 영역의 웰들(1111a, 1111b)은 동일한 도전형의 도펀트들로 도핑될 수 있다. 상기 A 영역의 소오스/드레인 영역(1135a)은 상기 A 영역의 웰(1111a)과 동일한 도전형의 도펀트들을 포함하고, 상기 B 영역의 소오스/드레인 영역(1135b)은 상기 웰 영역(1111b)과 반대 도전형의 도펀트들을 포함할 수 있다. 상기 A 영역의 게이트 전극(1156a)은 상기 소오스/드레인 영역(1135a)과 반대 도전형의 도펀트들을 포함하고, 상기 B 영역의 게이트 전극(1156b)은 상기 소오스/드레인 영역(1135b)과 동일한 도전형의 도펀트들을 포함할 수 있다. 일 실시예에서, 상기 반도체 패턴들(1127a, 1127b)도 도펀트들을 포함할 수 있다. 이 때, 상기 반도체 패턴들(1127a, 1127b) 내의 도펀트들의 농도는 상기 웰 영역(1111a, 1111b)의 도펀트들의 농도보다 높을 수 있다. In another embodiment, the transistor in region A and the transistor in region B may include transistors of different modes. For example, an inversion mode transistor may be disposed in one of the regions A and B, and a storage mode transistor may be disposed in another region. Specifically, the wells 1111a and 1111b of the A region and the B region may be doped with dopants of the same conductivity type. The source / drain region 1135a of the A region includes the same conductivity type dopants as the well 1111a of the A region, and the source / drain region 1135b of the B region is opposite to the well region 1111b. It may include conductive dopants. The gate electrode 1156a in the A region includes dopants of a conductivity type opposite to that of the source / drain region 1135a, and the gate electrode 1156b of the B region is the same conductivity type as the source / drain region 1135b. May include dopants. In example embodiments, the semiconductor patterns 1127a and 1127b may also include dopants. In this case, concentrations of the dopants in the semiconductor patterns 1127a and 1127b may be higher than concentrations of the dopants in the well regions 1111a and 1111b.

도 1a 내지 도 10a는 본 발명의 일 실시예에 따른 반도체 소자를 설명하기 위한 사시도들이다. 1A through 10A are perspective views illustrating a semiconductor device in accordance with an embodiment of the present invention.

도 1b 내지 도 10b는 각각 도 1a 내지 도 10a에 도시된 Ⅰ-Ⅰ'을 따라 취한 단면도들이고, 도 1c 내지 도 10c는 각각 Ⅱ-Ⅱ'을 따라 취한 단면도들이다.1B to 10B are cross-sectional views taken along the line II ′ of FIG. 1A to FIG. 10A, and FIGS. 1C to 10C are cross-sectional views taken along the line II-II ′, respectively.

도 11a 내지 도 18a는 본 발명의 다른 실시예에 따른 반도체 소자를 설명하기 위한 사시도들이다.11A to 18A are perspective views illustrating a semiconductor device according to another exemplary embodiment of the present invention.

도 11b 내지 도 18b는 각각 도 11a 내지 도 18a에 도시된 Ⅰ-Ⅰ'을 따라 취한 단면도들이고, 도 11c 내지 도 18c는 각각 도 11a 내지 도 18a에 도시된 Ⅱ-Ⅱ'을 따라 취한 단면도들이다.11B to 18B are cross-sectional views taken along the line II ′ of FIG. 11A to 18A, and FIGS. 11C to 18C are cross-sectional views taken along the line II-II ′ of FIG. 11A to 18A, respectively.

도 19는 본 발명의 실시예들의 일 적용예를 설명하기 위한 도면이다. 19 is a view for explaining an application example of the embodiments of the present invention.

도 20은 본 발명의 실시예들의 다른 적용예를 설명하기 위한 도면이다. 20 is a view for explaining another application example of the embodiments of the present invention.

Claims (10)

반도체 기판;Semiconductor substrates; 상기 반도체 기판 상에 제1 분리 절연패턴;A first isolation insulating pattern on the semiconductor substrate; 상기 제1 분리 절연패턴 상의 활성 패턴;An active pattern on the first isolation insulating pattern; 상기 반도체 기판과 상기 제1 분리 절연패턴 사이의 반도체 패턴; A semiconductor pattern between the semiconductor substrate and the first isolation insulating pattern; 상기 반도체 기판과 상기 반도체 패턴 사이의 제2 분리 절연패턴; 및A second isolation insulating pattern between the semiconductor substrate and the semiconductor pattern; And 상기 반도체 기판과 상기 반도체 패턴을 연결하는 연결 패턴을 포함하는 반도체 소자. And a connection pattern connecting the semiconductor substrate and the semiconductor pattern. 청구항 1에 있어서,The method according to claim 1, 상기 활성 패턴 상에 차례로 적층된 게이트 절연막 및 게이트 전극을 더 포함하되,Further comprising a gate insulating film and a gate electrode sequentially stacked on the active pattern, 상기 반도체 소자의 동작시, 상기 활성 패턴 및 반도체 패턴 내에 공핍층(depletion layer)이 형성(generating)되는 반도체 소자.And a depletion layer is formed in the active pattern and the semiconductor pattern during operation of the semiconductor device. 청구항 2에 있어서, The method according to claim 2, 상기 공핍층은 상기 반도체 기판 내로 확장되는 반도체 소자. And the depletion layer extends into the semiconductor substrate. 청구항 1에 있어서,The method according to claim 1, 상기 연결 패턴은 상기 반도체 패턴의 일 측면 및 반도체 패턴 일 측의 반도체 기판과 접촉하는 반도체 소자. The connection pattern is in contact with a semiconductor substrate on one side of the semiconductor pattern and one side of the semiconductor pattern. 청구항 1에 있어서, The method according to claim 1, 상기 제2 분리 절연패턴은 상기 제1 분리 절연패턴과 동일한 절연물질을 포함하는 반도체 소자. The second isolation insulating pattern may include the same insulating material as the first isolation insulation pattern. 청구항 1에 있어서,The method according to claim 1, 상기 반도체 기판과 상기 반도체 패턴은 상기 연결 패턴에 의해 전기적으로 연결되는 반도체 소자. And the semiconductor substrate and the semiconductor pattern are electrically connected by the connection pattern. 청구항 1에 있어서,The method according to claim 1, 상기 게이트 전극은 상기 활성 패턴의 측벽 상으로 연장되고, 상기 제1 분리 절연패턴은 상기 게이트 전극과 상기 활성 패턴의 측벽 사이로 연장되는 반도체 소자. The gate electrode extends over sidewalls of the active pattern, and the first isolation insulating pattern extends between the gate electrode and sidewalls of the active pattern. 청구항 1에 있어서,The method according to claim 1, 상기 활성 패턴 내의 채널 영역은 도핑되지 않은 반도체 물질을 포함하고, The channel region in the active pattern includes an undoped semiconductor material, 상기 반도체 패턴은 도핑된 반도체 물질을 포함하는 반도체 소자. The semiconductor pattern includes a semiconductor material doped. 청구항 1에 있어서,The method according to claim 1, 상기 연결 패턴은 반도체 물질 또는 도전성 물질을 포함하는 반도체 소자.The connection pattern includes a semiconductor material or a conductive material. 청구항 9에 있어서,The method according to claim 9, 상기 연결 패턴 및 반도체 패턴은 동일한 물질을 포함하는 반도체 소자.The semiconductor device may include the connection pattern and the semiconductor pattern.
KR1020090047514A 2004-08-06 2009-05-29 Method for fabricating semiconductor device KR101586041B1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020090047514A KR101586041B1 (en) 2009-05-29 2009-05-29 Method for fabricating semiconductor device
US12/687,286 US20100117152A1 (en) 2007-06-28 2010-01-14 Semiconductor devices
US13/207,832 US8685805B2 (en) 2004-08-06 2011-08-11 Semiconductor devices with connection patterns

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020090047514A KR101586041B1 (en) 2009-05-29 2009-05-29 Method for fabricating semiconductor device

Publications (2)

Publication Number Publication Date
KR20100128861A true KR20100128861A (en) 2010-12-08
KR101586041B1 KR101586041B1 (en) 2016-01-15

Family

ID=43505689

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090047514A KR101586041B1 (en) 2004-08-06 2009-05-29 Method for fabricating semiconductor device

Country Status (1)

Country Link
KR (1) KR101586041B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10177278B2 (en) 2016-07-18 2019-01-08 Samsung Electronics Co., Ltd. Semiconductor light emitting device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5675185A (en) * 1995-09-29 1997-10-07 International Business Machines Corporation Semiconductor structure incorporating thin film transistors with undoped cap oxide layers
US20040058506A1 (en) * 2002-08-08 2004-03-25 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing a semiconductor device
KR100481868B1 (en) * 2002-11-26 2005-04-11 삼성전자주식회사 Modified silicon-on-insulator substrate having isolation structure of preventing leakage current and method of fabricating the same
US20050186742A1 (en) * 2004-02-24 2005-08-25 Chang-Woo Oh Vertical channel fin field-effect transistors having increased source/drain contact area and methods for fabricating the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5675185A (en) * 1995-09-29 1997-10-07 International Business Machines Corporation Semiconductor structure incorporating thin film transistors with undoped cap oxide layers
US20040058506A1 (en) * 2002-08-08 2004-03-25 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing a semiconductor device
KR100481868B1 (en) * 2002-11-26 2005-04-11 삼성전자주식회사 Modified silicon-on-insulator substrate having isolation structure of preventing leakage current and method of fabricating the same
US20050186742A1 (en) * 2004-02-24 2005-08-25 Chang-Woo Oh Vertical channel fin field-effect transistors having increased source/drain contact area and methods for fabricating the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10177278B2 (en) 2016-07-18 2019-01-08 Samsung Electronics Co., Ltd. Semiconductor light emitting device

Also Published As

Publication number Publication date
KR101586041B1 (en) 2016-01-15

Similar Documents

Publication Publication Date Title
US10580864B2 (en) Method of forming semiconductor device
US7154118B2 (en) Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US8685805B2 (en) Semiconductor devices with connection patterns
KR101908451B1 (en) Semiconductor device and method of manufacturing the same
JP4754176B2 (en) SOI structure semiconductor device with recess and method of manufacturing the same
US20130020640A1 (en) Semiconductor device structure insulated from a bulk silicon substrate and method of forming the same
US10446435B2 (en) Local trap-rich isolation
KR20120085928A (en) Wrap-around contacts for finfet and tri-gate devices
JP2010192588A (en) Semiconductor device and method of manufacturing the same
US20140151757A1 (en) Substrate-templated epitaxial source/drain contact structures
US8835255B2 (en) Method of forming a semiconductor structure including a vertical nanowire
US11075265B2 (en) Trigate device with full silicided epi-less source/drain for high density access transistor applications
TW201714309A (en) Fin-type field effect transistor structure and manufacturing method thereof
WO2014082340A1 (en) Finfet and manufacturing method thereof
KR102260237B1 (en) Transistors with recessed silicon cap and method forming same
US10177154B2 (en) Structure and method to prevent EPI short between trenches in FinFET eDRAM
WO2022048135A1 (en) Nanowire/sheet device having self-aligned isolation portion, manufacturing method and electronic device
KR102426243B1 (en) Transistors with stacked semiconductor layers as channels
JP2006013303A (en) Semiconductor device and its manufacturing method
KR100620446B1 (en) Fin field effect transistor and method of manufacturing the same
TWI763097B (en) Semiconductor structure and method forming the same
TW202121689A (en) Semiconductor device and manufacturing method thereof, and electronic apparatus including semiconductor device
US20100117152A1 (en) Semiconductor devices
CN110931545A (en) Semiconductor device with a plurality of transistors
KR101586041B1 (en) Method for fabricating semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20191226

Year of fee payment: 5