KR20100112070A - Method for forming an amorphous carbon layer and method for forming a pattern using the same - Google Patents

Method for forming an amorphous carbon layer and method for forming a pattern using the same Download PDF

Info

Publication number
KR20100112070A
KR20100112070A KR1020100010272A KR20100010272A KR20100112070A KR 20100112070 A KR20100112070 A KR 20100112070A KR 1020100010272 A KR1020100010272 A KR 1020100010272A KR 20100010272 A KR20100010272 A KR 20100010272A KR 20100112070 A KR20100112070 A KR 20100112070A
Authority
KR
South Korea
Prior art keywords
amorphous carbon
carbon film
substrate
film
pattern
Prior art date
Application number
KR1020100010272A
Other languages
Korean (ko)
Inventor
원제형
박진형
임전식
박재현
최종식
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to US12/753,939 priority Critical patent/US20100258526A1/en
Publication of KR20100112070A publication Critical patent/KR20100112070A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

PURPOSE: A method for forming an amorphous carbon film and a method for forming patterns using the same are provided to manufacture a semiconductor device including fine patterns using an amorphous carbon film with the superior planarity as a hard mask film. CONSTITUTION: A susceptor(12) is located in a depositing chamber(10) in order to support a substrate. A guide ring(14) is located on the edge side of the susceptor in order to guide the substrate. A heater(16) is located in the susceptor. A shower head(18) is located to be opposite with the susceptor and is connected with a depositing gas supplying unit(22) which is located on the outside of the depositing chamber. High frequency power(20) is connected with the shower head.

Description

비정질 탄소막 형성 방법 및 이를 이용한 패턴 형성 방법{Method for forming an amorphous carbon layer and method for forming a pattern using the same}Method for forming an amorphous carbon layer and method for forming a pattern using the same}

본 발명은 비정질 탄소막 형성 방법 및 이를 이용한 패턴 형성 방법에 관한 것이다. 보다 상세하게는, 하드 마스크로써 사용하기에 적합한 비정질 탄소막의 형성 방법 및 이를 이용한 패턴 형성 방법에 관한 것이다.The present invention relates to an amorphous carbon film forming method and a pattern forming method using the same. More specifically, the present invention relates to a method of forming an amorphous carbon film suitable for use as a hard mask and a pattern forming method using the same.

일반적으로, 반도체 소자의 집적도가 높아짐에 따라 미세한 선폭 및 간격을 갖는 패턴 형성 방법이 중요해지고 있다. 특히, 포토레지스트 패턴의 경우 식각 내성이 크지 않으므로, 식각 마스크 패턴으로 사용하는데는 한계가 있다. 때문에, 상대적으로 식각 내성이 높은 물질로 이루어지는 하드 마스크 패턴을 식각 마스크 패턴으로 사용되고 있다.In general, as the degree of integration of semiconductor devices increases, a pattern forming method having a fine line width and spacing becomes important. In particular, in the case of the photoresist pattern, since the etching resistance is not large, there is a limit to use as an etching mask pattern. Therefore, a hard mask pattern made of a material having relatively high etching resistance is used as an etching mask pattern.

그런데, 하드 마스크막을 형성하는 공정에서 상기 기판에 과도한 스트레스가 가해지게 되어 상기 기판이 휘는 등의 문제가 빈번하게 발생한다. 특히, 300㎜ 직경을 갖는 대구경화된 기판에서는 기판이 휘는 문제가 더욱 심각하게 발생된다. 또한, 기판 전체 영역에 높은 평탄도를 갖는 하드 마스크막을 형성하는 것이 용이하지 않다. 더구나, 높은 식각 선택비를 갖는 하드 마스크 패턴을 형성하는 것도 용이하지 않다.However, in the process of forming a hard mask film, excessive stress is applied to the substrate, causing problems such as bending of the substrate. In particular, in large diameter substrates having a diameter of 300 mm, the problem of bending of the substrate is more serious. In addition, it is not easy to form a hard mask film having high flatness in the entire substrate region. Moreover, it is not easy to form a hard mask pattern having a high etching selectivity.

본 발명의 목적은 하드 마스크막으로 사용하기에 적합하고, 낮은 스트레스를 갖는 비정질 탄소막 형성 방법을 제공하는데 있다.An object of the present invention is to provide a method for forming an amorphous carbon film suitable for use as a hard mask film and having a low stress.

본 발명의 다른 목적은 상기 비정질 탄소막을 이용하여 패턴을 형성하는 방법을 제공하는데 있다.Another object of the present invention is to provide a method of forming a pattern using the amorphous carbon film.

상기한 목적을 달성하기 위한 본 발명의 일 실시예에 따른 비정질 탄소막 형성 방법으로, 증착 챔버 내에 기판을 위치시킨다. 다음에, 400 내지 500℃의 온도 범위에서, 상기 챔버 내에 탄화 수소를 포함하는 반응 가스, 케리어 가스와 산소 및 산화 탄소로 이루어지는 군에서 선택된 적어도 하나로 이루어지는 조절 가스를 유입하는 플라즈마 증착 공정을 수행함으로써, 기판의 휨을 억제하면서 상기 기판 상에 비정질 탄소막을 형성한다.In the amorphous carbon film forming method according to an embodiment of the present invention for achieving the above object, the substrate is placed in the deposition chamber. Next, by performing a plasma deposition process of introducing a reactive gas containing hydrocarbons, a carrier gas and at least one regulating gas selected from the group consisting of oxygen and carbon oxide in the chamber at a temperature in the range of 400 to 500 ° C., An amorphous carbon film is formed on the substrate while suppressing warpage of the substrate.

본 발명의 일 실시예로, 상기 비정질 탄소막을 형성하는 공정에서 챔버 내에 단위 시간당 유입되는 전체 가스의 양은 챔버 용적량의 1% 내지 20%일 수 있다.In one embodiment of the present invention, the total amount of gas introduced into the chamber per unit time in the process of forming the amorphous carbon film may be 1% to 20% of the volume of the chamber.

본 발명의 일 실시예로, 상기 반응 가스 및 조절 가스의 비율은 20 :1 내지 2:1 일 수 있다.In one embodiment of the present invention, the ratio of the reaction gas and the control gas may be 20: 1 to 2: 1.

본 발명의 일 실시예로, 상기 탄화 수소에 포함되는 탄소 및 수소의 비율은 1 : 2 내지 1 : 5일 수 있다.In one embodiment of the present invention, the ratio of carbon and hydrogen included in the hydrocarbon may be 1: 2 to 1: 5.

본 발명의 일 실시예로, 상기 산화 탄소는 일산화탄소 또는 이산화탄소를 포함할 수 있다.In one embodiment of the present invention, the carbon oxide may include carbon monoxide or carbon dioxide.

본 발명의 일 실시예로, 상기 온도 범위 내에서 기판의 온도를 조절함으로써, 상기 기판이 인장 방향 또는 압축 방향으로 휘거나, 또는 상기 기판이 평탄한 상태가 되도록 조절할 수 있다.In one embodiment of the present invention, by adjusting the temperature of the substrate within the temperature range, it is possible to be adjusted so that the substrate is bent in the tensile direction or the compression direction, or the substrate is in a flat state.

보다 구체적으로, 상기 온도 범위 내에서 기판의 온도를 상승시켜 상기 기판을 압축 방향으로 휘도록 할 수 있다. 이와는 달리, 상기 온도 범위 내에서 기판의 온도를 하강시켜 상기 기판을 인장 방향 휘도록 조절할 수도 있다.More specifically, the substrate may be bent in the compression direction by raising the temperature of the substrate within the temperature range. Alternatively, by lowering the temperature of the substrate within the temperature range it may be adjusted to bend the substrate in the tensile direction.

본 발명의 일 실시예로, 상기 챔버 내에 유입되는 조절 가스의 양을 조절함으로써, 상기 비정질 탄소막의 흡광 계수(absorption coefficient, k)를 조절할 수 있다.In one embodiment of the present invention, by controlling the amount of the control gas flowing into the chamber, it is possible to adjust the absorption coefficient (k) of the amorphous carbon film.

보다 구체적으로, 상기 챔버 내에 유입되는 조절 가스의 양을 증가시켜 상기 비정질 탄소막의 흡광 계수를 높힐 수 있다. 이와는 달리, 상기 챔버 내에 유입되는 조절 가스의 양을 감소시켜 상기 비정질 탄소막의 흡광 계수를 낮출 수 있다.More specifically, the absorption coefficient of the amorphous carbon film may be increased by increasing the amount of control gas introduced into the chamber. Alternatively, the absorption coefficient of the amorphous carbon film may be lowered by reducing the amount of control gas introduced into the chamber.

본 발명의 일 실시예로, 상기 산화 탄소는 일산화탄소 또는 이산화탄소를 포함할 수 있다.In one embodiment of the present invention, the carbon oxide may include carbon monoxide or carbon dioxide.

상기한 다른 목적을 달성하기 위한 본 발명의 일실시예에 따른 비정질 탄소막 형성 방법으로, 증착 챔버 내에, 식각 대상막이 증착된 기판을 위치시킨다. 400 내지 500℃의 온도 범위에서, 상기 챔버 내에 탄화 수소를 포함하는 반응 가스, 케리어 가스와, 산소 및 산화 탄소로 이루어지는 군에서 선택된 적어도 하나로 이루어지는 조절 가스를 사용한 플라즈마 증착 공정을 통해, 기판의 휨을 억제하면서 상기 식각 대상막 상에 비정질 탄소막을 형성한다. 상기 비정질 탄소막 상에 포토레지스트 패턴을 형성한다. 상기 포토레지스트 패턴을 이용하여 상기 비정질 탄소막을 식각함으로써, 비정질 탄소막 패턴을 형성한다. 상기 비정질 탄소막 패턴을 이용하여 상기 식각 대상막을 식각함으로써, 박막 패턴을 형성한다.In the amorphous carbon film forming method according to an embodiment of the present invention for achieving the above another object, in the deposition chamber, the substrate on which the etching target film is deposited. In the temperature range of 400 to 500 ° C, warpage of the substrate is suppressed through a plasma deposition process using a reactive gas containing a hydrocarbon in the chamber, a carrier gas, and at least one regulating gas selected from the group consisting of oxygen and carbon oxide. While forming an amorphous carbon film on the etching target film. A photoresist pattern is formed on the amorphous carbon film. The amorphous carbon film is etched using the photoresist pattern to form an amorphous carbon film pattern. The etching target layer is etched using the amorphous carbon film pattern to form a thin film pattern.

본 발명의 일 실시예로, 상기 식각 대상막은 실리콘 산화물, 단결정 실리콘, 실리콘 질화물, SiOC, SiON 일 수 있다.In one embodiment of the present invention, the etching target layer may be silicon oxide, single crystal silicon, silicon nitride, SiOC, SiON.

본 발명의 일 실시예로, 상기 박막 패턴은 상기 박막 패턴 하부에 형성된 하지막을 식각하기 위한 식각 마스크 패턴으로 사용될 수 있다.In one embodiment of the present invention, the thin film pattern may be used as an etching mask pattern for etching the underlying film formed under the thin film pattern.

상기 하지막은 도전막 또는 실리콘 산화막일 수 있다.The base film may be a conductive film or a silicon oxide film.

상기 박막 패턴을 이용하여 하지막을 식각함으로써, 도전막 패턴, 콘택홀, 또는 트렌치를 형성할 수 있다.By etching the underlying film using the thin film pattern, a conductive film pattern, a contact hole, or a trench can be formed.

본 발명의 일 실시예로, 상기 탄소막 및 포토레지스트막 사이에, 실리콘 산 질화막 및 반사 방지 코팅막을 형성할 수 있다.In one embodiment of the present invention, a silicon oxynitride film and an antireflective coating film may be formed between the carbon film and the photoresist film.

본 발명의 일 실시예로, 상기 박막 패턴 상에 잔류하는 상기 비정질 탄소막 패턴을 제거하는 공정을 더 포함할 수 있다.In an embodiment, the method may further include removing the amorphous carbon film pattern remaining on the thin film pattern.

본 발명의 일 실시예로, 상기 비정질 탄소막을 형성하는 공정에서 챔버 내에 단위 시간당 유입되는 전체 가스의 양은 챔버 용적량의 1 내지 20%일 수 있다.In one embodiment of the present invention, the total amount of gas introduced into the chamber per unit time in the process of forming the amorphous carbon film may be 1 to 20% of the volume of the chamber.

본 발명의 일 실시예로, 상기 반응 가스 및 조절 가스의 비율은 20 :1 내지 2 : 1 일 수 있다.In one embodiment of the present invention, the ratio of the reaction gas and the control gas may be 20: 1 to 2: 1.

본 발명의 일 실시예로, 상기 온도 범위 내에서 기판의 온도를 조절함으로써, 상기 기판이 인장 방향 또는 압축 방향으로 휘거나, 또는 상기 기판이 평탄한 상태가 되도록 조절할 수 있다.In one embodiment of the present invention, by adjusting the temperature of the substrate within the temperature range, it is possible to be adjusted so that the substrate is bent in the tensile direction or the compression direction, or the substrate is in a flat state.

본 발명의 일 실시예로, 상기 챔버 내에 유입되는 조절 가스의 양을 조절함으로써, 상기 비정질 탄소막의 흡광 계수를 조절할 수 있다.In one embodiment of the present invention, by controlling the amount of the control gas flowing into the chamber, the absorption coefficient of the amorphous carbon film can be adjusted.

설명한 것과 같이, 본 발명에 따른 비정질 탄소막 형성 방법에 의하면, 기판의 휨이 억제된다. 상기 방법에 의하면, 사용자가 원하는 수준의 굴절률 및 흡광 계수를 갖는 비정질 탄소막을 형성할 수 있다. 또한, 높은 증착율로 상기 비정질 탄소막을 형성할 수 있다. 더구나, 상기 방법에 의해 형성된 상기 비정질 탄소막은 기판 전 영역에서 높은 평탄도를 갖는다.As described, according to the amorphous carbon film forming method of the present invention, warping of the substrate is suppressed. According to the method, it is possible to form an amorphous carbon film having a refractive index and an absorption coefficient at a level desired by a user. In addition, the amorphous carbon film may be formed at a high deposition rate. Moreover, the amorphous carbon film formed by the above method has high flatness in the entire region of the substrate.

따라서, 본 발명의 방법에 의해 형성된 비정질 탄소막은 하드 마스크막으로 사용되기에 매우 적합하다. 그러므로, 상기 비정질 탄소막을 하드 마스크막으로 사용하여, 미세한 선폭을 갖는 반도체 소자의 박막 패턴을 형성할 수 있다. 또한, 기판의 휨이 감소되어 사진 공정 시에 포커스 불량이 감소되고, 이로인해 상기 박막 패턴을 형성할 시에 언 에치 또는 오버 에치와 같은 불량이 감소된다.Therefore, the amorphous carbon film formed by the method of the present invention is very suitable for use as a hard mask film. Therefore, by using the amorphous carbon film as a hard mask film, a thin film pattern of a semiconductor device having a fine line width can be formed. In addition, warpage of the substrate is reduced to reduce focus defects in the photolithography process, thereby reducing defects such as unetched or over-etched when forming the thin film pattern.

이와같이, 높은 평탄도를 갖는 상기 비정질 탄소막을 사용함으로써, 미세한 패턴을 갖는 반도체 소자를 형성할 수 있으며, 상기 반도체 소자를 제조할 시에 공정 불량을 감소시킬 수 있다.As described above, by using the amorphous carbon film having high flatness, a semiconductor device having a fine pattern can be formed, and process defects can be reduced when the semiconductor device is manufactured.

도 1은 본 발명에 따른 비정질 탄소막을 형성하기에 적합한 구조의 증착 장치를 나타내는 단면도이다.
도 2는 본 발명의 일 실시예에 따른 비정질 탄소막 형성 방법을 나타내는 흐름도이다.
도 3 내지 도 8은 본 발명의 일 실시예에 따른 패턴 형성 방법을 나타내는 단면도들이다.
도 9는 샘플 3 및 비교 샘플 2, 3에서 기판의 각 위치별로 측정된 비정질 탄소막의 두께를 나타낸 것이다.
도 10은 상기 샘플 3 및 비교 샘플 2, 3의 각 비정질 탄소막에서 측정된 다이아몬드/그라파이터(이하, D/G)의 세기(intensity) 이다.
도 12는 표 3에 기재된 것과 같은 조건으로 형성된 비교 샘플 #1 내지 #7에서 비정질 탄소막의 두께이다.
도 13은 표 3에 기재된 것과 같은 조건으로 형성된 비교 샘플 #1 내지 #7에서 비정질 탄소막의 흡광 계수이다.
도 14는 표 4에 기재된 것과 같은 조건으로 비정질 탄소막을 각각 형성하였을 때, 각각의 샘플에서 측정된 흡광 계수를 나타낸 것이다.
도 15 내지 도 18은 본 발명의 다른 실시예에 따른 패턴 형성 방법을 나타내는 단면도들이다.
도 19 내지 도 22는 본 발명의 다른 실시예에 따른 패턴 형성 방법을 나타내는 단면도들이다.
도 23 내지 도 27은 본 발명의 일 실시예에 따른 디램 소자의 제조 방법을 설명하기 위한 단면도들이다.
도 28은 본 발명의 일 실시예의 방법에 따라 제조되는 메모리 소자들을 포함하는 반도체 장치를 나타낸다.
도 29는 본 발명의 일 실시예에 따라 제조되는 메모리 소자를 포함하는 휴대장치를 도시한다.
도 30은 본 발명의 일 실시예의 방법에 따라 제조되는 메모리 소자들을 포함하는 반도체 장치를 나타낸다.
도 31은 본 발명의 일 실시예의 방법에 따라 제조되는 메모리 소자들을 포함하는 반도체 장치를 나타낸다.
1 is a cross-sectional view showing a deposition apparatus having a structure suitable for forming an amorphous carbon film according to the present invention.
2 is a flowchart illustrating a method of forming an amorphous carbon film according to an embodiment of the present invention.
3 to 8 are cross-sectional views showing a pattern forming method according to an embodiment of the present invention.
9 shows the thickness of the amorphous carbon film measured at each position of the substrate in Sample 3 and Comparative Samples 2 and 3. FIG.
FIG. 10 is an intensity of diamond / graphite (hereinafter, D / G) measured in each of the amorphous carbon films of Sample 3 and Comparative Samples 2 and 3.
12 is the thickness of the amorphous carbon film in Comparative Samples # 1 to # 7 formed under the same conditions as those shown in Table 3. FIG.
13 is an extinction coefficient of an amorphous carbon film in Comparative Samples # 1 to # 7 formed under the same conditions as those shown in Table 3. FIG.
FIG. 14 shows absorbance coefficients measured in each sample when the amorphous carbon films were formed under the same conditions as those shown in Table 4. FIG.
15 to 18 are cross-sectional views illustrating a method of forming a pattern according to another exemplary embodiment of the present invention.
19 to 22 are cross-sectional views illustrating a method of forming a pattern according to another exemplary embodiment of the present invention.
23 to 27 are cross-sectional views illustrating a method of manufacturing a DRAM device according to an embodiment of the present invention.
28 illustrates a semiconductor device including memory elements fabricated according to the method of one embodiment of the present invention.
29 illustrates a portable device including a memory device manufactured according to an embodiment of the present invention.
30 illustrates a semiconductor device including memory elements fabricated according to the method of one embodiment of the present invention.
31 illustrates a semiconductor device including memory elements fabricated according to the method of one embodiment of the present invention.

이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하고자 한다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings.

본 발명에서, 각 도면을 설명하면서 유사한 참조부호를 유사한 구성요소에 대해 사용하였다. 첨부된 도면에 있어서, 구조물들의 치수는 본 발명의 명확성을 기하기 위하여 실제보다 확대하여 도시한 것이다.In the present invention, like reference numerals are used for like elements in describing the drawings. In the accompanying drawings, the dimensions of the structures are shown in an enlarged scale than actual for clarity of the invention.

본 발명에서, 제1, 제2 등의 용어는 다양한 구성요소들을 설명하는데 사용될 수 있지만, 상기 구성요소들은 상기 용어들에 의해 한정되어서는 안 된다. 상기 용어들은 하나의 구성요소를 다른 구성요소로부터 구별하는 목적으로만 사용된다.In the present invention, the terms first, second, etc. may be used to describe various elements, but the elements should not be limited by the terms. The terms are used only for the purpose of distinguishing one component from another.

본 발명에서 사용한 용어는 단지 특정한 실시예를 설명하기 위해 사용된 것으로, 본 발명을 한정하려는 의도가 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 본 출원에서, "포함하다" 또는 "가지다" 등의 용어는 명세서 상에 기재된 특징, 숫자, 단계, 동작, 구성요소, 부품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 숫자, 단계, 동작, 구성요소, 부품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해되어야 한다.The terminology used herein is for the purpose of describing particular example embodiments only and is not intended to be limiting of the present invention. Singular expressions include plural expressions unless the context clearly indicates otherwise. In the present application, the terms "comprises" or "having" and the like are used to specify that there is a feature, a number, a step, an operation, an element, a component or a combination thereof described in the specification, But do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, or combinations thereof.

본 발명에 있어서, 각 층(막), 영역, 전극, 패턴 또는 구조물들이 대상체, 기판, 각 층(막), 영역, 전극 또는 패턴들의 "상에", "상부에" 또는 "하부"에 형성되는 것으로 언급되는 경우에는 각 층(막), 영역, 전극, 패턴 또는 구조물들이 직접 기판, 각 층(막), 영역, 또는 패턴들 위에 형성되거나 아래에 위치하는 것을 의미하거나, 다른 층(막), 다른 영역, 다른 전극, 다른 패턴 또는 다른 구조물들이 대상체나 기판 상에 추가적으로 형성될 수 있다.In the present invention, each layer (film), region, electrode, pattern or structures is formed on, "on" or "bottom" of the object, substrate, each layer (film), region, electrode or pattern. When referred to as being meant that each layer (film), region, electrode, pattern or structure is formed directly over or below the substrate, each layer (film), region or patterns, or other layer (film) Other regions, different electrodes, different patterns, or different structures may be additionally formed on the object or the substrate.

본문에 개시되어 있는 본 발명의 실시예들에 대해서, 특정한 구조적 내지 기능적 설명들은 단지 본 발명의 실시예를 설명하기 위한 목적으로 예시된 것으로, 본 발명의 실시예들은 다양한 형태로 실시될 수 있으며 본문에 설명된 실시예들에 한정되는 것으로 해석되어서는 안된다.For the embodiments of the invention disclosed herein, specific structural and functional descriptions are set forth for the purpose of describing an embodiment of the invention only, and it is to be understood that the embodiments of the invention may be practiced in various forms, But should not be construed as limited to the embodiments set forth in the claims.

본 발명은 다양한 변경을 가할 수 있고 여러 가지 형태를 가질 수 있는 바, 특정 실시예들을 도면에 예시하고 본문에 상세하게 설명하고자 한다. 그러나, 이는 본 발명을 특정한 개시 형태에 대해 한정하려는 것이 아니며, 본 발명의 사상 및 기술 범위에 포함되는 모든 변경, 균등물 내지 대체물을 포함하는 것으로 이해되어야 한다.
As the inventive concept allows for various changes and numerous embodiments, particular embodiments will be illustrated in the drawings and described in detail in the text. However, this is not intended to limit the present invention to the specific disclosed form, it should be understood to include all modifications, equivalents, and substitutes included in the spirit and scope of the present invention.

도 1은 본 발명에 따른 비정질 탄소막을 형성하기에 적합한 구조의 증착 장치를 나타내는 단면도이다.1 is a cross-sectional view showing a deposition apparatus having a structure suitable for forming an amorphous carbon film according to the present invention.

도 1을 참조하면, 증착 챔버(10)가 구비된다. 상기 증착 챔버(10)의 내부에는 기판(W)을 지지하기 위한 서셉터(12)가 구비된다. 상기 서셉터(12)의 가장자리 부위에는 상기 기판(W)을 가이드하기 위한 가이드 링(14)이 구비된다. 또한, 상기 서셉터(12) 내부에는 히터(16)가 구비되어 있어, 상기 기판(W)이 특정 온도가 되도록 조절할 수 있다.Referring to FIG. 1, a deposition chamber 10 is provided. The susceptor 12 for supporting the substrate W is provided in the deposition chamber 10. The edge portion of the susceptor 12 is provided with a guide ring 14 for guiding the substrate (W). In addition, the susceptor 12 is provided with a heater 16, so that the substrate (W) can be adjusted to a specific temperature.

상기 서셉터(12)와 대향하여, 샤워 헤드(18)가 구비된다. 상기 샤워 헤드(18)는 챔버(10) 외부에 구비되는 증착 가스 공급부(22)와 연결되어 있다. 또한, 상기 증착 가스 공급부(22)로부터 공급된 증착 가스는 상기 샤워 헤드(18)에 생성되어 있는 가스 분출구들을 통해 상기 기판(W) 상으로 도입된다. 상기 샤워 헤드(18)에는 고주파 전원(20)이 접속되어 있고, 상기 고주파 전원(20)으로부터 공급되는 전력에 의해 상기 증착 가스들이 플라즈마 상태가 되도록 할 수 있다.Opposing the susceptor 12, a shower head 18 is provided. The shower head 18 is connected to the deposition gas supply part 22 provided outside the chamber 10. In addition, the deposition gas supplied from the deposition gas supply unit 22 is introduced onto the substrate W through the gas outlets generated in the shower head 18. A high frequency power source 20 is connected to the shower head 18, and the deposition gases may be in a plasma state by the power supplied from the high frequency power source 20.

또한, 상기 증착 챔버(10)에는 진공 펌프(도시안됨)가 구비되어 있어, 상기 가스들이 챔버 외부로 배기된다.
In addition, the deposition chamber 10 is provided with a vacuum pump (not shown), and the gases are exhausted out of the chamber.

실시예 1Example 1

도 2는 본 발명의 일 실시예에 따른 비정질 탄소막 형성 방법을 나타내는 흐름도이다.2 is a flowchart illustrating a method of forming an amorphous carbon film according to an embodiment of the present invention.

도 1 및 2를 참조하면, 먼저 증착 장치의 챔버(10) 내에 기판(W)을 위치시킨다.(S10) 상기 기판(W) 상에는 식각 대상막이 증착되어 있을 수도 있다. 상기 식각 대상막은 예를들어, 실리콘 산화물, 실리콘 질화물, 실리콘 산화물, 단결정 실리콘, 실리콘 질화물, SiOC, SiON 일 수 있다. 이들은 단독으로 증착될 수도 있으며, 2 이상이 적층되어 있을 수도 있다. 이와는 달리, 단결정 실리콘으로 이루어지는 기판 자체가 식각 대상막이 될 수도 있다.1 and 2, first, the substrate W is positioned in the chamber 10 of the deposition apparatus. (S10) An etching target layer may be deposited on the substrate W. The etching target layer may be, for example, silicon oxide, silicon nitride, silicon oxide, single crystal silicon, silicon nitride, SiOC, or SiON. These may be deposited alone, or two or more may be laminated. Alternatively, the substrate itself made of single crystal silicon may be an etching target film.

상기 기판(W)은 상기 챔버(10) 내의 서셉터(12) 상에 위치하게 된다. 상기 서셉터(12)는 증착 공정 시의 공정 온도로 가열되어 있는 것이 바람직하다. 즉, 상기 서셉터(12)는 400 내지 500℃의 온도 범위 내의 일정한 온도를 유지하고 있다. 또한, 상기 샤워 헤드(18)에는 고주파 전원(20)이 인가된다.The substrate W is positioned on the susceptor 12 in the chamber 10. It is preferable that the susceptor 12 is heated at the process temperature at the time of a vapor deposition process. That is, the susceptor 12 maintains a constant temperature within the temperature range of 400 to 500 ℃. In addition, a high frequency power source 20 is applied to the shower head 18.

다음에, 상기 400 내지 500℃의 온도 범위에서, 상기 챔버(10) 내에 탄화 수소(CxHy)를 포함하는 증착 가스, 케리어 가스와, 산소 및 산화 탄소로 이루어지는 군에서 선택된 조절 가스를 유입한다. 이로써, 상기 기판(W) 상에 비정질 탄소막을 형성한다. 즉, 상기 비정질 탄소막을 형성하는 공정에서, 증착 가스 및 케리어 가스 이외에도 조절 가스가 더 유입되는 것이다. (S12)Next, in the temperature range of 400 to 500 ° C, a deposition gas containing a hydrocarbon (CxHy), a carrier gas, and a control gas selected from the group consisting of oxygen and carbon oxide are introduced into the chamber 10. As a result, an amorphous carbon film is formed on the substrate (W). That is, in the process of forming the amorphous carbon film, a control gas is further introduced in addition to the deposition gas and the carrier gas. (S12)

이하에서, 상기 비정질 탄소막을 형성하기 위한 증착 공정을 보다 상세하게 설명한다.Hereinafter, a deposition process for forming the amorphous carbon film will be described in more detail.

본 실시예에서, 상기 증착 공정 시의 증착 온도는 400 내지 500℃이다.In the present embodiment, the deposition temperature in the deposition process is 400 to 500 ℃.

400℃ 보다 낮은 온도에서 상기 증착 공정을 수행하는 경우에는 상기 비정질 탄소막에 과도한 인장 스트레스(tensile stress)가 발생된다. 때문에, 상기 기판은 인장 방향으로 크게 휘게 된다. 또한, 500℃ 보다 높은 온도에서 상기 증착 공정을 수행하는 경우에는 상기 비정질 탄소막에 과도한 압축 스트레스(compressive stress)가 발생된다. 때문에, 기판이 압축 방향으로 크게 휘게 된다.When the deposition process is performed at a temperature lower than 400 ° C., excessive tensile stress is generated in the amorphous carbon film. Therefore, the substrate is largely bent in the tensile direction. In addition, when the deposition process is performed at a temperature higher than 500 ° C., excessive compressive stress is generated in the amorphous carbon film. Therefore, the substrate is largely bent in the compression direction.

그런데, 본 실시예에서와 같이, 400 내지 500℃의 온도 범위에서 증착 공정을 수행하는 경우, 기판이 받는 스트레스가 매우 감소된다. 구체적으로, 400℃ 내지 430℃의 온도에서 증착 공정을 수행하는 경우에, 상기 비정질 탄소막에 인장 스트레스가 미미하게 발생되어, 상기 기판은 인장 방향으로 다소 휠 수 있다. 반면에, 상기 480℃ 내지 430℃의 온도에서 증착 공정을 수행하는 경우에, 상기 비정질 탄소막에 압축 스트레스가 미미하게 발생되어 상기 기판은 압축 방향으로 휠 수 있다. 그러나, 상기 기판이 휘는 정도가 매우 약하기 때문에 상기 기판의 휨에 따른 문제는 거의 발생되지 않는다. 또한, 상기 430 내지 470℃의 온도에서는 기판이 받는 스트레스가 거의 없어지게 되고, 이로인해 상기 기판이 거의 휘지 않게 된다.However, as in this embodiment, when performing the deposition process in the temperature range of 400 to 500 ℃, the stress on the substrate is greatly reduced. In detail, when the deposition process is performed at a temperature of 400 ° C. to 430 ° C., the tensile stress is slightly generated in the amorphous carbon film, so that the substrate may be somewhat curved in the tensile direction. On the other hand, in the case of performing the deposition process at a temperature of 480 ℃ to 430 ℃, a slight compressive stress is generated in the amorphous carbon film may be bent in the compression direction. However, since the degree of warpage of the substrate is very weak, problems due to the warpage of the substrate are hardly generated. In addition, at the temperature of 430 to 470 ° C, the stress applied to the substrate is almost eliminated, and thus the substrate is hardly bent.

이와같이, 상기 증착 공정 시에 온도를 조절함으로써, 상기 기판의 휘는 정도를 조절할 수 있으며, 특히 기판이 받는 스트레스를 0에 가까운 정도로 감소시킬 수 있다.As such, by adjusting the temperature during the deposition process, the degree of warpage of the substrate may be adjusted, and in particular, the stress applied to the substrate may be reduced to about zero.

따라서, 상기 비정질 탄소막이 형성된 후에도 상기 기판이 휘지 않고 평탄한 상태를 가질 수 있다. 특히, 상기 기판이 300㎜의 직경을 갖는 대구경화된 기판이라 하더라도, 상기 온도 범위에서 증착 공정을 수행하는 경우 상기 기판은 거의 휘지 않고 평탄한 상태를 유지할 수 있다. 때문에, 상기 기판이 휨에 따라 발생되는 공정 불량이 크게 감소될 수 있다.Therefore, even after the amorphous carbon film is formed, the substrate may have a flat state without being bent. Particularly, even when the substrate is a large diameter substrate having a diameter of 300 mm, when the deposition process is performed in the temperature range, the substrate may be maintained in a flat state with little bending. Because of this, process defects generated as the substrate is warped can be greatly reduced.

상기에서 설명한 것과 같이, 상기 증착 공정 시에는 탄화 수소(CxHy), 케리어 가스를 사용하고, 이에 더하여 산소, 산화 탄소 중 적어도 하나를 포함하는 조절 가스를 사용한다. 상기 산화 탄소는 CO 또는 CO2를 포함할 수 있다.As described above, in the deposition process, hydrocarbon (CxHy) and a carrier gas are used, and in addition, a control gas including at least one of oxygen and carbon oxide is used. The carbon oxide may include CO or CO 2 .

상기 탄화 수소는 상기 비정질 탄소막을 형성하기 위한 증착 소오스 가스이다. 상기 비정질 탄소막을 형성하기에 적합한 탄화 수소는 탄소 및 수소의 비율이 1 : 2 내지 1 : 5이다. 보다 구체적으로, 상기 탄화 수소는 에틸렌계 탄화 수소를 사용할 수 있으며, 일 예로, C3H6를 사용할 수 있다.The hydrocarbon is a deposition source gas for forming the amorphous carbon film. Hydrocarbons suitable for forming the amorphous carbon film have a ratio of carbon to hydrogen of 1: 2 to 1: 5. More specifically, the hydrocarbon may be an ethylene-based hydrocarbon, for example, C 3 H 6 It can be used.

상기 케리어 가스는 상기 탄화 수소 및 조절 가스들을 챔버로 이동시키고, 챔버 내의 분위기를 조절하는 가스이다. 상기 케리어 가스는 핼륨, 아르곤과 같은 비활성 가스를 사용할 수 있으며, 본 실시예에서는 핼륨을 사용한다.The carrier gas is a gas that moves the hydrocarbons and the regulating gases into the chamber and regulates the atmosphere within the chamber. The carrier gas may use an inert gas such as helium or argon, and in this embodiment, helium is used.

상기 탄화 수소 및 케리어 가스가 1: 1.5 이상으로, 상기 케리어 가스가 과도하게 유입되면, 탄소의 반응을 방해하게 되어 바람직하지 않다. 또한, 상기 탄화 수소 및 케리어 가스가 1: 0.7 이하로, 상기 케리어 가스가 작게 유입되면, 상기 탄화 수소가 챔버 내로 충분하게 유입되기가 어려워 바람직하지 않다. 따라서, 상기 탄화 수소 및 케리어 가스는 1 : 0.7 내지 1: 1.5의 비율로 챔버 내에 유입되는 것이 바람직하다.When the hydrocarbon and the carrier gas are 1: 1.5 or more, and the carrier gas is excessively introduced, the reaction of carbon is hindered, which is not preferable. In addition, when the hydrocarbon and the carrier gas are 1: 0.7 or less and the carrier gas is small, the hydrocarbon is hardly introduced into the chamber, which is not preferable. Therefore, the hydrocarbon and the carrier gas are preferably introduced into the chamber at a ratio of 1: 0.7 to 1: 1.5.

상기 조절 가스는 증착되는 비정질 탄소막의 탄소 결합 성분을 조절하고, 상기 비정질 탄소막의 굴절률 및 흡광 계수를 조절한다. 상기 비정질 탄소막의 흡광 계수는 상기 비정질 탄소막의 식각 선택비와 관련된다. 구체적으로, 상기 비정질 탄소막의 흡광 계수가 낮아지면, 식각 대상막과의 식각 선택비가 낮아지게 된다.The regulating gas controls the carbon bonding component of the amorphous carbon film to be deposited, and the refractive index and the extinction coefficient of the amorphous carbon film. The extinction coefficient of the amorphous carbon film is related to the etching selectivity of the amorphous carbon film. Specifically, when the absorption coefficient of the amorphous carbon film is lowered, the etching selectivity with the etching target film is lowered.

상기 조절 가스의 첨가량을 증가시키면, 상기 비정질 탄소막의 흡광 계수가 상승하게 된다. 반대로, 상기 조절 가스의 첨가량을 감소시키면, 상기 비정질 탄소막의 흡광 계수가 낮아지게 된다. 이와같이, 상기 산소 가스의 양에 따라 상기 비정질 탄소막의 흡광 계수를 0.1 내지 1까지 조절할 수 있다. 상기와 같이 0.1 내지 1까지 흡광 계수를 조절하기 위한 상기 탄화 수소와 조절 가스의 유입 비율은 20 : 1 내지 2 : 1 일 수 있다.Increasing the addition amount of the control gas increases the absorption coefficient of the amorphous carbon film. On the contrary, when the addition amount of the control gas is reduced, the absorption coefficient of the amorphous carbon film is lowered. In this way, the absorption coefficient of the amorphous carbon film can be adjusted to 0.1 to 1 in accordance with the amount of the oxygen gas. As described above, the inflow ratio of the hydrocarbon and the regulating gas for adjusting the extinction coefficient to 0.1 to 1 may be 20: 1 to 2: 1.

통상적으로, 상기 비정질 탄소막은 실리콘 산화물과의 식각 선택비 및 단결정 실리콘과의 식각 선택비를 갖도록 하기 위하여 0.41 내지 0.42 정도의 흡광 계수가 요구된다. 상기 흡광 계수를 갖도록 하기 위해서는 상기 탄화 수소와 조절 가스의 유입 비율은 5 : 1 내지 2 : 1 일 수 있다.Typically, the amorphous carbon film requires an absorption coefficient of about 0.41 to 0.42 in order to have an etching selectivity with silicon oxide and an etching selectivity with single crystal silicon. In order to have the extinction coefficient, the inflow ratio of the hydrocarbon and the regulating gas may be 5: 1 to 2: 1.

상기 조절 가스가 유입됨으로써, 상기 탄화 수소의 플라즈마 반응시에 탄소 본딩 구조가 더욱 증가되어 막이 더욱 치밀해지며, 이로인해 식각 선택비가 높아지는 것이다.As the regulating gas is introduced, the carbon bonding structure is further increased during the plasma reaction of the hydrocarbon, so that the film becomes more dense, thereby increasing the etching selectivity.

상기 탄화 수소, 핼륨 및 조절 가스의 총 유입량에 따라 증착되는 비정질 탄소막의 막질, 평탄도, 막의 증착율 등에서 큰 차이를 보인다. 이는, 상기 탄화 수소, 핼륨 및 조절 가스의 총 유입량에 따라, 발생되는 반응 부산물(by-product)의 양 및 상기 반응 부산물의 재결합 비율이 달라지기 때문으로 판단된다. 또한, 상기 탄화 수소, 핼륨 및 조절 가스의 총 유입량에 따라, 상기 챔버 내의 일부 영역에서 와류의 발생 정도가 달라지게 된다. 때문에, 상기 와류 발생에 의해 기판의 각 위치별로 증착 가스가 유입되는 정도가 달라지게 되어, 기판의 위치별로 비정질 탄소막의 두께가 서로 달라지게 된다.According to the total inflow of the hydrocarbon, helium and the control gas, there is a big difference in the film quality, flatness, deposition rate, etc. of the amorphous carbon film deposited. This is because the amount of reaction by-products generated and the recombination rate of the reaction by-products vary according to the total inflow of hydrocarbon, helium and the control gas. In addition, depending on the total inflow of hydrocarbons, helium and the control gas, the degree of generation of vortex in the region of the chamber is different. As a result, the degree of introduction of the deposition gas into each position of the substrate is changed due to the vortex generation, and the thickness of the amorphous carbon film is changed from each position of the substrate.

상기 탄화 수소, 핼륨 및 조절가스로 이루어지는 증착 가스의 단위 시간당 총 유입량은 챔버 용적량의 20%보다 크면, 상기 비정질 탄소막의 증착율이 감소되어 생산성이 떨어진다. 또한, 상기 챔버 내의 일부 영역, 예를들어, 증착 가스가 배기되는 부위에서, 상기 증착 가스의 와류가 크게 발생되어, 상기 비정질 탄소막의 평탄도(uniformaty)가 떨어지게 된다. 또한, 상기 탄화 수소, 핼륨 및 조절가스로 이루어지는 증착 가스의 단위 시간당 총 유입량은 챔버 용적량의 1%보다 작으면, 증착 가스가 너무 작아서 상기 비정질 탄소막의 증착이 정상적으로 이루어지지 않는다.If the total inflow rate per unit time of the deposition gas consisting of the hydrocarbon, helium and the control gas is greater than 20% of the volume of the chamber, the deposition rate of the amorphous carbon film is reduced to reduce productivity. In addition, in some regions of the chamber, for example, a portion where the deposition gas is exhausted, a large amount of vortex of the deposition gas is generated, resulting in poor uniformity of the amorphous carbon film. In addition, if the total inflow rate per unit time of the deposition gas consisting of the hydrocarbon, helium and the control gas is less than 1% of the chamber volume, the deposition gas is too small to deposit the amorphous carbon film normally.

따라서, 상기 탄화 수소, 핼륨 및 조절가스로 이루어지는 증착 가스의 단위 시간당 총 유입량은 챔버 용적량의 1% 내지 20%인 것이 바람직하다. 보다 바람직하게는, 상기 증착 가스의 단위 시간당 총 유입량은 챔버 용적량의 5 내지 10%인 것이 바람직하다. 상기 증착 공정 시에 챔버에 유입된 증착 가스들은 챔버 외부로 증착 가스들이 펌핑하여 배기된다. 그러므로, 상기와 같이 증착 가스를 유입시키는 경우, 상기 증착 공정 중에 상기 챔버 내에는 상기 챔버 용적량의 1% 내지 20%의 증착 가스가 남아있는 상태로 계속하여 유지된다.Therefore, it is preferable that the total inflow amount per unit time of the deposition gas which consists of said hydrocarbon, helium, and a control gas is 1%-20% of the volume of a chamber. More preferably, the total inflow rate per unit time of the deposition gas is 5 to 10% of the volume of the chamber. Deposition gases introduced into the chamber during the deposition process are exhausted by pumping deposition gases out of the chamber. Therefore, when the deposition gas is introduced as described above, the deposition gas is continuously maintained in the chamber during the deposition process with 1% to 20% of the deposition volume remaining in the chamber volume.

상기와 같이, 상기 탄화 수소, 핼륨 및 조절가스로 이루어지는 증착 가스의 단위 시간당 총 유입량이 챔버 용적량의 1 내지 20%인 경우, 상기 비정질 탄소막의 증착율이 상승하게 되어 생산성이 증가된다. 또한, 챔버 내에서 잔존하는 증착 가스량이 많지 않기 때문에 와류 발생이 감소되고, 이로인해 상기 비정질 탄소막의 평탄도(uniformaty)가 높아진다.As described above, when the total inflow rate per unit time of the deposition gas consisting of the hydrocarbon, helium and the control gas is 1 to 20% of the volume of the chamber, the deposition rate of the amorphous carbon film is increased to increase productivity. In addition, since the amount of deposition gas remaining in the chamber is not large, vortex generation is reduced, thereby increasing the uniformity of the amorphous carbon film.

상기 비정질 탄소막의 증착 소오스 가스인 상기 탄화 수소의 양이 감소되므로, 발생되는 반응 부산물(by-product)이 거의 재결합되지 않고 상기 비정질 탄소막 내에 남아있게 된다. 따라서, 상기 증착 가스의 유입량이 많을 경우에 형성된 비정질 탄소막과 비교할 때, 상기 증착 가스의 유입량이 적은 경우에는 상기 비정질 탄소막 내에는 C=C 결합 뿐 아니라, CH=CH 결합이 많이 포함된다. 상기 CH=CH 결합이 많이 포함된 비정질 탄소막은 흡광 계수가 낮아지고, 실리콘 산화물 및 단결정 실리콘과의 식각 선택비가 낮아지게 된다.Since the amount of the hydrocarbon, which is the deposition source gas of the amorphous carbon film, is reduced, the generated reaction by-products remain in the amorphous carbon film with little recombination. Therefore, as compared with the amorphous carbon film formed when the inflow amount of the deposition gas is large, when the inflow amount of the deposition gas is small, not only C = C bonds but also CH = CH bonds are contained in the amorphous carbon film. The amorphous carbon film containing a lot of CH = CH bonds has a low absorption coefficient and a low etching selectivity with silicon oxide and single crystal silicon.

그러나, 상기 조절 가스를 산소로 사용하는 경우, 상기 조절 가스에 의해 상기 산소와 탄소가 반응하거나 산소와 수소가 반응한다. 그러므로, CO2, H2O와 같은 반응물이 더 많이 생성된다. 때문에, 상기 비정질 탄소막 내의 CH=CH 결합이 감소하게 된다. 이와같이, 상기 산소 가스의 유입량에 따라 상기 비정질 탄소막 내에 포함되는 CH=CH 결합을 조절할 수 있어, 상기 비정질 탄소막의 흡광 계수를 조절할 수 있고, 실리콘 산화물 및 단결정 실리콘과의 식각 선택비를 조절할 수 있다.However, when the regulating gas is used as oxygen, the oxygen and carbon or the oxygen and hydrogen react with the regulating gas. Therefore, more reactants such as CO 2 , H 2 O are produced. Therefore, the CH = CH bond in the amorphous carbon film is reduced. As such, the CH = CH bond included in the amorphous carbon film may be adjusted according to the inflow amount of the oxygen gas, thereby controlling the absorption coefficient of the amorphous carbon film and controlling the etching selectivity with silicon oxide and single crystal silicon.

이와는 달리, 상기 조절 가스를 산화 탄소로 사용하는 경우, 상기 조절 가스와 수소가 반응한다. 그러므로, 상기 비정질 탄소막 내의 CH=CH 결합이 감소하게 된다. 이와같이, 상기 산화 탄소 가스의 유입량에 따라 상기 비정질 탄소막 내에 포함되는 CH=CH 결합을 조절할 수 있어, 상기 비정질 탄소막의 흡광 계수를 조절할 수 있고, 실리콘 산화물 및 단결정 실리콘과의 식각 선택비를 조절할 수 있다.In contrast, when the regulating gas is used as carbon oxide, the regulating gas reacts with hydrogen. Therefore, the CH = CH bond in the amorphous carbon film is reduced. As such, the CH = CH bond included in the amorphous carbon film may be adjusted according to the inflow amount of the carbon oxide gas, thereby controlling the absorption coefficient of the amorphous carbon film, and controlling the etching selectivity with silicon oxide and single crystal silicon. .

또한, 상기 조절 가스로 산화 탄소를 사용하는 경우, 기판 표면 상에 형성되는 비정질 탄소막이 국부적으로 두꺼워지거나 또는 얇아지는 것을 감소시킬 수 있다.In addition, when carbon oxide is used as the control gas, it is possible to reduce local thickening or thinning of the amorphous carbon film formed on the substrate surface.

보다 구체적으로, 200㎜의 기판이 사용되는 범용적인 플라즈마 증착 장치에서 상기 증착 공정을 수행하는 경우, 상기 탄화 수소는 100 내지 300sccm 정도를 유입할 수 있다. 그리고, 나머지 케리어 가스 및 조절 가스는 상기 언급한 비율대로 유입할 수 있다. 또한, 300㎜의 기판이 사용되는 범용적인 플라즈마 증착 장치에서 상기 증착 공정을 수행하는 경우, 상기 탄화 수소는 1000 내지 1500sccm 정도를 유입할 수 있다. 또한, 나머지 케리어 가스 및 조절 가스는 상기 언급한 비율대로 유입할 수 있다.More specifically, when the deposition process is performed in a general-purpose plasma deposition apparatus using a 200 mm substrate, the hydrocarbon may introduce about 100 to 300 sccm. Then, the remaining carrier gas and the regulating gas may be introduced at the above-mentioned ratios. In addition, when the deposition process is performed in a universal plasma deposition apparatus using a 300 mm substrate, the hydrocarbon may flow in about 1000 to 1500 sccm. In addition, the remaining carrier gas and the regulating gas may be introduced at the above-mentioned ratios.

설명한 것과 같이, 본 발명에 의하면, 대구경화된 기판의 휨 및 스트레스가 거의 발생되지 않도록 하면서 비정질 탄소막을 형성할 수 있다. 상기 방법에 의해 형성된 비정질 탄소막은 대구경화된 기판 내에서 매우 높은 평탄도를 갖고, 높은 증착율로 형성할 수 있다. 또한, 상기 비정질 탄소막은 사용자가 원하는 수준의 높은 흡광계수를 갖도록 조절하여 형성할 수 있으며, 이로인해, 원하는 수준의 식각 선택비를 갖도록 형성할 수 있다.As described, according to the present invention, an amorphous carbon film can be formed while hardly causing warping and stress of a large diameter substrate. The amorphous carbon film formed by the above method has a very high flatness in a large diameter substrate and can be formed at a high deposition rate. In addition, the amorphous carbon film may be formed to be adjusted to have a high absorbance coefficient of a user desired level, thereby, may be formed to have a desired level of etching selectivity.

따라서, 상기 비정질 탄소막은 미세한 선폭을 갖는 패턴 또는 콘택들을 형성하기 위한 하드 마스크막으로써 사용하기에 매우 적합하다.
Therefore, the amorphous carbon film is very suitable for use as a hard mask film for forming patterns or contacts having a fine line width.

도 3 내지 도 8은 본 발명의 일 실시예에 따른 패턴 형성 방법을 나타내는 단면도들이다.3 to 8 are cross-sectional views showing a pattern forming method according to an embodiment of the present invention.

본 실시예에서는 소자 분리를 위한 트렌치를 형성하는 것으로 설명한다.In the present embodiment, a trench for device isolation will be described.

도 3을 참조하면, 단결정 실리콘으로 이루어진 기판(100) 상에 비정질 탄소막(102)을 형성한다. 상기 비정질 탄소막(102)은 후속 공정에서 상기 기판(100)을 식각하기 위한 하드 마스크로 사용된다. 본 실시예에서는, 식각 대상막이 단결정 실리콘 기판이 된다.Referring to FIG. 3, an amorphous carbon film 102 is formed on a substrate 100 made of single crystal silicon. The amorphous carbon film 102 is used as a hard mask for etching the substrate 100 in a subsequent process. In this embodiment, the etching target film becomes a single crystal silicon substrate.

이와는 다른 실시예로, 상기 기판(100) 상에 별도의 식각 대상막(도시안됨)을 증착하고, 상기 식각 대상막 상에 비정질 탄소막을 형성할 수도 있다. 이 때, 상기 식각 대상막은 실리콘 산화물, 실리콘 질화물, SiC, SiCN, SiON 일 수 있다. 이들은 단독으로 증착될 수도 있고, 2 이상이 적층될 수도 있다.In another embodiment, a separate etching target layer (not shown) may be deposited on the substrate 100, and an amorphous carbon layer may be formed on the etching target layer. In this case, the etching target layer may be silicon oxide, silicon nitride, SiC, SiCN, SiON. These may be deposited alone or two or more may be laminated.

상기 비정질 탄소막(102)은 상기 도 2를 참조로 설명한 것과 동일한 공정을 통해 형성한다. 따라서, 상기 비정질 탄소막(102)이 형성된 기판(100)은 거의 휘지 않고 상부면이 평탄한 형상을 갖는다. 또한, 상기 기판(100)상에 형성된 비정질 탄소막(102)의 평탄도(uniformity)가 매우 우수하다.The amorphous carbon film 102 is formed through the same process as described with reference to FIG. 2. Accordingly, the substrate 100 on which the amorphous carbon film 102 is formed has almost no bend and has a flat top surface. In addition, the uniformity of the amorphous carbon film 102 formed on the substrate 100 is very excellent.

도 4를 참조하면, 상기 비정질 탄소막(102) 상에 실리콘 산 질화막(104, SiON)을 형성한다. 상기 실리콘 산 질화막(104)은 후속의 상기 비정질 탄소막(102)을 식각하는 공정에서, 식각되지 않는 부분의 비정질 탄소막(102)을 보호하기 위하여 제공된다. 상기 실리콘 산 질화막(104) 상에 하부 반사 방지 코팅막(106, BARC, Bottom Anti-Reflect Coating)을 형성한다. 상기 하부 반사 방지 코팅막(106) 상에 포토레지스트막(108)을 형성한다. 본 실시예에서는, 상기 비정질 탄소막(102)을 식각 마스크로 사용하기 때문에, 상기 포토레지스트막(108)을 얇게 형성하여도 된다.Referring to FIG. 4, a silicon oxynitride film 104 (SiON) is formed on the amorphous carbon film 102. The silicon oxynitride film 104 is provided to protect the amorphous carbon film 102 in an unetched portion in a subsequent process of etching the amorphous carbon film 102. A bottom anti-reflective coating (106, BARC) is formed on the silicon oxynitride layer 104. A photoresist film 108 is formed on the lower antireflective coating film 106. In this embodiment, since the amorphous carbon film 102 is used as an etching mask, the photoresist film 108 may be formed thin.

도 5를 참조하면, 상기 포토레지스트막(108)을 사진 공정을 통해 패터닝함으로써 포토레지스트 패턴(108a)을 형성한다. 상기 포토레지스트막(108)의 두께가 얇기 때문에, 패턴이 무너지지 않도록 하면서 좁은 선폭 및 간격을 갖는 포토레지스트 패턴(108a)을 형성할 수 있다.Referring to FIG. 5, the photoresist film 108 is patterned through a photolithography process to form a photoresist pattern 108a. Since the photoresist film 108 is thin, the photoresist pattern 108a having a narrow line width and spacing may be formed while the pattern is not collapsed.

다음에, 상기 포토레지스트 패턴(108a)을 식각 마스크로 사용하여 상기 하부 반사 방지 코팅막(106) 및 실리콘 산 질화막(104)을 순차적으로 식각함으로써, 하부 반사 방지 코팅 패턴(106a) 및 실리콘 산 질화막 패턴(104a)을 형성한다.Next, the lower antireflective coating layer 106 and the silicon oxynitride layer 104 are sequentially etched using the photoresist pattern 108a as an etch mask, thereby forming the lower antireflective coating pattern 106a and the silicon oxynitride layer pattern. Form 104a.

도 6을 참조하면, 상기 실리콘 산 질화막 패턴(104a), 하부 반사 방지 코팅 패턴(106a) 및 포토레지스트 패턴(108a)이 적층된 구조(도 5, 110)를 식각 마스크로 사용하여, 상기 비정질 탄소막(102)을 식각한다. 상기 식각 공정을 통해, 하드 마스크 패턴으로 사용되기 위한 비정질 탄소막 패턴(102a)이 형성된다.Referring to FIG. 6, the amorphous carbon layer is formed by using a structure (FIGS. 5 and 110) in which the silicon oxynitride layer pattern 104a, the lower anti-reflective coating pattern 106a, and the photoresist pattern 108a are stacked as an etching mask. Etch 102. Through the etching process, an amorphous carbon film pattern 102a to be used as a hard mask pattern is formed.

이 때, 상기 비정질 탄소막(102)의 평탄도가 매우 우수하기 때문에, 상기 비정질 탄소막(102)을 식각하여 형성된 비정질 탄소막 패턴(102a)의 두께는 매우 균일하다.In this case, since the flatness of the amorphous carbon film 102 is very excellent, the thickness of the amorphous carbon film pattern 102a formed by etching the amorphous carbon film 102 is very uniform.

만일, 본 실시예와 달리, 비정질 탄소막의 두께가 위치에 따라 일정하지 않다면, 상기 비정질 탄소막이 두껍게 형성된 부위에서는 일부 두께만큼 막이 식각되지 않고 남아있을 수 있다. 또한, 상기 비정질 탄소막이 얇게 형성된 부위에서는 과도하게 식각이 이루어지게 되어 비정질 탄소막 아래의 하부막까지 어택이 가해질 수 있다. 그러나, 본 실시예의 비정질 탄소막은 막의 평탄도가 우수하므로, 상기 비정질 탄소막(102)의 식각 공정이 완료되였을 때 상기 비정질 탄소막(102)이 언에치되거나 또는 오버에치 된 부분이 없이 정상적인 비정질 탄소막 패턴(102a)이 형성될 수 있다.If, unlike the present embodiment, the thickness of the amorphous carbon film is not constant according to the position, the film may remain without etching by a certain thickness at a portion where the amorphous carbon film is formed thick. In addition, in the portion where the amorphous carbon film is thinly formed, the etching is excessively performed, and an attack may be applied to the lower layer under the amorphous carbon film. However, since the amorphous carbon film of the present embodiment is excellent in film flatness, when the etching process of the amorphous carbon film 102 is completed, the amorphous carbon film 102 is not normally etched or overetched. The carbon film pattern 102a may be formed.

상기 비정질 탄소막 패턴(102a)을 형성하기 위한 식각 공정을 수행하면, 식각 내성이 높지 않은 상기 포토레지스트 패턴 및 하부 반사 방지 코팅막은 대부분 식각되어 제거되고 실리콘 산 질화막 패턴(104a)만이 일부 남아있게 된다.When the etching process for forming the amorphous carbon film pattern 102a is performed, most of the photoresist pattern and the lower anti-reflective coating film which are not highly etch resistant are etched away, and only a part of the silicon oxynitride film pattern 104a remains.

도 7을 참조하면, 상기 비정질 탄소막 패턴(102a) 및 실리콘 산 질화막 패턴(104a)을 식각 마스크로 사용하여 식각 대상인 단결정 실리콘 기판(100)을 식각한다. 이로써, 상기 단결정 실리콘 패턴(100a)을 형성한다.Referring to FIG. 7, the single crystal silicon substrate 100 to be etched is etched using the amorphous carbon film pattern 102a and the silicon oxynitride film pattern 104a as an etching mask. As a result, the single crystal silicon pattern 100a is formed.

상기 설명한 것과 같이 형성된 상기 비정질 탄소막 패턴(102a)은 상기 단결정 실리콘에 대해 높은 식각 선택비를 갖기 때문에, 상기 기판(100)을 식각하기 위한 하드 마스크 패턴으로 사용되기에 적합하다. 따라서, 상기 단결정 실리콘을 식각하는 동안 상기 비정질 탄소막 패턴(102a)은 일부만이 제거되고 대부분 남아있게 된다.Since the amorphous carbon film pattern 102a formed as described above has a high etching selectivity with respect to the single crystal silicon, it is suitable to be used as a hard mask pattern for etching the substrate 100. Therefore, only part of the amorphous carbon film pattern 102a is removed and most of the remaining part is left while the single crystal silicon is etched.

만일, 본 실시예와 달리, 비정질 탄소막 패턴의 두께가 일정하지 않고 위치별로 차이가 있다면, 상기 식각 공정을 수행할 때 상기 비정질 탄소막의 두께가 얇은 부위에서 기판을 충분히 마스킹하지 못하게 된다. 이로인해, 상기 단결정 실리콘 패턴의 불량이 야기될 수 있다. 그러나, 본 실시예의 비정질 탄소막 패턴(102a)은 두께가 매우 일정하기 때문에, 상기 식각 공정을 통해 상기 단결정 실리콘 패턴(100a)을 균일하게 형성할 수 있다.Unlike the present embodiment, if the thickness of the amorphous carbon film pattern is not constant and varies from location to location, the substrate may not be sufficiently masked at a portion where the thickness of the amorphous carbon film is thin when the etching process is performed. As a result, a defect of the single crystal silicon pattern may be caused. However, since the amorphous carbon film pattern 102a of the present embodiment has a very constant thickness, the single crystal silicon pattern 100a may be uniformly formed through the etching process.

도 8을 참조하면, 상기 단결정 실리콘 패턴(100a) 상에 남아있는 비정질 탄소막 패턴(102a)을 제거한다. 상기 비정질 탄소막 패턴(102a)의 제거는 에싱 및 스트립 공정을 통해 수행될 수 있다.Referring to FIG. 8, the amorphous carbon film pattern 102a remaining on the single crystal silicon pattern 100a is removed. Removal of the amorphous carbon film pattern 102a may be performed through an ashing and stripping process.

설명한 것과 같이, 높은 선택비를 갖고, 균일한 높이를 갖는 비정질 탄소막 패턴을 하드 마스크로 사용함으로써, 미세한 선폭 및 간격을 갖는 단결정 실리콘 패턴을 형성할 수 있다.
As described, by using an amorphous carbon film pattern having a high selectivity and having a uniform height as a hard mask, a single crystal silicon pattern having a fine line width and spacing can be formed.

기판의 스트레스 특성 실험Stress Characteristic Test of Substrate

샘플 1Sample 1

본 발명의 일 실시예에 따라 300㎜ 기판 상에 비정질 탄소막을 형성하였다. 샘플 1의 비정질 탄소막은 450℃의 온도에서, 반응 가스 C3H6, 케리어 가스 He 및 조절 가스 O2를 증착 가스로 사용한 플라즈마 증착 공정을 통해 비정질 탄소막을 형성하였다.According to one embodiment of the present invention, an amorphous carbon film was formed on a 300 mm substrate. The amorphous carbon film of Sample 1 formed an amorphous carbon film through a plasma deposition process using a reaction gas C 3 H 6 , a carrier gas He and a control gas O 2 as a deposition gas at a temperature of 450 ° C.

샘플 2Sample 2

본 발명의 일 실시예에 따라 300㎜ 기판 상에 비정질 탄소막을 형성하였다. 샘플 2의 비정질 탄소막은 400℃의 온도에서, 반응 가스 C3H6, 케리어 가스 He 및 조절 가스 O2를 증착 가스로 사용한 플라즈마 증착 공정을 통해 비정질 탄소막을 형성하였다. 상기 증착 가스는 샘플 1과 동일하게 사용하였다.According to one embodiment of the present invention, an amorphous carbon film was formed on a 300 mm substrate. The amorphous carbon film of Sample 2 formed an amorphous carbon film through a plasma deposition process using a reaction gas C 3 H 6 , carrier gas He, and controlled gas O 2 as a deposition gas at a temperature of 400 ° C. The deposition gas was used in the same manner as in sample 1.

비교 샘플 1Comparison sample 1

본 발명과 비교하기 위하여, 300㎜ 기판 상에 비정질 탄소막을 형성하였다. 비교 샘플 1의 비정질 탄소막은 300℃의 온도에서, 반응 가스 C3H6, 케리어 가스 He 및 조절 가스 O2를 증착 가스로 사용한 플라즈마 증착 공정을 통해 비정질 탄소막을 형성하였다. 상기 증착 가스는 샘플 1과 동일하게 사용하였다.In order to compare with the present invention, an amorphous carbon film was formed on a 300 mm substrate. The amorphous carbon film of Comparative Sample 1 formed an amorphous carbon film through a plasma deposition process using a reaction gas C 3 H 6 , carrier gas He, and controlled gas O 2 as a deposition gas at a temperature of 300 ° C. The deposition gas was used in the same manner as in sample 1.

상기 샘플 1, 2 및 비교 샘플 1의 기판에 발생된 스트레스를 측정하여 표 1에 나타내었다.The stresses generated on the substrates of Samples 1 and 2 and Comparative Sample 1 were measured and shown in Table 1.

[표 1]TABLE 1

Figure pat00001
Figure pat00001

표 1에서와 같이, 비교 샘플 1에서는 인장 스트레스가 상대적으로 크게 나타났으며, 샘플 1 및 2에서는 인장 스트레스가 매우 작게 나타났다.As shown in Table 1, the tensile stress was relatively large in Comparative Sample 1, and the tensile stress was very small in Samples 1 and 2.

이와같이, 400 내지 500℃의 온도 범위에서 증착 공정을 수행함으로써, 대구경화된 300㎜ 기판에서 스트레스를 거의 0에 가깝게 할 수 있었다. 또한, 상기 기판의 휨이 거의 발생되지 않도록 할 수 있었다.
As such, by carrying out the deposition process in the temperature range of 400 to 500 ° C., the stress could be made close to zero in a large diameter 300 mm substrate. In addition, it was possible to hardly cause warping of the substrate.

비정질 탄소막의 평탄도 실험Flatness Experiment of Amorphous Carbon Film

샘플 3Sample 3

본 발명의 일 실시예에 따라 200㎜ 기판 상에 비정질 탄소막을 형성하였다. 샘플 3의 비정질 탄소막은 450℃의 온도에서, C3H6 가스를 165sccm 유입하고, He 가스를 100sccm 유입하고, 산소를 60sccm 유입하는 조건으로 형성되었다.According to one embodiment of the present invention, an amorphous carbon film was formed on a 200 mm substrate. The amorphous carbon film of Sample 3 was formed under the condition of flowing 165 sccm of C 3 H 6 gas, flowing 100 sccm of He gas, and flowing 60 sccm of oxygen at a temperature of 450 ° C.

비교 샘플 2Comparison sample 2

본 발명과 비교하기 위하여, 200㎜ 기판 상에 비정질 탄소막을 형성하였다. 비교 샘플 2의 비정질 탄소막은 450℃의 온도에서, C3H6 가스를 165sccm 유입하고, He 가스를 100sccm 유입하는 조건으로 형성되었다. 한편, 증착 공정 시에 산소는 유입하지 않았다.In order to compare with the present invention, an amorphous carbon film was formed on a 200 mm substrate. The amorphous carbon film of Comparative Sample 2 was formed under the condition of introducing 165 sccm of C 3 H 6 gas and introducing 100 sccm of He gas at a temperature of 450 ° C. On the other hand, oxygen did not flow in during the deposition process.

비교 샘플 3Comparison sample 3

본 발명과 비교하기 위하여, 200㎜ 기판 상에 비정질 탄소막을 형성하였다. 비교 샘플 3의 비정질 탄소막은 450℃의 온도에서, C3H6 가스를 700sccm 유입하고, He 가스를 225sccm 유입하는 조건으로 형성되었다. 한편, 증착 공정 시에 산소는 유입하지 않았다.In order to compare with the present invention, an amorphous carbon film was formed on a 200 mm substrate. The amorphous carbon film of Comparative Sample 3 was formed under the condition of flowing 700 sccm of C 3 H 6 gas and flowing 225 sccm of He gas at a temperature of 450 ° C. On the other hand, oxygen did not flow in during the deposition process.

상기 샘플 3 및 비교 샘플 2, 3에서 기판의 각 위치별로 비정질 탄소막의 두께를 측정하였다. 즉, 상기 기판의 좌측 가장자리, 중심 및 우측 가장자리로 각 위치를 변경하면서 각 위치별로 비정질 탄소막의 두께를 측정하였다.In Sample 3 and Comparative Samples 2 and 3, the thickness of the amorphous carbon film was measured for each position of the substrate. That is, the thickness of the amorphous carbon film was measured for each position while changing each position to the left edge, the center, and the right edge of the substrate.

도 9는 상기 샘플 3 및 비교 샘플 2, 3에서 기판의 각 위치별로 측정된 비정질 탄소막의 두께를 나타낸 것이다.9 shows the thickness of the amorphous carbon film measured at each position of the substrate in Sample 3 and Comparative Samples 2 and 3. FIG.

도 9를 참조하면, 도면부호 150은 샘플 3에서 측정된 비정질 탄소막의 두께이고, 도면부호 152는 비교 샘플 2에서 측정된 비정질 탄소막의 두께이고, 도면부호 154는 비교 샘플 3에서 측정된 비정질 탄소막의 두께이다.Referring to FIG. 9, reference numeral 150 denotes the thickness of the amorphous carbon film measured in Sample 3, reference numeral 152 denotes the thickness of the amorphous carbon film measured in Comparative Sample 2, and reference numeral 154 denotes the amorphous carbon film measured in Comparative Sample 3. Thickness.

샘플 3에서 중심 부위와 가장자리 부위의 두께 차이가 매우 감소되었음을 알 수 있다. 이로인해, 샘플 3의 비정질 탄소막의 평탄도가 가장 양호함을 알 수 있다. 특히, 증착 가스의 유입량이 상대적으로 많았던 상기 비교 샘플 3에서, 중심 부위와 가장자리 부위의 두께 차이가 매우 컸다. 이러한 결과로, 상기 증착 가스의 유입량이 증가될수록 평탄도가 불량해짐을 알 수 있었다.
In Sample 3, it can be seen that the difference in thickness between the center portion and the edge portion was greatly reduced. This shows that the flatness of the amorphous carbon film of Sample 3 is the best. In particular, in Comparative Sample 3 in which the inflow amount of deposition gas was relatively large, the thickness difference between the center portion and the edge portion was very large. As a result, it was found that the flatness becomes poor as the inflow amount of the deposition gas increased.

비정질 탄소막 결정화 분석Amorphous Carbon Film Crystallization Analysis

상기 샘플 3 및 비교 샘플 2, 3에서 각각의 기판에 형성된 비정질 탄소막에 대해 라만 스펙트럼(Raman spectrum)분석을 실시하였다.Raman spectrum analysis was performed on the amorphous carbon films formed on the respective substrates in Sample 3 and Comparative Samples 2 and 3.

도 10은 상기 샘플 3 및 비교 샘플 2, 3의 각 비정질 탄소막에서 측정된 다이아몬드/그라파이터(이하, D/G)의 세기(intensity) 이다.FIG. 10 is an intensity of diamond / graphite (hereinafter, D / G) measured in each of the amorphous carbon films of Sample 3 and Comparative Samples 2 and 3.

도 10에서, 도면부호 210은 샘플 3에서 측정된 것이고, 도면부호 212는 비교 샘플 2에서 측정된 것이고, 도면부호 214는 비교 샘플 3에서 측정된 것이다.In FIG. 10, reference numeral 210 is measured in Sample 3, 212 is measured in Comparative Sample 2, and 214 is measured in Comparative Sample 3.

도 10을 참조하면, 본 발명의 일 실시예에 따라 형성된 샘플 3의 비정질 탄소막은 D/G가 가장 높게 나타났다. 증착 가스의 유입량이 상대적으로 많았던 상기 비교 샘플 3의 비정질 탄소막에서도 D/G가 다소 높게 나타났다. 반면에, 증착 가스의 유입량이 상대적으로 작으면서 산소 가스가 유입되지 않은 비교 샘플 2의 비정질 탄소막에서는 D/G가 가장 낮게 나타났다.Referring to FIG. 10, the amorphous carbon film of Sample 3 formed according to an embodiment of the present invention showed the highest D / G. D / G was also slightly higher in the amorphous carbon film of Comparative Sample 3, which had a relatively high inflow rate of deposition gas. On the other hand, D / G was lowest in the amorphous carbon film of Comparative Sample 2 in which the deposition gas inflow was relatively small and oxygen gas was not introduced.

이러한 결과로, 증착 가스의 유입량이 작은 경우는 증착 가스의 유입량이 많은 경우에 비해 결정화도가 낮아짐을 알 수 있었다. 그러나, 증착 가스의 유입량을 작게 하더라도, 본원 발명에서와 같이, 산소 가스를 유입함으로써, 결정화도를 상승시킬 수 있음을 알 수 있었다. 이와같이, 비정질 탄소막의 결정화도를 상승시킴으로써, 상기 비정질 탄소막을 더욱 치밀하게 형성할 수 있다.
As a result, it can be seen that, when the inflow amount of the deposition gas is small, the degree of crystallinity is lower than when the inflow amount of the deposition gas is large. However, it was found that even if the inflow amount of the deposition gas is small, the degree of crystallinity can be increased by introducing oxygen gas as in the present invention. In this way, by increasing the crystallinity of the amorphous carbon film, the amorphous carbon film can be formed more densely.

비정질 탄소막의 특성 실험Characteristic test of amorphous carbon film

샘플 4Sample 4

본 발명의 일 실시예에 따라 300㎜ 기판 상에 비정질 탄소막을 형성하였다. 샘플 4의 비정질 탄소막은 400℃의 온도에서, C3H6 가스를 1200sccm 유입하고, He 가스를 1000sccm 유입하고, 산소 가스를 90sccm 유입하는 조건으로 형성되었다.According to one embodiment of the present invention, an amorphous carbon film was formed on a 300 mm substrate. The amorphous carbon film of Sample 4 was formed under the condition of introducing 1200 sccm of C 3 H 6 gas, introducing 1000 sccm of He gas, and introducing 90 sccm of oxygen gas at a temperature of 400 ° C.

샘플 5Sample 5

본 발명의 일 실시예에 따라, 300㎜ 기판 상에 비정질 탄소막을 형성하였다. 샘플 5의 비정질 탄소막은 400℃의 온도에서, C3H6 가스를 1200sccm 유입하고, He 가스를 1000sccm 유입하고, CO2를 90sccm 유입하는 조건으로 형성되었다.According to one embodiment of the invention, an amorphous carbon film was formed on a 300 mm substrate. The amorphous carbon film of Sample 5 was formed under the condition of introducing 1200 sccm of C 3 H 6 gas, 1000 sccm of He gas, and 90 sccm of CO 2 at a temperature of 400 ° C.

상기 샘플 4, 5의 비정질 탄소막의 특성을 표 2에 나타내었다.Table 2 shows the characteristics of the amorphous carbon films of Samples 4 and 5.

[표 2]TABLE 2

Figure pat00002
Figure pat00002

표 2에서와 같이, 샘플 4 및 샘플 5는 휨 특성이 양호하다.As in Table 2, Sample 4 and Sample 5 have good warping characteristics.

상기 두께 범위는 각 샘플에서 가장 높은 두께 및 낮은 두께의 두께 차이이다. 샘플 4 및 5의 두께 범위가 평균 두께의 5% 이내로 작다. 즉, 샘플 4 및 5는 국부적으로 두께가 높거나 또는 낮지 않다. 특히, 샘플 5의 경우 두께 범위는 평균 두께의 약 3% 이내로 작다.
The thickness range is the thickness difference between the highest and low thickness in each sample. The thickness ranges of samples 4 and 5 are small, within 5% of the average thickness. That is, samples 4 and 5 are neither locally high nor low in thickness. In particular, for sample 5 the thickness range is small, within about 3% of the average thickness.

비정질 탄소막의 식각 내성 실험Etch Resistance Experiments of Amorphous Carbon Membranes

샘플 6Sample 6

본 발명의 일 실시예에 따라 300㎜ 기판 상에 2000Å의 두께로 실리콘 질화막을 형성하였다. 상기 실리콘 질화막 상에 비정질 탄소막을 형성하였다. 상기 비정질 탄소막은 400℃의 온도에서, C3H6 가스를 1200sccm 유입하고, He 가스를 1000sccm 유입하고, CO2를 90sccm 유입하는 조건으로 형성되었다. 또한, 상기 비정질 탄소막을 패터닝하여 비정질 탄소막 패턴을 형성한다. 이 후, 상기 비정질 탄소막 패턴을 식각 마스크로 사용하여 노출된 상기 실리콘 질화막이 제거되도록 정확히 식각하였다. 이로써, 실리콘 질화막 패턴을 형성하였다.According to an embodiment of the present invention, a silicon nitride film was formed on a 300 mm substrate with a thickness of 2000 mm 3. An amorphous carbon film was formed on the silicon nitride film. The amorphous carbon film was formed under a condition of introducing 1200 sccm of C 3 H 6 gas, introducing 1000 sccm of He gas, and introducing 90 sccm of CO 2 at a temperature of 400 ° C. In addition, the amorphous carbon film is patterned to form an amorphous carbon film pattern. Thereafter, using the amorphous carbon film pattern as an etching mask, the exposed silicon nitride film was accurately etched to remove the silicon nitride film. As a result, a silicon nitride film pattern was formed.

비교 샘플 4Comparison sample 4

본 발명과 비교하기 위하여, 300㎜ 기판 상에 2000Å의 두께로 실리콘 질화막을 형성하였다. 상기 실리콘 질화막 상에 비정질 탄소막을 형성하였다. 상기 비정질 탄소막은 400℃의 온도에서, C3H6 가스를 1200sccm 유입하고, He 가스를 1000sccm 유입하는 조건으로 형성되었다. 또한, 상기 비정질 탄소막을 패터닝하여 비정질 탄소막 패턴을 형성한다. 이 후, 상기 비정질 탄소막 패턴을 식각 마스크로 사용하여 노출된 상기 실리콘 질화막이 제거되도록 정확히 식각하였다. 이로써, 실리콘 질화막 패턴을 형성하였다.In order to compare with the present invention, a silicon nitride film was formed on a 300 mm substrate with a thickness of 2000 mm 3. An amorphous carbon film was formed on the silicon nitride film. The amorphous carbon film was formed under a condition of introducing 1200 sccm of C 3 H 6 gas and introducing 1000 sccm of He gas at a temperature of 400 ° C. In addition, the amorphous carbon film is patterned to form an amorphous carbon film pattern. Thereafter, using the amorphous carbon film pattern as an etching mask, the exposed silicon nitride film was accurately etched to remove the silicon nitride film. As a result, a silicon nitride film pattern was formed.

도 11은 상기 샘플 6 및 비교 샘플 4에서 기판의 각 위치별로 측정된 비정질 탄소막의 두께를 나타낸 것이다.FIG. 11 illustrates thicknesses of amorphous carbon films measured at respective positions of a substrate in Sample 6 and Comparative Sample 4. FIG.

도 11을 참조하면, 도면부호 160a은 샘플 6에서 측정된 비정질 탄소막 패턴의 기판 각 위치별 두께 및 평균 두께이고, 도면부호 162a는 비교 샘플 4에서 측정된 비정질 탄소막 패턴의 기판 각 위치별 두께 및 평균 두께이다. 도면부호 160b은 샘플 6에서 비정질 탄소막 패턴 및 실리콘 질화막을 합한 기판 각 위치별 두께 및 평균 두께이고, 도면부호 162b는 비교 샘플 4에서 비정질 탄소막 패턴 및 실리콘 질화막 패턴을 합한 기판 각 위치별 두께 및 평균 두께이다. 측정된 기판의 각 위치는 기판의 중심부(CEN), 하부(BOT), 중심과 하부 사이(B/M)이다.Referring to FIG. 11, reference numeral 160a denotes a thickness and an average thickness of each amorphous carbon film pattern of the amorphous carbon film pattern measured in Sample 6, and reference numeral 162a denotes a thickness and an average of each amorphous substrate film pattern of the amorphous carbon film pattern measured in Comparative Sample 4 Thickness. Reference numeral 160b denotes the thickness and average thickness of each substrate in which the amorphous carbon film pattern and the silicon nitride film are combined in Sample 6, and reference numeral 162b denotes the thickness and average thickness of each substrate in which the amorphous carbon film pattern and the silicon nitride film pattern in the Comparative Sample 4 are added. to be. Each position of the substrate measured is the center portion CEN, the bottom portion BOT, the center and the bottom portion B / M of the substrate.

상기 식각 공정을 수행한 후, 샘플 6에서 남아있는 비정질 탄소막 패턴은 약 800Å정도였다. 반면에, 비교 샘플 4에 남아있는 비정질 탄소막 패턴은 약 700Å정도였다. 또한, 상기 식각 공정을 수행한 후, 샘플 6에서 남아있는 비정질 탄소막 패턴 및 실리콘 질화막 패턴의 두께 합은 약 2900Å정도였다. 반면에, 비교 샘플 4에 남아있는 비정질 탄소막 패턴 및 실리콘 질화막 패턴의 두께 합은 약 2800Å정도였다.After performing the etching process, the amorphous carbon film pattern remaining in Sample 6 was about 800 mm 3. On the other hand, the amorphous carbon film pattern remaining in Comparative Sample 4 was about 700 GPa. In addition, after performing the etching process, the sum of the thicknesses of the amorphous carbon film pattern and the silicon nitride film pattern remaining in Sample 6 was about 2900 mm 3. On the other hand, the sum of the thicknesses of the amorphous carbon film pattern and the silicon nitride film pattern remaining in Comparative Sample 4 was about 2800 mm 3.

이와같이, 상기 샘플 6은 비교 샘플 4에 비해 식각 후 남아있는 비정질 탄소막 패턴이 더 두꺼운 것을 알 수 있었다. 즉, 증착 가스에 CO를 포함하여 형성된 비정질 탄소막의 식각 내성이 더 우수함을 알 수 있었다. 그러므로, 하지막을 식각하기 위한 하드 마스크로 사용하기에 더 적합함을 알 수 있었다.As such, it was found that the sample 6 had a thicker amorphous carbon film pattern remaining after etching than the comparative sample 4. That is, it was found that the etching resistance of the amorphous carbon film formed by including CO in the deposition gas was better. Therefore, it can be seen that it is more suitable for use as a hard mask for etching the underlayer.

각 샘플들에 대해, 비정질 탄소막 패턴의 두께 뿐 아니라, 비정질 탄소막 패턴 및 실리콘 질화막 패턴의 두께 합을 각각 측정한 것은, 두께 측정에 대한 오차를 감소시키고 두께 변동을 서로 비교하기 위함이다.For each of the samples, the thicknesses of the amorphous carbon film pattern and the silicon nitride film pattern, as well as the thickness of the amorphous carbon film pattern, respectively, were measured to reduce the error on the thickness measurement and to compare the thickness variation with each other.

또한, 도 11에 도시된 것과 같이, 상기 샘플 6은 비교 샘플 4보다 기판의 각 위치별로 비정질 탄소막 패턴의 두께의 차이가 더 작음을 알 수 있다. 이와 유사하게, 상기 샘플 6은 비교 샘플 4보다 기판의 각 위치별로 비정질 탄소막 패턴 및 실리콘 질화막 패턴의 두께 합의 차이가 더 작음을 알 수 있다. 즉, 상기 샘플 6은 비교 샘플 4와 비교할 때 비정질 탄소막 패턴이 기판의 각 위치별로 균일한 두께를 갖는다.
In addition, as shown in FIG. 11, it can be seen that the sample 6 has a smaller difference in thickness of the amorphous carbon film pattern for each position of the substrate than the comparative sample 4. Similarly, it can be seen that the sample 6 has a smaller difference in the sum of the thicknesses of the amorphous carbon film pattern and the silicon nitride film pattern for each position of the substrate than the comparative sample 4. That is, the sample 6 has a uniform thickness of the amorphous carbon film pattern for each position of the substrate when compared with the comparative sample 4.

비정질 탄소막의 증착율 및 흡광 계수 비교 실험Comparison of Deposition Rate and Absorption Coefficient of Amorphous Carbon Films

200㎜의 기판 상에, 표 2에 기재된 것과 같이, 다양한 조건으로 증착 가스를 유입하여 비정질 탄소막을 형성하였다. 또한, 동일한 시간동안 상기 와 같이 증착 가스를 유입하여 증착 공정을 수행하고 난 후, 증착 가스 유입량에 따른 비정질 탄소막의 두께를 비교하였다. 이는 증착 가스 유입량과 비정질 탄소막의 증착율의 관계를 알아보기 위한 실험으로써, 모든 비교 샘플에는 산소가 유입하지 않은 조건으로 비정질 탄소막이 증착되었다.On the 200 mm substrate, as shown in Table 2, deposition gas was introduced under various conditions to form an amorphous carbon film. In addition, after performing the deposition process by introducing the deposition gas as described above for the same time, the thickness of the amorphous carbon film according to the deposition gas inflow amount was compared. This experiment was conducted to investigate the relationship between the deposition gas inflow rate and the deposition rate of the amorphous carbon film. All the comparative samples were deposited with the amorphous carbon film under the condition that oxygen was not introduced.

[표 3][Table 3]

Figure pat00003
Figure pat00003

표 3에 기재된 것과 같은 조건으로 비정질 탄소막을 각각 형성하였을 때, 각각의 비교 샘플에서 비정질 탄소막 두께를 측정하였다. 또한, 각각의 샘플에서 흡광 계수를 측정하였다.When each amorphous carbon film was formed under the conditions as described in Table 3, the amorphous carbon film thickness was measured in each of the comparative samples. In addition, the extinction coefficient was measured in each sample.

도 12는 표 3에 기재된 것과 같은 조건으로 형성된 비교 샘플 #1 내지 #7에서 비정질 탄소막의 두께이다. 도 13은 표 3에 기재된 것과 같은 조건으로 형성된 비교 샘플 #1 내지 #7에서 비정질 탄소막의 흡광 계수이다.12 is the thickness of the amorphous carbon film in Comparative Samples # 1 to # 7 formed under the same conditions as those shown in Table 3. FIG. 13 is an extinction coefficient of an amorphous carbon film in Comparative Samples # 1 to # 7 formed under the same conditions as those shown in Table 3. FIG.

도 12를 참조하면, 증착 소오스 가스인 C3H6의 양이 증가될수록 막의 두께가 감소됨을 알 수 있었다. C3H6가스의 양이 동일한 경우, 케리어 가스인 헬륨 가스의 양에 따라 증착 두께가 다소 바뀌게 됨을 알 수 있었다. 즉, 핼륨 가스의 양이 증가될수록 상기 비정질 탄소막의 증착율이 증가됨을 알 수 있었다.Referring to FIG. 12, it can be seen that the thickness of the film decreases as the amount of the deposition source gas C 3 H 6 increases. When the amount of C 3 H 6 gas is the same, it can be seen that the deposition thickness is somewhat changed depending on the amount of helium gas, which is a carrier gas. That is, it was found that the deposition rate of the amorphous carbon film increased as the amount of helium gas increased.

또한, 도 13을 참조하면, 증착 소오스 가스인 C3H6의 양이 감소될수록 흡광계수가 감소됨을 알 수 있었다.13, it can be seen that the absorption coefficient decreases as the amount of C 3 H 6 , which is a deposition source gas, decreases.

설명한 것과 같이, C3H6의 양을 감소시켜 막의 증착율을 증가시키는 경우, 막의 흡광 계수가 낮아짐을 알 수 있었다. 예를들어, 샘플 #7의 경우 1900Å/min의 높은 증착율로 비정질 탄소막이 형성되었지만, 상기 비정질 탄소막은 0.37 정도의 낮은 흡광 계수를 가지게 되어, 식각 선택비가 높지 않게 된다. 때문에, 상기 비정질 탄소막은 하드 마스크 패턴으로 사용하기에는 적합하지 않았다.
As described, it was found that when the amount of C 3 H 6 was decreased to increase the deposition rate of the film, the absorption coefficient of the film was lowered. For example, in the case of Sample # 7, an amorphous carbon film was formed at a high deposition rate of 1900 mW / min, but the amorphous carbon film had a low extinction coefficient of about 0.37, so that the etching selectivity was not high. Therefore, the amorphous carbon film was not suitable for use as a hard mask pattern.

산소를 이용한 흡광 계수 조절 실험Experiment of extinction coefficient control using oxygen

200㎜의 기판 상에, 표 3에 기재된 것과 같이 증착 가스를 유입하여 본 발명의 일 실시예에 따른 비정질 탄소막들을 각각 형성하였다.On a 200 mm substrate, deposition gas was introduced as shown in Table 3 to form amorphous carbon films according to an embodiment of the present invention, respectively.

[표 4] [Table 4]

Figure pat00004
Figure pat00004

표 4에 기재된 것과 같은 조건으로 비정질 탄소막을 각각 형성하였을 때, 각각의 샘플에서 흡광 계수를 측정하였다.When each of the amorphous carbon films was formed under the conditions as described in Table 4, the extinction coefficient was measured in each sample.

도 14는 표 4에 기재된 것과 같은 조건으로 비정질 탄소막을 각각 형성하였을 때, 각각의 샘플에서 측정된 흡광 계수를 나타낸 것이다.FIG. 14 shows absorbance coefficients measured in each sample when the amorphous carbon films were formed under the same conditions as those shown in Table 4. FIG.

도 14를 참조하면, 본 발명의 일 실시예에서와 같이, 증착 소오스 가스 및 케리어 가스의 유량이 감소된 조건에서는, 상기 산소 가스의 양이 증가될수록 흡광 계수가 증가됨을 알 수 있다. 그러므로, 상기 산소 가스의 양을 감소시키거나 또는 증가시킴으로써, 흡광 계수를 조절할 수 있다.Referring to FIG. 14, as in the embodiment of the present invention, under the condition that the flow rates of the deposition source gas and the carrier gas are reduced, it can be seen that the absorption coefficient increases as the amount of the oxygen gas is increased. Therefore, by reducing or increasing the amount of the oxygen gas, the extinction coefficient can be adjusted.

실시예 2Example 2

도 15 내지 도 18은 본 발명의 다른 실시예에 따른 패턴 형성 방법을 나타내는 단면도들이다.15 to 18 are cross-sectional views illustrating a method of forming a pattern according to another exemplary embodiment of the present invention.

본 실시예에서는 게이트 전극을 형성하는 것으로 설명한다.In this embodiment, the gate electrode is described.

도 15를 참조하면, 단결정 실리콘으로 이루어진 기판(200) 상에 게이트 절연막(202) 및 게이트 도전막(204)을 형성한다. 상기 게이트 절연막(202)은 실리콘 산화막을 사용할 수 있다. 또한, 상기 게이트 도전막(204)은 폴리실리콘과 같은 반도체 물질 또는 텅스텐과 같은 금속 물질을 사용할 수 있다.Referring to FIG. 15, a gate insulating film 202 and a gate conductive film 204 are formed on a substrate 200 made of single crystal silicon. As the gate insulating layer 202, a silicon oxide layer may be used. In addition, the gate conductive layer 204 may use a semiconductor material such as polysilicon or a metal material such as tungsten.

상기 게이트 도전막(204) 상에 실리콘 질화막(206)을 형성한다. 상기 실리콘 질화막(206)은 상기 게이트 도전막(204)을 식각하기 위한 식각 마스크로 제공된다.A silicon nitride film 206 is formed on the gate conductive film 204. The silicon nitride layer 206 may serve as an etching mask for etching the gate conductive layer 204.

상기 실리콘 질화막(206) 상에 비정질 탄소막(208)을 형성한다. 상기 비정질 탄소막(208)은 후속 공정에서 상기 실리콘 질화막(206)을 식각하기 위한 하드 마스크로 사용된다. 즉, 본 실시예에서는, 식각 대상막이 실리콘 질화막이 된다.An amorphous carbon film 208 is formed on the silicon nitride film 206. The amorphous carbon film 208 is used as a hard mask for etching the silicon nitride film 206 in a subsequent process. That is, in this embodiment, the etching target film is a silicon nitride film.

상기 비정질 탄소막(208)은 상기 도 2를 참조로 설명한 것과 동일한 공정을 통해 형성한다. 따라서, 상기 실리콘 질화막(206) 상에 상기 비정질 탄소막(208)을 형성하더라도 상기 기판(200)은 거의 휘지 않는다. 또한, 상기 실리콘 질화막(206) 상에 형성된 비정질 탄소막(208)의 평탄도(uniformity)가 매우 우수하다.The amorphous carbon film 208 is formed through the same process as described with reference to FIG. 2. Therefore, even when the amorphous carbon film 208 is formed on the silicon nitride film 206, the substrate 200 hardly bends. In addition, the uniformity of the amorphous carbon film 208 formed on the silicon nitride film 206 is very excellent.

도 16을 참조하면, 상기 비정질 탄소막(208) 상에 실리콘 산 질화막(도시안됨) 및 하부 반사 방지 코팅막(도시안됨)을 순차적으로 형성한다. 상기 하부 반사 방지 코팅막 상에 포토레지스트 패턴(도시안됨)을 형성한다. 상기 포토레지스트 패턴은 라인 및 스페이스가 반복되는 형상을 갖는다.Referring to FIG. 16, a silicon oxynitride film (not shown) and a lower anti-reflective coating film (not shown) are sequentially formed on the amorphous carbon film 208. A photoresist pattern (not shown) is formed on the lower antireflective coating layer. The photoresist pattern has a shape in which lines and spaces are repeated.

이 후, 상기 하부 반사 방지 코팅막 및 실리콘 산 질화막을 순차적으로 식각함으로써, 실리콘 산 질화막 패턴(210a) 및 하부 반사 방지 코팅 패턴(도시안됨)을 형성한다.Thereafter, the lower antireflective coating layer and the silicon oxynitride layer are sequentially etched to form the silicon oxynitride layer pattern 210a and the lower antireflective coating pattern (not shown).

계속하여, 하부의 상기 비정질 탄소막(208)을 식각한다. 상기 식각 공정을 통해, 하드 마스크 패턴으로 사용되기 위한 비정질 탄소막 패턴(208a)이 형성된다. 상기 식각 공정 중에, 상기 반사 방지 코팅 패턴 및 포토레지스트 패턴은 대부분 제거되고, 상기 실리콘 산 질화막 패턴(210a)만 일부 남아있게 된다. 상기 설명한 공정들은 도 4 내지 도 6을 참조로 설명한 것과 동일하다.Subsequently, the amorphous carbon film 208 below is etched. Through the etching process, an amorphous carbon film pattern 208a to be used as a hard mask pattern is formed. During the etching process, most of the anti-reflection coating pattern and the photoresist pattern are removed, and only a part of the silicon oxynitride layer pattern 210a remains. The above-described processes are the same as those described with reference to FIGS. 4 to 6.

도 17을 참조하면, 상기 비정질 탄소막 패턴(208a) 및 실리콘 산 질화막 패턴(210a)을 식각 마스크로 사용하여 식각 대상인 실리콘 질화막(206)을 식각한다. 이로써, 실리콘 질화막 패턴(206a)을 형성한다. 상기 비정질 탄소막 패턴(208a)이 우수한 식각 내성을 가지므로, 상기 노출되어 있는 실리콘 질화막(206)이 식각되는 동안 상기 비정질 탄소막 패턴(208a)이 모두 소모되지 않고 남아있게 된다.Referring to FIG. 17, the silicon nitride film 206 to be etched is etched using the amorphous carbon film pattern 208a and the silicon oxynitride film pattern 210a as an etching mask. As a result, the silicon nitride film pattern 206a is formed. Since the amorphous carbon film pattern 208a has excellent etching resistance, all of the amorphous carbon film pattern 208a remains undepleted while the exposed silicon nitride film 206 is etched.

도 18을 참조하면, 잔류하는 상기 비정질 탄소막 패턴(208a)을 제거한다. 상기 비정질 탄소막 패턴(208a)의 제거는 에싱 및 스트립 공정을 통해 수행될 수 있다.Referring to FIG. 18, the remaining amorphous carbon film pattern 208a is removed. Removal of the amorphous carbon film pattern 208a may be performed through an ashing and stripping process.

상기 실리콘 질화막 패턴(206a)을 식각 마스크로 사용하여 도전막(204)을 식각함으로써 도전막 패턴(204a)을 형성한다. 상기 도전막 패턴(204a)은 게이트 전극으로 사용된다. 상기 설명한 공정을 수행하면, 미세한 선폭을 갖는 라인 및 스페이스가 반복되는 구조의 게이트 전극들을 형성할 수 있다.The conductive film pattern 204a is formed by etching the conductive film 204 using the silicon nitride film pattern 206a as an etching mask. The conductive film pattern 204a is used as a gate electrode. By performing the above-described process, gate electrodes having a structure in which lines and spaces having a fine line width are repeated may be formed.

설명하지는 않았지만, 상기 설명한 방법을 수행하여 배선으로 사용되는 다양한 도전 라인들을 형성할 수 있다. 일 예로, 상기 설명한 방법으로 반도체 메모리 소자의 비트 라인을 형성할 수도 있다.
Although not described, the above-described method may be performed to form various conductive lines used as wiring. For example, the bit line of the semiconductor memory device may be formed by the above-described method.

실시예 3Example 3

도 19 내지 도 22는 본 발명의 다른 실시예에 따른 패턴 형성 방법을 나타내는 단면도들이다.19 to 22 are cross-sectional views illustrating a method of forming a pattern according to another exemplary embodiment of the present invention.

본 실시예에서는 층간 절연막에 콘택 플러그를 형성하는 것으로 설명한다.In the present embodiment, the contact plug is formed on the interlayer insulating film.

도 19를 참조하면, 하부 구조물들(도시안됨)이 형성된 기판(250)이 마련된다. 상기 하부 구조물은 MOS 트랜지스터등을 포함할 수 있다.Referring to FIG. 19, a substrate 250 on which lower structures (not shown) are formed is provided. The lower structure may include a MOS transistor and the like.

상기 기판(250) 상에 층간 절연막(252)을 형성한다. 상기 층간 절연막(252)은 실리콘 산화물로 이루어질 수 있다.An interlayer insulating layer 252 is formed on the substrate 250. The interlayer insulating layer 252 may be formed of silicon oxide.

상기 층간 절연막(252) 상에 비정질 탄소막(254)을 형성한다. 상기 비정질 탄소막(254)은 상기 도 2를 참조로 설명한 것과 동일한 공정을 통해 형성한다. 상기 비정질 탄소막(254)은 후속 공정에서 상기 층간 절연막(252)을 식각하기 위한 하드 마스크로 사용된다. 즉, 본 실시예에서는, 식각 대상막이 실리콘 산화물이 된다.An amorphous carbon film 254 is formed on the interlayer insulating film 252. The amorphous carbon film 254 is formed through the same process as described with reference to FIG. 2. The amorphous carbon film 254 is used as a hard mask for etching the interlayer insulating film 252 in a subsequent process. That is, in this embodiment, the etching target film is silicon oxide.

도 20을 참조하면, 상기 비정질 탄소막(254) 상에 실리콘 산 질화막(도시안됨) 및 하부 반사 방지 코팅막(도시안됨)을 순차적으로 형성한다. 상기 하부 반사 방지 코팅막 상에 포토레지스트 패턴(도시안됨)을 형성한다. 상기 포토레지스트 패턴은 콘택 형성 부위에 홀이 생성되어 있는 형상을 갖는다.Referring to FIG. 20, a silicon oxynitride layer (not shown) and a lower anti-reflective coating layer (not shown) are sequentially formed on the amorphous carbon film 254. A photoresist pattern (not shown) is formed on the lower antireflective coating layer. The photoresist pattern has a shape in which holes are formed in a contact formation portion.

이 후, 상기 하부 반사 방지 코팅막 및 실리콘 산 질화막을 순차적으로 식각함으로써, 하부 반사 방지 코팅 패턴(도시안됨) 및 실리콘 산 질화막 패턴(256a)을 형성한다.Thereafter, the lower antireflective coating layer and the silicon oxynitride layer are sequentially etched to form the lower antireflective coating pattern (not shown) and the silicon oxynitride layer pattern 256a.

계속하여, 하부의 상기 비정질 탄소막(254)을 식각한다. 상기 식각 공정을 통해, 하드 마스크 패턴으로 사용되기 위한 비정질 탄소막 패턴(254a)이 형성된다. 상기 설명한 공정들은 도 4 내지 도 6을 참조로 설명한 것과 동일하다.Subsequently, a lower portion of the amorphous carbon film 254 is etched. Through the etching process, an amorphous carbon film pattern 254a to be used as a hard mask pattern is formed. The above-described processes are the same as those described with reference to FIGS. 4 to 6.

도 21을 참조하면, 상기 비정질 탄소막 패턴(254a) 및 실리콘 산 질화막 패턴(256a)을 식각 마스크로 사용하여 식각 대상인 층간 절연막(252)을 식각한다. 이로써, 상기 층간 절연막(252) 내에는 콘택홀(260)들이 형성된다.Referring to FIG. 21, the interlayer insulating layer 252 as an etching target is etched using the amorphous carbon film pattern 254a and the silicon oxynitride film pattern 256a as an etching mask. As a result, contact holes 260 are formed in the interlayer insulating layer 252.

설명한 것과 같이, 식각 내성이 우수한 비정질 탄소막 패턴(254a)을 식각 마스크로 사용하여 상기 공정을 수행하면 미세한 개구폭을 갖는 콘택홀(260)들을 형성할 수 있다.As described above, when the above process is performed using the amorphous carbon film pattern 254a having excellent etching resistance as an etching mask, the contact holes 260 having a fine opening width may be formed.

도 22를 참조하면, 남아있는 비정질 탄소막 패턴(254a)을 제거한다. 상기 비정질 탄소막 패턴(254a)의 제거는 에싱 및 스트립 공정을 통해 수행될 수 있다. 상기 콘택홀(260)들 내부에 도전 물질을 채워넣고, 상기 층간 절연막(252)의 상부면이 노출되도록 연마함으로써 콘택 플러그(262)들을 형성한다.Referring to FIG. 22, the remaining amorphous carbon film pattern 254a is removed. Removal of the amorphous carbon film pattern 254a may be performed through an ashing and stripping process. The contact plugs 262 are formed by filling a conductive material in the contact holes 260 and polishing the upper surface of the interlayer insulating layer 252 to be exposed.

상기 설명한 것과 같이, 본 발명의 실시예의 방법을 이용하여, 반도체 소자에 포함되는 다양한 패턴들을 형성할 수 있다. 또한, 상기 본 발명의 실시예들을 이용하여 디램, 에스램, 플래시 메모리, 차세대 메모리 등의 다양한 반도체 소자를 구현할 수 있다. 이하에서는, 상기 설명한 방법들을 이용하여 디램 소자를 제조하는 방법에 대해 간단히 설명하고자 한다.
As described above, various patterns included in the semiconductor device may be formed using the method of the exemplary embodiment of the present invention. In addition, various semiconductor devices, such as DRAM, SRAM, flash memory, and next-generation memory, may be implemented using the embodiments of the present invention. Hereinafter, a method of manufacturing a DRAM device using the above-described methods will be briefly described.

실시예 4Example 4

도 23 내지 도 27은 본 발명의 일 실시예에 따른 디램 소자의 제조 방법을 설명하기 위한 단면도들이다.23 to 27 are cross-sectional views illustrating a method of manufacturing a DRAM device according to an embodiment of the present invention.

도 23을 참조하면, 기판(300)에 소자 분리 공정을 수행함으로써 소자 분리막 패턴(304)을 형성한다. 상기 소자 분리 공정은 실시예 1의 도 3 내지 도 8에서 설명한 것과 동일하게 수행할 수 있다.Referring to FIG. 23, an isolation layer pattern 304 is formed by performing an isolation process on a substrate 300. The device isolation process may be performed in the same manner as described with reference to FIGS. 3 to 8 of the first embodiment.

간단히 설명하면, 도 2를 참조로 설명한 것과 동일한 공정을 수행하여, 기판(300) 상에 비정질 탄소막을 형성한다. 계속하여, 상기 비정질 탄소막 상에 실리콘 산 질화막 및 반사 방지 코팅막을 형성한다. 패터닝 공정을 통해, 상기 반사 방지 코팅막 패턴 및 실리콘 산 질화막 패턴을 형성한다. 이 후, 비정질 탄소막을 식각하여 비정질 탄소막 패턴을 형성한다. 상기 비정질 탄소막 패턴은 기판의 액티브 영역을 덮는 형상을 갖는다.Briefly, the same process as described with reference to FIG. 2 is performed to form an amorphous carbon film on the substrate 300. Subsequently, a silicon oxynitride film and an antireflective coating film are formed on the amorphous carbon film. Through the patterning process, the anti-reflection coating layer pattern and the silicon oxynitride layer pattern are formed. Thereafter, the amorphous carbon film is etched to form an amorphous carbon film pattern. The amorphous carbon film pattern has a shape covering the active region of the substrate.

상기 비정질 탄소막 패턴을 식각 마스크로 사용하여 상기 기판을 식각함으로써, 소자 분리용 트렌치(302)를 형성한다. 상기 소자 분리용 트렌치(302) 내에 절연 물질을 채워넣어 소자 분리막 패턴(304)을 형성한다.The substrate is etched using the amorphous carbon film pattern as an etch mask to form a device isolation trench 302. The isolation layer pattern 304 is formed by filling an insulating material in the isolation trench 302.

도 24를을 참조하면, 상기 소자 분리막 패턴(304)이 형성된 기판 상에 MOS 트랜지스터를 형성한다.Referring to FIG. 24, a MOS transistor is formed on a substrate on which the device isolation layer pattern 304 is formed.

이를 위하여, 우선 실시예 2의 도 15 내지 18을 참조로 설명한 것과 동일한 공정을 수행하여 MOS 트랜지스터의 게이트 구조물을 형성한다. 상기 게이트 구조물은 게이트 절연막(306), 게이트 전극(308) 및 실리콘 질화막 패턴(310)이 적층된 형상을 갖는다. 일 예로, 상기 게이트 전극(308)은 텅스텐 물질로 이루어질 수 있다.For this purpose, first, the same process as described with reference to FIGS. 15 to 18 of Embodiment 2 is performed to form a gate structure of a MOS transistor. The gate structure has a shape in which a gate insulating film 306, a gate electrode 308, and a silicon nitride film pattern 310 are stacked. For example, the gate electrode 308 may be made of a tungsten material.

상기 게이트 구조물 양측에는 스페이서(312)를 형성한다. 또한, 상기 게이트 구조 양측에 불순물을 주입시켜 불순물 영역들(314)을 형성한다. 이로써, 상기 기판(300)에는 MOS 트랜지스터들이 형성된다.Spacers 312 are formed on both sides of the gate structure. In addition, the impurity regions 314 are formed by implanting impurities into both sides of the gate structure. As a result, MOS transistors are formed in the substrate 300.

도 25를 참조하면, 상기 기판(300) 상에 MOS 트랜지스터들을 덮는 제1 층간 절연막(316)을 형성한다. 이 후, 상기 제1 층간 절연막(316)의 일부를 식각하여 상기 불순물 영역들(314)을 노출하는 제1 콘택홀(315)들을 형성한다. 상기 제1 콘택홀(315)들은 실시예 3의 도 19 내지 21을 참조로 설명한 것과 동일한 공정을 수행하여 형성할 수 있다.Referring to FIG. 25, a first interlayer insulating layer 316 is formed on the substrate 300 to cover MOS transistors. Thereafter, a portion of the first interlayer insulating layer 316 is etched to form first contact holes 315 exposing the impurity regions 314. The first contact holes 315 may be formed by performing the same process as described with reference to FIGS. 19 to 21 of the third embodiment.

이 후, 상기 제1 콘택홀(315)들 내에 도전 물질을 채워넣어 상기 불순물 영역들(314)과 전기적으로 연결되는 제1 및 제2 패드 콘택(318a, 318b)들을 각각 형성한다.Thereafter, a conductive material is filled in the first contact holes 315 to form first and second pad contacts 318a and 318b electrically connected to the impurity regions 314, respectively.

도 26을 참조하면, 상기 제1 층간 절연막(316) 상에 제2 층간 절연막(320)을 형성한다. 상기 제2 층간 절연막(320)의 일부를 식각하여 상기 제1 패드 콘택(318a)들 상부를 노출하는 제2 콘택홀(321)들을 형성한다. 상기 제2 콘택홀(321)들은 실시예 3의 도 19 내지 21을 참조로 설명한 것과 동일한 공정을 수행하여 형성할 수 있다.Referring to FIG. 26, a second interlayer insulating layer 320 is formed on the first interlayer insulating layer 316. A portion of the second interlayer insulating layer 320 is etched to form second contact holes 321 exposing upper portions of the first pad contacts 318a. The second contact holes 321 may be formed by performing the same process as described with reference to FIGS. 19 to 21 of the third embodiment.

상기 제2 콘택홀(321)들 내부를 채우면서 상기 제2 층간 절연막(320) 상에 도전막을 형성한다. 상기 도전막을 패터닝하여 비트 라인 콘택(322a) 및 비트 라인(322b)을 형성한다. 상기 도전막을 패터닝하는 공정은 실시예 2의 도 15 내지 18을 참조로 설명한 것과 동일하게 수행할 수 있다. 그러나, 상기 비트 라인 콘택(322a) 및 비트 라인(322b)을 형성하는 공정에서는 상기 게이트 절연막에 상응하는 막은 형성되지 않는다.A conductive film is formed on the second interlayer insulating layer 320 while filling the inside of the second contact holes 321. The conductive layer is patterned to form bit line contacts 322a and bit lines 322b. The process of patterning the conductive film may be performed in the same manner as described with reference to FIGS. 15 to 18 of the second embodiment. However, in the process of forming the bit line contact 322a and the bit line 322b, a film corresponding to the gate insulating film is not formed.

상기 제2 층간 절연막(320) 상에 상기 비트 라인(322b)을 덮는 제3 층간 절연막(324)을 형성한다.A third interlayer insulating layer 324 is formed on the second interlayer insulating layer 320 to cover the bit line 322b.

상기 제3 및 제2 층간 절연막(324, 320)의 일부분을 식각하여 상기 제2 콘택 패드(318b)들 상부를 노출하는 제3 콘택홀(325)들을 형성한다. 상기 제3 콘택홀(325)들 내에 도전 물질을 채워넣어 스토리지 노드 콘택(326)을 형성한다. 상기 스토리지 노드 콘택은 실시예 3의 도 19 내지 22를 참조로 설명한 것과 동일한 공정을 수행하여 형성할 수 있다.Portions of the third and second interlayer insulating layers 324 and 320 are etched to form third contact holes 325 exposing upper portions of the second contact pads 318b. The storage node contact 326 is formed by filling a conductive material in the third contact holes 325. The storage node contact may be formed by performing the same process as described with reference to FIGS. 19 to 22 of the third embodiment.

도 27을 참조하면, 상기 제3 층간 절연막(324) 상에 몰드막(도시안됨)을 형성한다. 상기 몰드막의 일부를 식각함으로써 상기 스토리지 노드 콘택 상부면을 노출하는 개구부(도시안됨)를 형성한다. 상기 개구부는 실시예 3의 도 19 내지 21을 참조로 설명한 것과 동일한 공정을 수행하여 형성할 수 있다.Referring to FIG. 27, a mold layer (not shown) is formed on the third interlayer insulating layer 324. A portion of the mold layer is etched to form an opening (not shown) that exposes an upper surface of the storage node contact. The opening may be formed by performing the same process as described with reference to FIGS. 19 to 21 of the third embodiment.

상기 개구부의 측벽 및 저면과 상기 몰드막의 상부면을 따라 하부 전극용 도전막(도시안됨)을 형성한다. 상기 하부 전극용 도전막은 폴리실리콘, 티타늄, 티타늄 질화물, 탄탈륨, 탄탈륨 질화물, 텅스텐 질화물, 루테늄 등과 같은 물질을 사용하여 형성할 수 있다. 상기 물질은 단독으로 사용하는 것이 바람직하지만, 경우에 따라서 둘 이상을 적층하여 사용할 수도 있다.A lower electrode conductive film (not shown) is formed along the sidewalls and the bottom surface of the opening and the upper surface of the mold layer. The lower electrode conductive film may be formed using a material such as polysilicon, titanium, titanium nitride, tantalum, tantalum nitride, tungsten nitride, ruthenium, or the like. It is preferable to use the above materials alone, but in some cases, two or more of them may be laminated.

상기 하부 전극용 도전막 상에 희생막(도시안됨)을 형성한 후, 상기 몰드막의 상부면이 노출되도록 상기 희생막 및 하부 전극용 도전막의 일부를 제거한다. 이로써, 상기 하부 전극용 도전막이 노드 분리되어 실린더 형상의 하부 전극(328)이 형성된다. 다음에, 상기 희생막 및 몰드막을 제거한다.After forming a sacrificial film (not shown) on the conductive film for the lower electrode, a portion of the conductive film for the sacrificial film and the lower electrode is removed to expose the upper surface of the mold film. As a result, the lower electrode conductive film is divided into nodes to form a cylindrical lower electrode 328. Next, the sacrificial film and the mold film are removed.

이 후, 상기 하부 전극(328) 상에 유전막(330) 및 상부전극(332)을 형성함으로써 커패시터가 완성된다.Thereafter, the capacitor is completed by forming the dielectric film 330 and the upper electrode 332 on the lower electrode 328.

설명한 것과 같이, 본 발명의 일 실시예에 따라 미세한 선폭 및 개구 폭을 갖는 패턴들을 포함하는 디램 소자를 제조할 수 있다.
As described above, a DRAM device including patterns having a fine line width and an opening width may be manufactured according to an embodiment of the present invention.

도 28은 본 발명의 일 실시예의 방법에 따라 제조되는 메모리 소자들을 포함하는 반도체 장치를 나타낸다.28 illustrates a semiconductor device including memory elements fabricated according to the method of one embodiment of the present invention.

도시된 바와 같이, 본 실시예에 따른 장치는 메모리(610) 및 메모리 컨트롤러(620)가 메모리 카드(630)로 구현된다.As shown, in the apparatus according to the present embodiment, the memory 610 and the memory controller 620 are implemented as a memory card 630.

상기 메모리(610)는 상술한 본 발명의 실시예들에 따른 방법으로 형성된 패턴들을 포함하는 메모리 소자이다. 상기 메모리 소자는 디램, 에스램, 플래시 메모리, 차세대 메모리 등을 포함할 수 있다. 메모리 컨트롤러(620)는 메모리(610)의 동작을 제어하는 입력 신호를 공급할 수 있다. 예를들어 메모리 제어기(610)는 명령어 및 어드레스 신호를 제공할 수 있다. 메모리 컨트롤러(620)는 수신한 제어신호에 기초해서 메모리(610)를 제어할 수 있다.The memory 610 is a memory device including patterns formed by the method according to the embodiments of the present invention described above. The memory device may include a DRAM, an SRAM, a flash memory, a next generation memory, and the like. The memory controller 620 may supply an input signal for controlling the operation of the memory 610. For example, the memory controller 610 may provide command and address signals. The memory controller 620 may control the memory 610 based on the received control signal.

상기 메모리 카드(630)는 디지털 카메라, 퍼스널 컴퓨터 등의 소비자 전자 장치와 함께 사용되기 위한 표준을 만족하는 메모리 카드일 수 있다. 메모리 컨트롤러(620)는 메모리 카드(630)가 다른 장치, 예를들어 외부 장치로부터 수신한 제어신호에 기초해서 메모리(610)를 제어할 수 있다.The memory card 630 may be a memory card that satisfies a standard for use with a consumer electronic device such as a digital camera or a personal computer. The memory controller 620 may control the memory 610 based on a control signal received by the memory card 630 from another device, for example, an external device.

도 29는 본 발명의 일 실시예에 따라 제조되는 메모리 소자를 포함하는 휴대장치를 도시한다.29 illustrates a portable device including a memory device manufactured according to an embodiment of the present invention.

도시된 바와 같이, 휴대장치(700)는 MP3, 비디오 재생기, 비디오 및 오디오 재생기 등일 수 있다. 도시된 바와 같이, 휴대장치(700)는 메모리(610) 및 메모리 컨트롤러(620)를 포함한다. 상기 메모리(610)는 상술한 본 발명의 실시예들에 따른 방법으로 형성된 패턴들을 포함하는 메모리 소자이다. 상기 메모리 소자는 디램, 에스램, 플래시 메모리, 차세대 메모리 등을 포함할 수 있다. 휴대장치(700)는 인코더 및 디코더(EDC)(710), 표시부재(720) 및 인터페이스(730)를 포함할 수 있다. 데이터(비디오, 오디오 등)는 메모리 컨트롤러(620)를 경유하여 메모리(610)와 인코더 및 디코더(EDC)(710) 사이에서 서로 주고받을 수 있다. 점선으로 표시된 바와 같이, 데이터는 메모리(610)와 인코더 및 디코더(EDC)(710) 사이에서 직접적으로 주고받을 수 있다.As shown, the portable device 700 may be an MP3, a video player, a video and audio player, or the like. As shown, the portable device 700 includes a memory 610 and a memory controller 620. The memory 610 is a memory device including patterns formed by the method according to the embodiments of the present invention described above. The memory device may include a DRAM, an SRAM, a flash memory, a next generation memory, and the like. The portable device 700 may include an encoder and decoder (EDC) 710, a display member 720, and an interface 730. Data (video, audio, etc.) may be exchanged between the memory 610 and the encoder and decoder (EDC) 710 via the memory controller 620. As indicated by the dotted lines, data may be exchanged directly between the memory 610 and the encoder and decoder (EDC) 710.

EDC(710)는 메모리(610)에 저장될 데이터를 인코드할 수 있다. 예를들어, EDC(710)는 오디오 데이터를 MP3 인코딩하여 메모리(610)에 저장할 수 있다. 또는, EDC(710)는 MPEG 비디오 데이터를 인코딩(예를들어, MPEG3, MPEG3, MPEG4 등)하여 메모리(610)에 저장할 수 있다. 또, EDC(710)는 다른 데이터 포맷에 따른 다른 유형의 데이터를 인코딩하는 다수의 인코더를 포함할 수 있다. 예를들어, EDC(710)는 오디오 데이터를 위한 MP3 인코더 및 비디오 데이터를 위한 MPEG 인코더를 포함할 수 있다. EDC(710)는 메모리(610)에서 출력되는 데이터를 디코드할 수 있다. 예를들어 EDC(710)는 메모리(610)에서 출력되는 오디오 데이터를 MP3 디코딩할 수 있다. 또는, EDC(710)는 메모리(610)에서 출력되는 비디오 데이터를 MPEG 디코딩(예를 들어, MPEG3, MPEG3, MPEG4 등)할 수 있다. 또, EDC(710)는 다른 데이터 포맷에 따른 다른 유형의 데이터를 디코딩 다수의 디코더를 포함할 수 있다.The EDC 710 may encode data to be stored in the memory 610. For example, the EDC 710 may MP3 encode audio data and store the same in the memory 610. Alternatively, the EDC 710 may encode MPEG video data (eg, MPEG3, MPEG3, MPEG4, etc.) and store the same in the memory 610. In addition, the EDC 710 may include multiple encoders for encoding different types of data according to different data formats. For example, the EDC 710 may include an MP3 encoder for audio data and an MPEG encoder for video data. The EDC 710 may decode data output from the memory 610. For example, the EDC 710 may MP3 decode audio data output from the memory 610. Alternatively, the EDC 710 may MPEG-decode (eg, MPEG3, MPEG3, MPEG4, etc.) video data output from the memory 610. In addition, the EDC 710 may include multiple decoders for decoding different types of data according to different data formats.

예를 들어 EDC(710)는 오디오 데이터를 위한 MP3 디코더 및 비디오 데이터를 위한 MPEG 디코더를 포함할 수 있다. 또 EDC(710)는 디코더만을 포함할 수도 있다. 예를 들어 이미 인코드된 데이터가 EDC(710)에 전달되어 디코딩된 후 메모리 컨트롤러(620) 그리고/또는 메모리(610)에 전달될 수 있다.For example, the EDC 710 may include an MP3 decoder for audio data and an MPEG decoder for video data. In addition, the EDC 710 may include only a decoder. For example, data that has already been encoded may be transferred to the EDC 710, decoded, and then transferred to the memory controller 620 and / or the memory 610.

EDC(710)는 인터페이스(730)를 경유하여 인코딩을 위한 데이터 또는 이미 인코드된 데이터를 수신한다. 인터페이스(730)는 잘 알려진 표준(예를 들어 USB, 파이어와이어 등)을 따를 수 있다. 인터페이스(730)는 또한 하나 이상의 인터페이스를 포함할 수 있다. 예를 들어 인터페이스(730)는 파이어와이어(firewire) 인터페이스, USB 인터페이스 등을 포함할 수 있다. 메모리(610)로부터 제공된 데이터는 또한 인터페이스(730)를 거쳐 출력될 수 있다.EDC 710 receives data for encoding or already encoded data via interface 730. The interface 730 may follow well known standards (eg, USB, Firewire, etc.). Interface 730 may also include one or more interfaces. For example, the interface 730 may include a firewire interface, a USB interface, and the like. Data provided from memory 610 may also be output via interface 730.

표시부재(720)는 메모리(610) 그리고/또는 EDC(710)에 의해 디코딩된 데이터를 사용자가 인식할 수 있도록 표시한다. 예를 들어, 표시부재(720)는 비디오 데이터 등을 출력하는 표시 스크린, 오디오 데이터를 출력하는 스피커 잭 등을 포함할 수 있다.The display member 720 displays the data decoded by the memory 610 and / or the EDC 710 so that the user can recognize the data. For example, the display member 720 may include a display screen for outputting video data, a speaker jack for outputting audio data, and the like.

도 30은 본 발명의 일 실시예의 방법에 따라 제조되는 메모리 소자들을 포함하는 반도체 장치를 나타낸다.30 illustrates a semiconductor device including memory elements fabricated according to the method of one embodiment of the present invention.

도시된 바와 같이 본 실시예의 장치에 따르면, 메모리(610)는 컴퓨터 시스템(800) 내의 중앙처리장치(CPU)(810)에 연결될 수 있다.As shown, in accordance with the device of this embodiment, memory 610 may be coupled to a central processing unit (CPU) 810 within computer system 800.

예를 들어 컴퓨터 시스템(800)은 퍼스널 컴퓨터, 퍼스널 데이터 어시스턴트(assistant) 등일 수 있다. 메모리(610)는 중앙처리장치(810)에 버스(bus)를 통해서 연결될 수 있다.For example, computer system 800 may be a personal computer, personal data assistant, or the like. The memory 610 may be connected to the CPU 810 via a bus.

도 31은 본 발명의 일 실시예의 방법에 따라 제조되는 메모리 소자들을 포함하는 반도체 장치를 나타낸다.31 illustrates a semiconductor device including memory elements fabricated according to the method of one embodiment of the present invention.

도시된 바와 같이 본 실시예에 따른 장치(900)는 컨트롤러(910), 키보드, 디스플레이 등의 입출력 장치(920), 메모리(610), 인터페이스(930)를 포함할 수 있다. 본 실시예에서 장치의 각 구성은 버스(950)를 통해서 서로 연결될 수 있다. 컨트롤러(910)는 하나 이상의 마이크로프로세서, 디지털 프로세서, 마이크로컨트롤러, 또는 프로세서를 포함할 수 있다. 메모리(610)는 데이터 그리고/또는 컨트롤러(910)에 의해 실행된 명령을 저장할 수 있다. 인터페이스(930)는 다른 시스템 예를 들어 통신 네트워크로부터 또는 통신 네트워크로 데이터를 전송하는 데 사용될 수 있다. 장치(900)는 PDA 같은 모바일 시스템, 휴대용 컴퓨터, 웹 타블렛(Web tablet), 무선 전화기, 모바일 전화기, 디지털 음악 재생기, 메모리 카드 또는 정보를 송신 그리고/또는 수신할 수 있는 다른 시스템일 수 있다.As shown, the device 900 according to the present embodiment may include a controller 910, an input / output device 920 such as a keyboard, a display, a memory 610, and an interface 930. In this embodiment, each component of the device may be connected to each other via a bus 950. The controller 910 may include one or more microprocessors, digital processors, microcontrollers, or processors. The memory 610 may store data and / or instructions executed by the controller 910. Interface 930 may be used to transmit data to or from another system, such as a communication network. Device 900 may be a mobile system such as a PDA, a portable computer, a web tablet, a cordless phone, a mobile phone, a digital music player, a memory card, or other system capable of transmitting and / or receiving information.

상기 설명한 것과 같이, 본 발명에 의하면, 기판이 휘는 것을 억제하면서 식각 선택비의 조절이 가능하고 높은 평탄도를 갖는 비정질 탄소막을 형성할 수 있다. 상기 비정질 탄소막은 반도체 소자의 제조에 사용되는 다양한 박막들을 패터닝하기 위한 하드 마스크로써 사용될 수 있다. 특히, 상기 비정질 탄소막은 미세한 선폭 및 간격을 가지면서 두께가 두꺼운 패턴을 형성하기 위한 하드 마스크 패턴으로 이용될 수 있다.As described above, according to the present invention, it is possible to form an amorphous carbon film having high flatness while controlling the etching selectivity while suppressing the bending of the substrate. The amorphous carbon film may be used as a hard mask for patterning various thin films used for manufacturing a semiconductor device. In particular, the amorphous carbon film may be used as a hard mask pattern for forming a thick pattern having a fine line width and spacing.

100 : 기판 102a : 비정질 탄소막 패턴
104a : 실리콘 산 질화막 패턴 106a : 반사 방지 코팅막 패턴
108a : 포토레지스트 패턴
200 : 기판 202 : 게이트 절연막
204a : 게이트 도전막 패턴 206a : 실리콘 질화막 패턴
208a : 비정질 탄소막 패턴 210a : 실리콘 산 질화막 패턴
250 : 기판252 : 층간 절연막
254a : 비정질 탄소막 패턴 256a : 실리콘 산 질화막 패턴
260 : 콘택홀 262 : 콘택 플러그
100 substrate 102a amorphous carbon film pattern
104a: silicon oxynitride film pattern 106a: antireflective coating film pattern
108a: photoresist pattern
200 substrate 202 gate insulating film
204a: Gate conductive film pattern 206a: Silicon nitride film pattern
208a: amorphous carbon film pattern 210a: silicon oxynitride film pattern
250: substrate 252: interlayer insulating film
254a: amorphous carbon film pattern 256a: silicon oxynitride film pattern
260: contact hole 262: contact plug

Claims (10)

증착 챔버 내에 기판을 위치시키는 단계; 및
400 내지 500℃의 온도 범위에서, 상기 챔버 내에 탄화 수소를 포함하는 반응 가스, 케리어 가스와, 산소 및 산화 탄소로 이루어지는 군에서 선택된 적어도 하나로 이루어지는 조절 가스를 사용한 플라즈마 증착 공정을 통해, 기판의 휨을 억제하면서 상기 기판 상에 비정질 탄소막을 형성하는 단계를 포함하는 것을 특징으로 하는 비정질 탄소막 형성 방법.
Positioning the substrate in the deposition chamber; And
In the temperature range of 400 to 500 ° C, warpage of the substrate is suppressed through a plasma deposition process using a reactive gas containing a hydrocarbon in the chamber, a carrier gas, and at least one regulating gas selected from the group consisting of oxygen and carbon oxide. While forming an amorphous carbon film on the substrate, characterized in that it comprises an amorphous carbon film.
제1항에 있어서, 상기 비정질 탄소막을 형성하는 공정에서 챔버 내에 단위 시간당 유입되는 전체 가스의 양은 챔버 용적량의 1 내지 20%인 것을 특징으로 하는 비정질 탄소막 형성 방법.The method of claim 1, wherein the total amount of gas introduced into the chamber per unit time in the process of forming the amorphous carbon film is 1 to 20% of the volume of the chamber. 제1항에 있어서, 상기 반응 가스 및 조절 가스의 비율은 20 :1 내지 2:1 인 것을 특징으로 하는 비정질 탄소막 형성 방법.The method of claim 1, wherein the ratio of the reaction gas and the regulating gas is 20: 1 to 2: 1. 제1항에 있어서, 상기 탄화 수소에 포함되는 탄소 및 수소의 비율은 1 : 2 내지 1: 5인 것을 특징으로 하는 비정질 탄소막 형성 방법.The method of claim 1, wherein the ratio of carbon and hydrogen included in the hydrocarbon is 1: 2 to 1: 5. 제1항에 있어서, 상기 온도 범위 내에서 기판의 온도를 조절함으로써, 상기 기판이 인장 방향 또는 압축 방향으로 휘거나, 또는 상기 기판이 평탄한 상태가 되도록 조절하는 것을 특징으로 하는 비정질 탄소막 형성 방법.The amorphous carbon film forming method of claim 1, wherein the substrate is bent in the tensile direction or the compression direction or the substrate is flattened by adjusting the temperature of the substrate within the temperature range. 제1항에 있어서, 상기 산화 탄소는 일산화탄소 또는 이산화탄소를 포함하는 것을 특징으로 하는 비정질 탄소막 형성 방법.The method of claim 1, wherein the carbon oxide comprises carbon monoxide or carbon dioxide. 제1항에 있어서, 상기 챔버 내에 유입되는 조절 가스의 양을 조절함으로써, 상기 비정질 탄소막의 흡광 계수를 조절하는 것을 특징으로 하는 비정질 탄소막 형성 방법.The amorphous carbon film forming method according to claim 1, wherein the extinction coefficient of the amorphous carbon film is adjusted by adjusting an amount of a control gas introduced into the chamber. 제7항에 있어서, 상기 챔버 내에 유입되는 조절 가스의 양을 증가시켜 상기 비정질 탄소막의 흡광 계수를 높이거나, 또는 상기 챔버 내에 유입되는 산소량을 감소시켜 상기 비정질 탄소막의 흡광 계수를 낮추는 것을 특징으로 하는 비정질 탄소막 형성 방법.The method according to claim 7, wherein the amount of control gas flowing into the chamber is increased to increase the absorption coefficient of the amorphous carbon film, or the amount of oxygen introduced into the chamber is reduced to lower the absorption coefficient of the amorphous carbon film. Amorphous Carbon Film Formation Method. 증착 챔버 내에, 상부면에 식각 대상막이 증착된 기판을 위치시키는 단계;
400 내지 500℃의 온도 범위에서, 상기 챔버 내에 탄화 수소를 포함하는 반응 가스, 케리어 가스와, 산소 및 산화 탄소로 이루어지는 군에서 선택된 적어도 하나로 이루어지는 조절 가스를 사용한 플라즈마 증착 공정을 통해, 기판의 휨을 억제하면서 상기 식각 대상막 상에 비정질 탄소막을 형성하는 단계;
상기 비정질 탄소막 상에 포토레지스트 패턴을 형성하는 단계;
상기 포토레지스트 패턴을 이용하여 상기 비정질 탄소막을 식각함으로써, 비정질 탄소막 패턴을 형성하는 단계; 및
상기 비정질 탄소막 패턴을 이용하여 상기 식각 대상막을 식각함으로써, 박막 패턴을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 패턴 형성 방법.
Positioning a substrate on which an etch target film is deposited on an upper surface of the deposition chamber;
In the temperature range of 400 to 500 ° C, warpage of the substrate is suppressed through a plasma deposition process using a reactive gas containing a hydrocarbon in the chamber, a carrier gas, and at least one regulating gas selected from the group consisting of oxygen and carbon oxide. Forming an amorphous carbon film on the etching target film;
Forming a photoresist pattern on the amorphous carbon film;
Forming an amorphous carbon film pattern by etching the amorphous carbon film using the photoresist pattern; And
And forming a thin film pattern by etching the etch target layer using the amorphous carbon film pattern.
제9항에 있어서, 상기 식각 대상막은 실리콘 산화물, 단결정 실리콘, 실리콘 질화물, SiOC 및 SiON로 이루어진 군에서 선택된 적어도 하나인 것을 특징으로 하는 반도체 소자의 패턴 형성 방법.The method of claim 9, wherein the etching target layer is at least one selected from the group consisting of silicon oxide, single crystal silicon, silicon nitride, SiOC, and SiON.
KR1020100010272A 2009-04-08 2010-02-04 Method for forming an amorphous carbon layer and method for forming a pattern using the same KR20100112070A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/753,939 US20100258526A1 (en) 2009-04-08 2010-04-05 Methods of forming an amorphous carbon layer and methods of forming a pattern using the same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020090030419 2009-04-08
KR20090030419 2009-04-08

Publications (1)

Publication Number Publication Date
KR20100112070A true KR20100112070A (en) 2010-10-18

Family

ID=43132151

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100010272A KR20100112070A (en) 2009-04-08 2010-02-04 Method for forming an amorphous carbon layer and method for forming a pattern using the same

Country Status (1)

Country Link
KR (1) KR20100112070A (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9941135B2 (en) 2014-10-01 2018-04-10 Samsung Electronics Co., Ltd. Methods of forming a hard mask layer and of fabricating a semiconductor device using the same
KR20200083324A (en) 2018-12-31 2020-07-08 충남대학교산학협력단 Hydrocarbon thin film, preparation method for hydrocarbon thin film and semiconductor devices comprisiing hydrocarbon thin film
CN112185809A (en) * 2019-07-01 2021-01-05 美光科技公司 Atomic implantation for reducing compressive stress
KR20210047287A (en) 2018-12-31 2021-04-29 충남대학교산학협력단 Method for producing a hydrocarbon thin film
KR20210154562A (en) 2020-06-12 2021-12-21 충남대학교산학협력단 High-k Films and Semiconductor or Capacitor Devices Comprising the Film
KR20210157756A (en) 2020-06-22 2021-12-29 충남대학교산학협력단 Method for Enhancement of Charge Mobility by Passivation Using Amorphous Hydrocarbon Thin Films

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9941135B2 (en) 2014-10-01 2018-04-10 Samsung Electronics Co., Ltd. Methods of forming a hard mask layer and of fabricating a semiconductor device using the same
KR20200083324A (en) 2018-12-31 2020-07-08 충남대학교산학협력단 Hydrocarbon thin film, preparation method for hydrocarbon thin film and semiconductor devices comprisiing hydrocarbon thin film
KR20210047287A (en) 2018-12-31 2021-04-29 충남대학교산학협력단 Method for producing a hydrocarbon thin film
CN112185809A (en) * 2019-07-01 2021-01-05 美光科技公司 Atomic implantation for reducing compressive stress
KR20210154562A (en) 2020-06-12 2021-12-21 충남대학교산학협력단 High-k Films and Semiconductor or Capacitor Devices Comprising the Film
KR20210157756A (en) 2020-06-22 2021-12-29 충남대학교산학협력단 Method for Enhancement of Charge Mobility by Passivation Using Amorphous Hydrocarbon Thin Films
KR20230058333A (en) 2020-06-22 2023-05-03 충남대학교산학협력단 Method for Enhancement of Charge Mobility by Passivation Using Amorphous Hydrocarbon Thin Films

Similar Documents

Publication Publication Date Title
US10410872B2 (en) Borane mediated dehydrogenation process from silane and alkylsilane species for spacer and hardmask application
TWI352387B (en) Etch methods to form anisotropic features for high
TWI451496B (en) Method of controlling etch microloading for a tungsten-containing layer
US8337950B2 (en) Method for depositing boron-rich films for lithographic mask applications
KR100484321B1 (en) Semiconductor device and method of manufacturing the same
KR20100112070A (en) Method for forming an amorphous carbon layer and method for forming a pattern using the same
CN101606234B (en) Etching method and recording medium
TWI424490B (en) Vertical profile fixing
CN100423208C (en) Dielectric etch method with high source and low bombardment plasma providing high etch rates
KR20110078326A (en) Method of forming a dielectric layer and manufacturing a semiconductor device using the same
JP2007110112A (en) Method of etching carbon-containing film and method of fabricating semiconductor device using same
WO2022100070A1 (en) Photoresist treatment method and self-aligned double patterning method
KR20100031962A (en) Method of etching the carbon layer and method of forming the contact hole
TW200933734A (en) Profile control in dielectric etch
JP2011066164A (en) Mask pattern forming method, and semiconductor device manufacturing method
KR20090116383A (en) Method for forming a pattern in semiconductor device and method for forming a transistor using the same
JP7417627B2 (en) Improving the tribological properties of diamond films
US20100258526A1 (en) Methods of forming an amorphous carbon layer and methods of forming a pattern using the same
JP2006093242A (en) Method of manufacturing semiconductor device
KR100890049B1 (en) Method for forming capacitor of semiconductor device
KR20060058583A (en) Conductive structure, method of manufacturing the conductive structure, semiconductor device including the conductive structure and method of manufacturing the semiconductor device
JP4301146B2 (en) Insulating film processing method
US20230395391A1 (en) Ruthenium carbide for dram capacitor mold patterning
US11823984B2 (en) Method for fabricating semiconductor device with plug structure
KR100777925B1 (en) Method for manufacturing metal wire

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination