KR20090113183A - Polyorganosiloxane compound, resin composition comprising the same, and pattern forming method thereof - Google Patents

Polyorganosiloxane compound, resin composition comprising the same, and pattern forming method thereof Download PDF

Info

Publication number
KR20090113183A
KR20090113183A KR1020090022456A KR20090022456A KR20090113183A KR 20090113183 A KR20090113183 A KR 20090113183A KR 1020090022456 A KR1020090022456 A KR 1020090022456A KR 20090022456 A KR20090022456 A KR 20090022456A KR 20090113183 A KR20090113183 A KR 20090113183A
Authority
KR
South Korea
Prior art keywords
group
acid
formula
resin composition
linear
Prior art date
Application number
KR1020090022456A
Other languages
Korean (ko)
Inventor
히데토 가토
도모요시 후리하타
마사히로 후루야
요시노리 히라노
Original Assignee
신에쓰 가가꾸 고교 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 신에쓰 가가꾸 고교 가부시끼가이샤 filed Critical 신에쓰 가가꾸 고교 가부시끼가이샤
Priority to KR1020090022456A priority Critical patent/KR20090113183A/en
Publication of KR20090113183A publication Critical patent/KR20090113183A/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/14Polysiloxanes containing silicon bound to oxygen-containing groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/04Polysiloxanes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268

Abstract

PURPOSE: A polyorganosiloxane compound is provided to obtain good film characteristics and high reliability as a protective coating so that they are widely applicable for the protection of various circuit boards. CONSTITUTION: A polyorganosiloxane compound has the average compositional formula (1): R1aSi(OR2)b(OH)cO(4-a-b-c)/2 which is modified such that some hydrogen atoms of silicon-bonded hydroxyl groups are substituted by acid labile groups of the general formula (2) and/or are crosslinked within the molecule or between molecules with a crosslinking group having a C-O-C linkage represented by the general formula (3), the polyorganosiloxane compound having a weight average molecular weight of 300 to 200,000 as measured by GPC versus polystyrene standards.

Description

폴리유기실록산 화합물, 이것을 포함하는 수지 조성물 및 이들의 패턴 형성 방법{POLYORGANOSILOXANE COMPOUND, RESIN COMPOSITION COMPRISING THE SAME, AND PATTERN FORMING METHOD THEREOF}POLYORGANOSILOXANE COMPOUND, RESIN COMPOSITION COMPRISING THE SAME, AND PATTERN FORMING METHOD THEREOF}

본 발명은, 신규한 폴리유기실록산 화합물, 이것을 포함하는 감광성 수지 조성물 및 열경화성 수지 조성물, 및 패턴 형성 방법에 관한 것이다. 상기 조성물을 이용한 기판이나 회로, 배선 등의 보호용 피막은, 특히 내열성이나 내약품성, 절연성 및 가요성으로 인해, 반도체 소자용 보호 절연막, 반도체 소자 재배선 절연막, 다층 프린트 기판용 절연막, 땜납 보호막(保護膜), 커버 레이 필름, 기반 접착막 등에 유용하게 사용된다.The present invention relates to a novel polyorganosiloxane compound, a photosensitive resin composition and a thermosetting resin composition containing the same, and a pattern forming method. Protective films such as substrates, circuits, wirings, etc. using the above-described compositions are particularly suitable for insulating films for semiconductor devices, insulating films for semiconductor devices, insulating films for multilayer printed circuit boards, and solder protective films due to heat resistance, chemical resistance, insulation, and flexibility. Iii), it is useful for coverlay film, base adhesive film, etc.

종래, 실록산계 폴리머가 드라이 에칭 내성 및 플라즈마 내성이 우수하다는 것은 알려져 있으며, 실록산계 폴리머를 레지스트 재료로서 사용하는 기술도 보고되어 있다.It is known that siloxane polymers are excellent in dry etching resistance and plasma resistance conventionally, and the technique which uses a siloxane polymer as a resist material is also reported.

일례로서, 실라놀기를 다량 포함하는 유기실록산과 광에 의해 산을 발생시키는 화합물로 이루어진 조성물에서는, 실라놀기를 알칼리 가용성기로서 사용할 수 있으며, 광 조사에 의해 발생하는 산이 실라놀 축합 촉매로서 작용함으로써, 광 조 사부를 축합 경화시켜 네가티브형 패턴을 형성할 수 있다[비특허 문헌 1: Proc. SPIE 1672, 56(1992)].As an example, in a composition composed of an organosiloxane containing a large amount of silanol groups and a compound which generates an acid by light, the silanol group can be used as an alkali-soluble group, and the acid generated by light irradiation acts as a silanol condensation catalyst. , The light irradiation portion can be condensed and cured to form a negative pattern [Non-patent Document 1: Proc. SPIE 1672, 56 (1992).

한편, 포지티브형 레지스트 재료로서 주 사슬이 실록산 결합으로 이루어진 유기폴리실록산으로서, 규소에 결합된 유기기 내에 수산기를 가지며, 그 수소 원자의 일부가 산 불안정기로 치환된 것도 알려져 있다. 일례로서는, 산해리성 에스테르기를 측쇄에 가지고 있는 폴리유기실세스퀴옥산(polyorganosilsesquioxane)을 사용한 레지스트 수지 조성물(특허 문헌 1: 일본 특허출원 공개번호 평11-60733호 공보) 및 폴리(2-히드록시카르보닐에틸)실록산의 히드록시기가 부분적으로 산해리성기로 보호된 폴리머를 포함하는 레지스트 재료(특허 문헌 2: 일본국 특허 제3175514호 공보)가 제안되어 있다. 그러나, 이들 종래의 실록산계 폴리머를 사용한 레지스트 재료는, 해상도, 감도 및 현상성 등의 레지스트 재료에 요구되는 특성을 충분히 만족시키지 못하고 있다.On the other hand, as a positive resist material, it is also known that the organic polysiloxane whose main chain consists of siloxane bonds has a hydroxyl group in the organic group couple | bonded with the silicon, and some hydrogen atoms were substituted by the acid labile group. As an example, the resist resin composition (patent document 1: Unexamined-Japanese-Patent No. 11-60733) using polyorganosilsesquioxane which has an acid dissociative ester group in the side chain, and poly (2-hydroxycar A resist material (Patent Document 2: Japanese Patent No. 3175514) is proposed which contains a polymer in which the hydroxyl group of the carbonyl siloxane is partially protected by an acid dissociable group. However, resist materials using these conventional siloxane polymers do not sufficiently satisfy characteristics required for resist materials such as resolution, sensitivity, and developability.

또한, 현재 LCD용 TFT에 사용되고 있는 층간 절연막이나 패턴을 형성하기 위한 재료로서, 고내열성, 고투명성, 저유전율 특성이나 내용제성 등의 특성이 요구되고 있다. 종래, 이들 특성을 실현하기 위하여, 페놀계 알칼리 가용성 수지, 퀴논디아지드 화합물, 열경화제 및 비등점이 150℃ 이하인 아세트산에스테르계 용매가 조합된 포지티브형 열경화 감광 재료(특허 문헌 3: 일본 특허출원 공개번호 평7-98502호 공보), 또는 페놀계 알칼리 가용성 수지, 퀴논디아지드계 화합물, 가교제 및 열감광성 산 발생제를 포함하는 포지티브형 감광성 수지 조성물(특허 문헌 4: 일본 특허출원 공개번호 2004-240144호 공보) 등이 제안되어 있다. 그러나, 이 들 재료는 내열성이나 투명성이 충분하지 않거나, 내약품성이 뒤떨어질 가능성이 있다.In addition, as materials for forming interlayer insulating films and patterns currently used in LCD TFTs, properties such as high heat resistance, high transparency, low dielectric constant, solvent resistance, and the like are required. Conventionally, in order to realize these characteristics, the positive type thermosetting photosensitive material which combined the phenolic alkali-soluble resin, the quinonediazide compound, the thermosetting agent, and the acetate ester type solvent whose boiling point is 150 degrees C or less (patent document 3: Unexamined-Japanese-Patent application) No. 7-98502) or a positive photosensitive resin composition comprising a phenolic alkali-soluble resin, a quinonediazide compound, a crosslinking agent and a thermosensitive acid generator (Patent Document 4: Japanese Patent Application Laid-open No. 2004-240144 Publications). However, these materials may not have sufficient heat resistance or transparency or may be inferior in chemical resistance.

한편, 일반적으로 실록산 폴리머가 가지고 있는 내열성이나 저유전율 특성에 착안한 재료로서, 고리화 부가 반응(cycloaddition reaction)의 생성물로부터 유래되는 실록산 단위를 함유하는 폴리실록산 화합물 및 퀴논디아지드 화합물을 포함하는 감광성 조성물(특허 문헌 5: 일본 특허 제2648969호 공보), 페놀계 수산기를 가지는 알칼리 가용성 실록산 집합체 및 퀴논디아지드 화합물을 포함하는 포지티브형 레지스트 조성물(특허 문헌 6: 일본 특허출원 공개번호 2003-255546호 공보), 실록산 폴리머, 퀴논디아지드 화합물, 실리카 미립자 및 용제를 함유하는 감광성 수지 조성물(특허 문헌 7: 일본 특허출원 공개번호 2007-41361호 공보) 등이 제안되어 있다. 그러나, 이들 재료는, 열경화 시 함유되어 있는 퀴논디아지드 화합물의 분해에 의해 착색되어, 경화막의 투명성을 저하시킬 가능성이 있다.On the other hand, a photosensitive composition comprising a polysiloxane compound and a quinonediazide compound containing a siloxane unit derived from a product of a cycloaddition reaction, which is generally a material focused on the heat resistance and low dielectric constant characteristic of a siloxane polymer. (Patent Document 5: Japanese Patent No. 2648969), Positive type resist composition containing alkali-soluble siloxane aggregate and quinonediazide compound which has a phenolic hydroxyl group (Patent Document 6: Japanese Patent Application Publication No. 2003-255546) And photosensitive resin compositions (Patent Document 7: Japanese Patent Application Laid-open No. 2007-41361) containing a siloxane polymer, a quinonediazide compound, silica fine particles, and a solvent are proposed. However, these materials may be colored by decomposition of the quinonediazide compound contained in the thermosetting, which may lower the transparency of the cured film.

[비특허 문헌 1] Proc. SPIE 1672, 56(1992)[Non-Patent Document 1] Proc. SPIE 1672, 56 (1992)

[특허 문헌 1] 일본 특허출원 공개번호 평11-60733호 공보[Patent Document 1] Japanese Patent Application Laid-Open No. 11-60733

[특허 문헌 2] 일본 특허 제3175514호 공보[Patent Document 2] Japanese Patent No. 3175514

[특허 문헌 3] 일본 특허출원 공개번호 평7-98502호 공보[Patent Document 3] Japanese Patent Application Laid-Open No. 7-98502

[특허 문헌 4] 일본 특허출원 공개번호 2004-240144호 공보[Patent Document 4] Japanese Patent Application Publication No. 2004-240144

[특허 문헌 5] 일본 특허 제2648969호 공보[Patent Document 5] Japanese Patent No. 2648969

[특허 문헌 6] 일본 특허출원 공개번호 2003-255546호 공보[Patent Document 6] Japanese Patent Application Publication No. 2003-255546

[특허 문헌 7] 일본 특허출원 공개번호 2007-41361호 공보[Patent Document 7] Japanese Patent Application Publication No. 2007-41361

본 발명은, g선, i선, KrF 엑시머 레이저 또는 ArF 엑시머 레이저로 대표되는 자외선에 대한 감광성 수지 조성물의 베이스 수지로서 적합한 폴리유기실록산 화합물, 고감도이며 프로세스 적응성이 우수한 감광성 수지 조성물과 열경화성 수지 조성물 및 패턴 형성 방법을 제공하는 것을 목적으로 한다. 본 발명의 열경화성 수지 조성물에서, 미세한 패턴을 형성할 수 있으며, 또한 패턴 형성 후, 가시광선 영역에서의 투명성이 우수한 피막을 200℃ 전후의 비교적 저온 열처리에 의해 얻을 수 있으며, 또한 이러한 피막은 각종 필름 특성이나 보호막으로서의 신뢰성이 뛰어나므로, 각종 기판 회로 보호용으로서 폭넓게 적용할 수 있다.The present invention relates to a polyorganosiloxane compound suitable as a base resin of a photosensitive resin composition to ultraviolet rays represented by g-ray, i-ray, KrF excimer laser or ArF excimer laser, a photosensitive resin composition and a thermosetting resin composition having high sensitivity and excellent process adaptability, and It is an object to provide a pattern formation method. In the thermosetting resin composition of the present invention, a fine pattern can be formed, and after the pattern is formed, a film excellent in transparency in the visible light region can be obtained by relatively low temperature heat treatment at around 200 ° C. Since it is excellent in the characteristic and reliability as a protective film, it can be applied widely for various board | substrate circuit protection.

본 발명자들은, 전술한 목적을 달성하기 위해 열심히 검토한 결과, 하기 평균 조성식 (1)로 표시된 폴리유기실록산에 포함된, 규소 원자와 직접 결합되어 있는 수산기의 일부 수소 원자가, 하기 일반식 (2)로 표시된 산 불안정기로 치환되거나 및/또는 하기 일반식 (3)으로 표시된 C-O-C기를 가진 가교기에 의해 분자내 또는 분자간 가교된 것을 특징으로 하며, GPC에 의한 측정에서 폴리스티렌 표준으로 환산한 중량 평균 분자량이 300∼200,000인 폴리유기실록산 화합물이 유용한 것을 발견하여, 본 발명을 완성하게 되었다.MEANS TO SOLVE THE PROBLEM The present inventors earnestly examined in order to achieve the objective mentioned above, and, as a result, some hydrogen atoms of the hydroxyl group couple | bonded directly with the silicon atom contained in the polyorganosiloxane represented by the following average composition formula (1), the following general formula (2) Intramolecular or intermolecular crosslinked by a crosslinking group having a COC group represented by the following general formula (3) and / or substituted with an acid labile group represented by the, characterized in that the weight average molecular weight in terms of polystyrene standard in the measurement by The polyorganosiloxane compound which is -200,000 was found to be useful, and the present invention was completed.

따라서, 본 발명은, 하기의 폴리유기실록산 화합물, 감광성 수지 조성물, 열경화성 수지 조성물 및 패턴 형성 방법을 제공한다.Therefore, this invention provides the following polyorganosiloxane compound, the photosensitive resin composition, the thermosetting resin composition, and the pattern formation method.

[청구항 1]: [Claim 1]:

하기 평균 조성식 (1)로 표시되는 폴리유기실록산에 포함된, 규소 원자와 직접 결합되어 있는 수산기의 일부 수소 원자가, 하기 일반식 (2)로 표시되는 산 불안정기로 치환되거나 및/또는 하기 일반식 (3)으로 표시되는 C-O-C기를 가지는 가교기에 의해 분자내 또는 분자간에 가교되며, 겔 투과 크로마토그래피(GPC)에 의해 측정한 폴리스티렌 표준으로 환산한 중량 평균 분자량이 300∼200,000인 것을 특징으로 하는 폴리유기실록산 화합물.Some hydrogen atoms of the hydroxyl group directly bonded to the silicon atom contained in the polyorganosiloxane represented by the following average composition formula (1) are substituted with an acid labile represented by the following general formula (2) and / or the following general formula ( Polyorganosiloxanes which are crosslinked intramolecularly or intermolecularly by a crosslinking group having a COC group represented by 3) and have a weight average molecular weight of 300 to 200,000 in terms of a polystyrene standard measured by gel permeation chromatography (GPC). compound.

R1 aSi(OR2)b(OH)cO(4-a-b-c)/2 ……(1)R 1 a Si (OR 2 ) b (OH) c O (4-abc) / 2 ... … (One)

(상기 식 (1)에서, R1은 수소 원자 또는 탄소수 1∼18의 유기기로부터 선택되는 1종 또는 2종 이상의 기이며, R2는 탄소수 1∼6의 직쇄형 또는 분지형 알킬기로부터 선택되는 1종 또는 2종 이상의 기이며, 0.3≤a≤1.6, 0≤b≤0.3, 0.001≤c≤2의 범위를 가짐)(In formula (1), R <1> is 1 type, or 2 or more types of group chosen from a hydrogen atom or a C1-C18 organic group, and R <2> is chosen from a C1-C6 linear or branched alkyl group. One or two or more groups having a range of 0.3 ≦ a ≦ 1.6, 0 ≦ b ≦ 0.3, and 0.001 ≦ c ≦ 2).

[화학식 1][Formula 1]

Figure 112009015964716-PAT00003
Figure 112009015964716-PAT00003

(상기 식 (2)에서, R3와 R4는 서로 독립적으로 수소 원자 또는 탄소수 1∼6 의 직쇄형, 분지형 또는 고리형 알킬기이고, R5는 탄소수 1∼30의 직쇄형, 분지형 또는 고리형 알킬기, 탄소수 6∼20의 아릴기 또는 탄소수 7∼20의 아르알킬기이고, R3와 R4, R3와 R5, R4와 R5는 서로 결합하여 이들이 결합하는 탄소 원자, 또는 탄소 원자 및 산소 원자와 함께 고리를 형성할 수 있으며, 고리를 형성할 경우, 고리의 형성에 관여하는 R3, R4, R5는 탄소수 1∼18의 직쇄형 또는 분지형의 알킬렌기임)(In formula (2), R <3> and R <4> is a hydrogen atom or a C1-C6 linear, branched or cyclic alkyl group independently of each other, R <5> is C1-C30 linear, branched or A cyclic alkyl group, an aryl group having 6 to 20 carbon atoms, or an aralkyl group having 7 to 20 carbon atoms, and R 3 and R 4 , R 3 and R 5 , R 4 and R 5 are bonded to each other and a carbon atom to which they are bonded, or carbon A ring may be formed together with an atom and an oxygen atom, and in the case of forming a ring, R 3 , R 4 , and R 5 , which are involved in the formation of a ring, are a linear or branched alkylene group having 1 to 18 carbon atoms)

[화학식 2][Formula 2]

Figure 112009015964716-PAT00004
Figure 112009015964716-PAT00004

(상기 식 (3)에서, R6, R7, R9, R10은 서로 독립적으로 수소 원자 또는 탄소수 1∼6의 직쇄형, 분지형 또는 고리형 알킬기이고, R6와 R7, R9과 R10은 서로 결합하여 이들이 결합하는 탄소 원자와 함께 고리를 형성할 수 있으며, 고리를 형성할 경우, 고리의 형성에 관여하는 R6, R7, R9, R10은 탄소수 1∼17의 직쇄형 또는 분지형의 알킬렌기이고, R8은 산소 원자를 포함할 수 있는 탄소수 1∼ 6의 2가 탄화수소기임)(In formula (3), R <6> , R <7> , R <9> , R <10> is a hydrogen atom or a C1-C6 linear, branched or cyclic alkyl group independently of each other, R <6> , R <7> , R <9> And R 10 may be bonded to each other to form a ring together with the carbon atom to which they are bonded. When the ring is formed, R 6 , R 7 , R 9 , and R 10 which are involved in the formation of a ring have 1 to 17 carbon atoms. Linear or branched alkylene group, R 8 is a divalent hydrocarbon group having 1 to 6 carbon atoms which may contain oxygen atoms)

[청구항 2]: [Claim 2]:

(A) 청구항 1에 기재된 폴리유기실록산 화합물, 및(A) the polyorganosiloxane compound according to claim 1, and

(B) 150∼450nm의 파장 범위의 광 조사에 의해 산을 발생시키는 광-유도성 산발생제(photo-acid-generating agent)(B) a photo-acid-generating agent that generates an acid by light irradiation in the wavelength range of 150 to 450 nm.

를 포함하는 것을 특징으로 하는 감광성 수지 조성물.Photosensitive resin composition comprising a.

[청구항 3]: [Claim 3]:

(A) 청구항 1에 기재된 폴리유기실록산 화합물,(A) the polyorganosiloxane compound according to claim 1,

(B) 150∼450nm의 파장 범위의 광 조사에 의해 산을 발생시키는 광-유도성 산발생제, 및(B) a light-induced acid generator that generates an acid by light irradiation in a wavelength range of 150 to 450 nm, and

(C) 열경화제(C) thermosetting agent

를 포함하는 것을 특징으로 하는 열경화성 수지 조성물.Thermosetting resin composition comprising a.

[청구항 4]: [Claim 4]:

(i) 청구항 2에 기재된 감광성 수지 조성물의 레지스트 막을 기판 상에 형성하는 공정,(i) forming a resist film of the photosensitive resin composition according to claim 2 on a substrate,

(ii) 상기 레지스트 막을 포토마스크를 개입시켜 150∼450nm의 파장의 광원을 포함하는 광으로 노출시키는 공정,(ii) exposing the resist film to light including a light source having a wavelength of 150 to 450 nm through a photomask;

(iii) 광 노출 후의 레지스트 막을 알칼리 수용액 현상액으로 현상하는 공정(iii) developing the resist film after light exposure with an aqueous alkali solution developer;

을 포함하는 패턴 형성 방법.Pattern forming method comprising a.

[청구항 5]: [Claim 5]:

(i) 청구항 3에 기재된 열경화성 수지 조성물의 레지스트 막을 기판 상에 형성하는 공정,(i) forming a resist film of the thermosetting resin composition according to claim 3 on a substrate,

(ii) 상기 레지스트 막을 포토마스크를 개입시켜 150∼450nm 파장의 광원을 포함하는 광으로 노출시키는 공정,(ii) exposing the resist film to light including a light source having a wavelength of 150 to 450 nm through a photomask;

(iii) 광 노출 후의 레지스트 막을 알칼리 수용액 현상액으로 현상하는 공정,(iii) developing the resist film after light exposure with an aqueous alkali solution developer;

(iv) 현상에 의해 패턴화된 레지스트 패턴 막을 100∼ 50℃ 범위의 온도에서 경화시키는 공정(iiii) A step of curing the resist pattern film patterned by development at a temperature in the range of 100 to 50 ° C.

을 포함하는 패턴 형성 방법.Pattern forming method comprising a.

본 발명의 방사선 감수성(radiation-sensitive) 수지 조성물(감광성 수지 조성물, 열경화성 수지 조성물)을 사용함으로써, 고해상의 패턴을 형성할 수 있으며, 또한 이를 열 경화시켜 수득한 경화막은 내열성, 투명성, 저유전율 특성 및 내용제성이 뛰어나므로, TFT 기판용 층간 절연막으로서 적합하게 사용된다.By using the radiation-sensitive resin composition (photosensitive resin composition, thermosetting resin composition) of the present invention, a high resolution pattern can be formed, and the cured film obtained by thermal curing is heat resistant, transparent, and low dielectric constant. And since it is excellent in solvent resistance, it is used suitably as an interlayer insulation film for TFT substrates.

본 발명의 폴리유기실록산 화합물은, 하기 평균 조성식(1)The polyorganosiloxane compound of this invention is the following average composition formula (1)

R1 aSi(OR2)b(OH)cO(4-a-b-c)/2 ……(1)R 1 a Si (OR 2 ) b (OH) c O (4-abc) / 2 ... … (One)

(상기 식 (1)에서, R1은 수소 원자 또는 탄소수 1∼18의 유기기로부터 선택된 1종 또는 2종 이상의 기이며, R2는 탄소수 1∼6의 직쇄형 또는 분지형 알킬기로부터 선택된 1종 또는 2종 이상의 기이며, 0.3≤a≤1.6, 0≤b≤0.3, 0.001≤c≤2, 특히 1≤c≤2의 범위임)(In formula (1), R <1> is 1 type or 2 or more types selected from a hydrogen atom or a C1-C18 organic group, R <2> is 1 type chosen from a C1-C6 linear or branched alkyl group. Or two or more groups, and 0.3 ≦ a ≦ 1.6, 0 ≦ b ≦ 0.3, 0.001 ≦ c ≦ 2, especially 1 ≦ c ≦ 2).

로 표시되는 화합물을 전구체로 하며, 그 후 폴리유기실록산에 함유된 실라 놀기의 일부를 산 불안정기로 치환함으로써 합성된다. 이하, 산 불안정기로 치환하기 전의 폴리유기실록산을 "전구체 폴리실록산"으로 칭하기로 한다.It is synthesize | combined by making the compound represented by the precursor into a precursor, and then substituting a part of silanol group contained in polyorganosiloxane with an acid labile group. Hereinafter, the polyorganosiloxane before replacing with an acid labile group is called "precursor polysiloxane".

먼저, 전구체 폴리실록산에 대하여 설명한다. 상기 전구체 폴리실록산의 평균 조성식에서의 R1은, 수소 원자, 또는 치환되거나 치환되지 않는 탄소수 1∼18, 바람직하게는 탄소수 1∼10의 1가 탄화수소기, 예를 들면 수소 원자; 메틸기, 에틸기, 프로필기, 부틸기, 펜틸기, 헥실기, 헵틸기, 옥틸기 등의 알킬기; 시클로펜틸기, 시클로헥실기, 노르보르닐기 등의 시클로알킬기; 비닐기, 알릴기 등의 알케닐기; 페닐기, 나프틸기 등의 아릴기; 클로로메틸기, γ-클로로프로필기, 3,3,3-트리플루오로프로필기 등의 할로겐 치환된 탄화수소기;γ-(메타)아크릴옥시프로필기, γ-글리시드옥시프로필기, 3,4-에폭시시클로헥실에틸기, γ-머캅토프로필기, γ-아미노프로필기 등의 (메타)아크릴옥시, 에폭시, 머캅토, 아미노기 치환된 탄화수소기 등을 예시할 수 있다. 이들 중에서도, 메틸기, 프로필기, 시클로헥실기, 노르보르닐기, 페닐기, 3,4-에폭시시클로헥실에틸기가 바람직하며, 특히 페닐기는 경화물의 유연성을 높이기 위해 필수로 포함하는 것이 바람직하다.First, precursor polysiloxane is demonstrated. R 1 in the average composition formula of the precursor polysiloxane is a hydrogen atom or a substituted or unsubstituted monovalent hydrocarbon group having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms, for example, a hydrogen atom; a methyl group, an ethyl group, and propyl. Alkyl groups such as group, butyl group, pentyl group, hexyl group, heptyl group, octyl group; cycloalkyl groups such as cyclopentyl group, cyclohexyl group and norbornyl group; alkenyl groups such as vinyl group and allyl group; phenyl group and naphthyl group Aryl groups such as chloromethyl group, γ-chloropropyl group, halogen substituted hydrocarbon groups such as 3,3,3-trifluoropropyl group; γ- (meth) acryloxypropyl group, γ-glycidoxy propyl group And hydrocarbon groups substituted with (meth) acryloxy, epoxy, mercapto, amino groups such as 3,4-epoxycyclohexylethyl group, γ-mercaptopropyl group, and γ-aminopropyl group. Among these, a methyl group, a propyl group, a cyclohexyl group, a norbornyl group, a phenyl group, and a 3,4-epoxycyclohexylethyl group are preferable, and it is preferable that especially a phenyl group is essential in order to raise the flexibility of hardened | cured material.

R1의 함유량 a가 0.3보다 작은 경우, 4관능성 실록산 단위의 함유량이 많아져서, 막에 크랙이 쉽게 발생하기 때문에 적합하지 않다. 한편, a가 1.6보다 큰 경우에는, 2관능성 실록산 단위의 함유량이 많아져, 막에 유연성이 부여되는 반면, 기재(基材)로의 밀착성, 알칼리 가용성을 발현시키는 데 필수적인 실라놀기의 함유량이 적어지므로 적합하지 않다.When the content a of R 1 is smaller than 0.3, the content of the tetrafunctional siloxane unit increases, which is not suitable because cracks easily occur in the film. On the other hand, when a is larger than 1.6, the content of the bifunctional siloxane unit increases, while the flexibility is imparted to the membrane, while the content of silanol groups essential for expressing adhesion to the substrate and alkali solubility is low. It is not suitable.

R2는 탄소수 1∼6의 직쇄형 또는 분지형의 알킬기이며, OR2기는 실록산 말단기에서 실라놀기(Si-OH) 이외의 것으로, 전구체 폴리실록산의 원료인 알콕시실란 유래의 알콕시 잔기 또는 전구체 폴리실록산 합성 시 반응 용매로서 사용되는 알코올의 실록산으로의 도입에 의해 생성된다. 구체적으로는, 메톡시기, 에톡시기, 프로폭시기, 부톡시기 등을 들 수 있다. 폴리유기실록산의 원료로서는, 염가의 메톡시실란, 에톡시실란의 사용이 적합하므로, OR2기는 메톡시기, 에톡시기일 경우가 많지만, 이들로 한정되는 것은 아니다.R 2 is a linear or branched alkyl group having 1 to 6 carbon atoms, and OR 2 group is other than silanol group (Si-OH) at the siloxane end group, and an alkoxy residue or precursor polysiloxane synthesis derived from alkoxysilane which is a raw material of precursor polysiloxane It is produced by the introduction of an alcohol into the siloxane which is used as the reaction solvent. Specifically, a methoxy group, an ethoxy group, a propoxy group, butoxy group etc. are mentioned. As the raw material of the polyorganosiloxane, inexpensive methoxysilane and ethoxysilane are suitably used. Therefore, the OR 2 group is often a methoxy group or an ethoxy group, but is not limited thereto.

전구체 폴리실록산으로의 산 불안정기의 도입은, 실라놀기와 산 불안정기 원료와의 반응에 의해 행해진다. 알콕시 잔기는 관여되지 않으므로, 함유량 b는 0(제로)를 포함할 수 있다. b가 0.3보다 크면, 말단기에서의 알콕시 잔기의 비율이 증가되어, 상대적으로 실라놀기의 총량이 감소하고, 이로 인해 산 불안정기의 도입량이 낮아져, 충분한 해상도를 얻게 되므로, 바람직하지 않다.Introduction of the acid labile group into the precursor polysiloxane is carried out by reaction of the silanol group and the acid labile group raw material. Since the alkoxy moiety is not involved, the content b may contain 0 (zero). When b is larger than 0.3, the proportion of alkoxy residues in the end groups is increased, so that the total amount of silanol groups is relatively decreased, which lowers the amount of acid labile groups introduced, thereby obtaining sufficient resolution.

이들 알콕시 잔기의 양은, 적외선 흡수 스펙트럼 또는 알칼리 크래킹에 의한 알코올 정량법 등으로 정량 가능하다. 실제로는, 측정 한계 이하의 양이라도 적용할 수 있다.The quantity of these alkoxy residues can be quantified by infrared absorption spectrum or alcohol quantification by alkali cracking. In practice, even an amount below the measurement limit can be applied.

실라놀기 함유량 c는, 전구체 폴리실록산의 29Si-NMR을 측정하고, 실록시산의 평균 화학 구조를 산출함으로써 구할 수 있다. 즉, 예를 들면 3관능 가수분해성 실란으로부터 합성되는 T 단위(R1Si-03/2)에서, 하기에 나타낸 4가지 구조 단 위(T0∼T3)는, 29Si-NMR 스펙트럼에서 상이한 화학 시프트로 시그널이 관측된다. 이 시그널의 면적은, 이 구조의 존재 비율을 나타내므로, T0, T1, T2의 존재 비율로부터, 말단기(OX)의 몰량%를 산출할 수 있다. 상기 몰량으로부터, IR 스펙트럼 등으로 별도로 구한 알콕시기 잔량을 제하여, 폴리유기실록산에 포함된 실라놀기의 몰량을 산출할 수 있다.The silanol group content c can be calculated | required by measuring 29 Si-NMR of precursor polysiloxane, and calculating the average chemical structure of siloxy acid. That is, for example, in the T unit (R 1 Si-0 3/2 ) synthesized from the trifunctional hydrolyzable silane, the four structural units (T0 to T3) shown below have different chemistries in the 29 Si-NMR spectrum. The signal is observed by the shift. Since the area of this signal shows the abundance ratio of this structure, the molar amount% of terminal group OX can be calculated from the abundance ratio of T0, T1, and T2. From the molar amount, the molar amount of the silanol group contained in the polyorganosiloxane can be calculated by subtracting the remaining amount of the alkoxy group determined separately from the IR spectrum or the like.

[화학식 3][Formula 3]

Figure 112009015964716-PAT00005
Figure 112009015964716-PAT00005

(상기 식에서, X=H 또는 R2이며, R1은 전술한 바와 동일함)Wherein X = H or R 2 , R 1 is the same as described above

실라놀기(Si-OH)의 함유량 c가 2를 초과하는 경우, 4관능 실록산 단위(Q)를 많이 포함하게 되거나, 또는 3관능 실록산 단위(T) 단독이라면 2량체 구조로, 전체 말단이 실라놀기인 경우에 해당된다. 이와 같은 폴리유기실록산은, 저분자이며 피막 형성능이 부족할 뿐만 아니라, 경화 피막의 내크랙성이 부족하기 때문에 적합하지 않다. c가 0.001보다 작은 경우, 본 발명의 치환에 의해 도입되는 산 불안정기의 함유량이 극단적으로 낮아져서, 기대하는 만큼의 방사성-감수성을 얻을 수 없기 때문에 적합하지 않다.When content c of silanol group (Si-OH) exceeds 2, it will contain many tetrafunctional siloxane units (Q), or if it is trifunctional siloxane unit (T) alone, it will be a dimer structure, and the whole terminal will be a silanol group This is the case. Such polyorganosiloxanes are not suitable because they are low molecular weight and lack the film forming ability and lack the crack resistance of the cured film. When c is less than 0.001, the content of the acid labile group introduced by the substitution of the present invention is extremely low, which is not suitable because it is not possible to obtain radioactive-sensitivity as expected.

이 전구체 폴리실록산의 분자량은, 겔 투과 크로마토그래피(GPC)에 의해 측정할 수 있다. 표준 폴리스틸렌을 기초로 작성한 검량선으로부터 얻어지는 중량 평균 분자량이 300∼200,000의 범위에 있는 것이 바람직하다. 300보다 작으면 피막 형성성, 내크랙성이 부족할 수 있고, 200,000보다 크면, 현상 시 현상액의 용해성이 현저하게 저하될 수 있다.The molecular weight of this precursor polysiloxane can be measured by gel permeation chromatography (GPC). It is preferable that the weight average molecular weight obtained from the analytical curve created based on standard polystyrene exists in the range of 300-200,000. If it is less than 300, the film formability and crack resistance may be insufficient, and if it is larger than 200,000, the solubility of the developer during development may be significantly reduced.

전구체 폴리실록산은, 종래에 공지된 방법으로 합성할 수 있다. 원료의 가수분해성 규소 화합물로서는, 이하에 열거된 것을 사용할 수 있다. 비닐트리클로로실란, 비닐트리메톡시실란, 비닐트리에톡시실란, 비닐메틸디클로로실란, 비닐메틸디메톡시실란, 비닐메틸디에톡시실란, 5-헥세닐트리메톡시실란, 3-글리시드옥시프로필트리메톡시실란, 3-글리시드옥시프로필트리에톡시실란, 3-글리시드옥시프로필메틸디메톡시실란, 3-글리시드옥시프로필메틸디에톡시실란, 3-(메타)아크릴옥시프로필트리메톡시실란, 3-(메타)아크릴옥시프로필트리에톡시실란, 3-(메타)아크릴옥시프로필메틸디메톡시실란, 3-(메타)아크릴옥시프로필메틸디에톡시실란, 2-(3,4-에폭시시클로헥실)에틸트리메톡시실란, 2-(3,4-에폭시시클로헥실)에틸디메톡시메틸실란, 2-(3,4-에폭시시클로헥실)에틸트리에톡시실란, 2-(3,4-에폭시시클로헥실)에틸디에톡시메틸실란, 3-(4-비닐페닐)프로필트리메톡시실란, 4-비닐페닐메틸트리메톡시실란, 4-비닐페닐트리메톡시실란, 3-아미노프로필트리메톡시실란, 3-아미노프로필트리에톡시실란, 3-아미노프로필메틸디메톡시실란, 3-아미노프로필메틸디에톡시실란, 3-(2-아미노에틸)아미노프로필트리메톡시실란, 3-머캅토프로필트리메톡시실란, 3-머캅토프로필트리에톡시실란, 3-머캅토프로필메틸디메톡시실란, 3-머캅토프로필메틸디에톡시실란 등의 이른바 실란 커플링제 외에, 테트라클로로실란, 테트라메톡시실란, 테트라에톡시실란, 테트라부톡시실란, 메틸트리클로로실란, 메틸트 리메톡시실란, 메틸트리에톡시실란, 메틸트리이소프로폭시실란, 메틸트리부톡시실란, 메틸트리이소프로페녹시실란, 에틸트리클로로실란, 에틸트리메톡시실란, 에틸트리에톡시실란, 프로필트리클로로실란, 프로필트리메톡시실란, 프로필트리에톡시실란, 부틸트리클로로실란, 부틸트리메톡시실란, 헥실트리클로로실란, 헥실트리메톡시실란, 데실트리클로로실란, 데실트리메톡시실란, 페닐트리클로로실란, 페닐트리메톡시실란, 시클로헥실트리클로로실란, 시클로헥실트리메톡시실란, 프로필메틸디클로로실란, 프로필메틸디메톡시실란, 헥실메틸디클로로실란, 헥실메틸디메톡시실란, 시클로헥실메틸디클로로실란, 시클로헥실메틸디메톡시실란, 페닐메틸디클로로실란, 페닐메틸디메톡시실란 등을 들 수 있다. 또한, 이 외에도, 트리클로로하이드로젠실란, 트리메톡시하이드로젠실란, 트리에톡시하이드로실란 등의 하이드로젠실란류와 불포화 결합을 가지는 유기기를 수소규소화 반응(hydrosilylation)에 의해 결합시켜, 원하는 규소 화합물을 합성하여, 사용할 수도 있다.Precursor polysiloxane can be synthesize | combined by the method known conventionally. As a hydrolyzable silicon compound of a raw material, what is enumerated below can be used. Vinyltrichlorosilane, vinyltrimethoxysilane, vinyltriethoxysilane, vinylmethyldichlorosilane, vinylmethyldimethoxysilane, vinylmethyldiethoxysilane, 5-hexenyltrimethoxysilane, 3-glycidoxypropyltri Methoxysilane, 3-glycidoxyoxytriethoxysilane, 3-glycidoxyoxymethylmethyldimethoxysilane, 3-glycidoxyoxymethylmethylethoxysilane, 3- (meth) acryloxypropyltrimethoxysilane, 3- (meth) acryloxypropyltriethoxysilane, 3- (meth) acryloxypropylmethyldimethoxysilane, 3- (meth) acryloxypropylmethyldiethoxysilane, 2- (3,4-epoxycyclohexyl) Ethyltrimethoxysilane, 2- (3,4-epoxycyclohexyl) ethyldimethoxymethylsilane, 2- (3,4-epoxycyclohexyl) ethyltriethoxysilane, 2- (3,4-epoxycyclohexyl Ethyl diethoxymethylsilane, 3- (4-vinylphenyl) propyltrimethoxysilane, 4-vinylphenylmethyltrimethoxy Silane, 4-vinylphenyltrimethoxysilane, 3-aminopropyltrimethoxysilane, 3-aminopropyltriethoxysilane, 3-aminopropylmethyldimethoxysilane, 3-aminopropylmethyldiethoxysilane, 3- ( 2-aminoethyl) aminopropyltrimethoxysilane, 3-mercaptopropyltrimethoxysilane, 3-mercaptopropyltriethoxysilane, 3-mercaptopropylmethyldimethoxysilane, 3-mercaptopropylmethyldiethoxy In addition to so-called silane coupling agents such as silanes, tetrachlorosilane, tetramethoxysilane, tetraethoxysilane, tetrabutoxysilane, methyltrichlorosilane, methyltrimethoxysilane, methyltriethoxysilane, methyltriisopropoxy Silane, methyltributoxysilane, methyltriisopropenoxysilane, ethyltrichlorosilane, ethyltrimethoxysilane, ethyltriethoxysilane, propyltrichlorosilane, propyltrimethoxysilane, propyltriethoxysilane, Butyl Chlorosilane, Butyltrimethoxysilane, Hexyltrichlorosilane, Hexyltrimethoxysilane, Decyltrichlorosilane, Decyltrimethoxysilane, Phenyltrichlorosilane, Phenyltrimethoxysilane, Cyclohexyltrichlorosilane, Cyclohexyl Trimethoxysilane, propylmethyldichlorosilane, propylmethyldimethoxysilane, hexylmethyldichlorosilane, hexylmethyldimethoxysilane, cyclohexylmethyldichlorosilane, cyclohexylmethyldimethoxysilane, phenylmethyldichlorosilane, phenylmethyldimethoxysilane Etc. can be mentioned. In addition, in addition, hydrogen silanes such as trichlorohydrogensilane, trimethoxyhydrogensilane, and triethoxyhydrosilane and organic groups having an unsaturated bond can be bonded by hydrosilylation to form desired silicon. A compound can also be synthesize | combined and used.

가수 분해성 규소 화합물은 1종 단독으로 또는 2종 이상을 사용할 수 있다.The hydrolyzable silicon compound may be used alone or in combination of two or more.

이 규소 화합물에 함유된 가수분해성 기는, 메톡시기, 에톡시기가 바람직하다. 이는 반응 제어의 용이성 및 할로겐 이온의 잔존이 본 발명의 용도에는 부적당하므로, 클로로실란의 사용은 바람직하지 않기 때문이다.The hydrolyzable group contained in this silicon compound is preferably a methoxy group and an ethoxy group. This is because the ease of reaction control and the remaining of halogen ions are inadequate for the use of the present invention, so the use of chlorosilanes is not preferred.

유기기에 대해서는, 전술한 바와 같이, 메틸기, 프로필기, 시클로헥실기, 노르보르닐기, 페닐기, 3,4-에폭시시클로헥실에틸기가 바람직하고, 특히 페닐기, 3,4-에폭시시클로헥실에틸기가 가장 적합하다. 이들 가수분해성 기, 유기기를 가지는 규소 화합물을 원료에 사용하는 것이 바람직하다.As for the organic group, as described above, a methyl group, a propyl group, a cyclohexyl group, a norbornyl group, a phenyl group, and a 3,4-epoxycyclohexylethyl group are preferable, and a phenyl group and a 3,4-epoxycyclohexylethyl group are most suitable. Do. It is preferable to use the silicon compound which has these hydrolysable groups and organic groups for a raw material.

상기 가수분해성 실란 화합물을 가수 분해 및 축합하여, 본 발명에 사용 가능한 가수분해 축합물을 얻는 방법으로서는, 물, 또는 물과 유기용제 중에서 가수분해성 실란 화합물을 가수분해하는 방법을 들 수 있다.As a method of hydrolyzing and condensing the said hydrolyzable silane compound and obtaining the hydrolyzable condensate which can be used for this invention, the method of hydrolyzing a hydrolyzable silane compound in water or water and an organic solvent is mentioned.

가수분해에 사용되는 물의 양은, 가수분해성 기 Y 1몰에 대해 1 몰 이상, 1.2몰 이상이 바람직하다. 물의 양이 1 몰 미만이면, 가수분해성 기의 가수분해가 부분적으로만 진행되어, 가수분해성 기가 미반응인 채, 비교적 다량으로 잔존하게 된다. 미반응된 가수분해성 기가 많이 잔존하면, 그만큼 실라놀기의 양이 저하되므로, 본 발명의 목적에는 적합하지 않다.As for the quantity of water used for hydrolysis, 1 mol or more and 1.2 mol or more are preferable with respect to 1 mol of hydrolysable groups Y. If the amount of water is less than 1 mole, the hydrolysis of the hydrolyzable group proceeds only partially, and the hydrolyzable group remains relatively large in an unreacted state. If a large amount of unreacted hydrolyzable groups remain, the amount of silanol groups is reduced by that amount, which is not suitable for the purpose of the present invention.

유기용제로서는, 수용성, 비수용성을 불문하고 널리 사용 가능하지만, 특히 실라놀기를 함유하는 실록산에 대한 용해성이 높으므로 극성 용제를 사용하는 것이 바람직하다. 구체적으로는 메탄올, 에탄올, 프로판올, 이소프로판올, 부탄올, 이소부탄올, t-부탄올, 디아세톤알콜 등의 알코올류, 에틸렌글리콜, 모노에틸렌글리콜모노에테르, 프로필렌글리콜, 프로필렌글리콜모노에테르 등이 예시된다. 특히 본 발명에 적합한 폴리실록산으로서는, 쉽게 증류제거되는 메탄올, 에탄올 등의 저비등점 알코올이 바람직하다.The organic solvent can be widely used irrespective of water solubility and non-water solubility. In particular, it is preferable to use a polar solvent because of its high solubility in siloxane containing silanol groups. Specific examples include alcohols such as methanol, ethanol, propanol, isopropanol, butanol, isobutanol, t-butanol, diacetone alcohol, ethylene glycol, monoethylene glycol monoether, propylene glycol, propylene glycol monoether and the like. In particular, as the polysiloxane suitable for the present invention, low boiling alcohols such as methanol and ethanol which are easily distilled off are preferable.

가수분해 및 이 후의 축합 반응 시에는, 종래에 공지된 가수분해 촉매, 축합 촉매를 사용할 수 있다. 특히 산성의 할로겐화 수소, 카르본산, 술폰산, 산성 또는 약산성의 무기염, 이온 교환 수지 등의 고체 산 등이 바람직하다. 이들의 예로서는 염산, 질산, 황산 등의 무기산, 아세트산, 말레인산, 옥살산, 메탄술폰산 등의 유기산, 표면에 술폰산기 또는 카르본산기를 가지는 양이온 교환 수지 등을 들 수 있다. 가수분해 촉매의 양은, 가수분해성 기 Y 1몰에 대하여 0.001∼10 몰%가 바람직하다. 본 발명의 용도에는, 가열에 의해 분해시켜서 얻는 초산, 옥살산 등의 유기산이 바람직하다.In the case of hydrolysis and subsequent condensation reaction, a conventionally well-known hydrolysis catalyst and a condensation catalyst can be used. Particularly preferred are acidic hydrogen halides, carboxylic acids, sulfonic acids, acidic or weakly acidic inorganic salts, solid acids such as ion exchange resins, and the like. Examples thereof include inorganic acids such as hydrochloric acid, nitric acid and sulfuric acid, organic acids such as acetic acid, maleic acid, oxalic acid and methanesulfonic acid, and cation exchange resins having a sulfonic acid group or a carboxylic acid group on the surface thereof. As for the quantity of a hydrolysis catalyst, 0.001-10 mol% is preferable with respect to 1 mol of hydrolysable groups Y. For the use of the present invention, organic acids such as acetic acid and oxalic acid obtained by decomposition by heating are preferable.

가수분해 반응과 축합 반응은, 엄밀하게는 분리할 수 없으며, 가수분해 반응중에도 일부 축합이 진행된다. 따라서, 상기 산성 촉매는 양측 반응에 있어서 촉매로서 기능하지만, 보다 축합도를 증가시키고자 할 경우에는, 별도의 축합 촉매를 첨가할 수도 있다. 이들 축합 촉매의 예로서는, 전술한 산성 화합물 외에, 암모니아, 아민류 등의 알칼리성 화합물 및 암모늄 염류, 티탄, 아연, 지르코늄, 주석 등의 금속 화합물 등을 들 수 있다.The hydrolysis reaction and the condensation reaction cannot be separated strictly, and some condensation proceeds even during the hydrolysis reaction. Therefore, the acidic catalyst functions as a catalyst in both reactions, but when it is desired to increase the degree of condensation, a separate condensation catalyst may be added. Examples of these condensation catalysts include alkaline compounds such as ammonia and amines and metal compounds such as ammonium salts, titanium, zinc, zirconium and tin, in addition to the acidic compounds described above.

다음으로, 이 전구체 폴리실록산의 실라놀기를 산 불안정기로 치환하는 방법에 대하여 설명한다. 본 발명에 따른 폴리유기실록산 화합물은, 상기 평균 조성식 (1)로 표시되는 폴리유기실록산(전구체 폴리실록산)에 포함된, 규소 원자와 직접 결합되어 있는 수산기의 일부 수소 원자를, 하기 일반식 (2)로 표시되는 산 불안정기로 치환하거나 및/또는 하기 일반식(3)으로 표시되는 C-O-C기를 가지는 가교기에 의해, 분자내 또는 분자간에 가교시킨 것이다.Next, the method to replace the silanol group of this precursor polysiloxane with an acid labile group is demonstrated. As for the polyorganosiloxane compound which concerns on this invention, the partial hydrogen atom of the hydroxyl group couple | bonded with the silicon atom contained in the polyorganosiloxane (precursor polysiloxane) represented by said average composition formula (1) is the following general formula (2) It is made to bridge | crosslink in the molecule | numerator or intermolecularly by the bridge | crosslinking group which substitutes with the acid labile group represented by and / or has a COC group represented by following General formula (3).

[화학식 4][Formula 4]

Figure 112009015964716-PAT00006
Figure 112009015964716-PAT00006

(상기 식 (2)에서, R3, R4는 서로 독립적으로 수소 원자 또는 탄소수 1∼6의 직쇄형, 분지형 또는 고리형 알킬기이고, R5는 탄소수 1∼30의 직쇄형, 분지형 또는 고리형 알킬기, 탄소수 6∼20의 아릴기 또는 탄소수 7∼20의 아르알킬기이고, R3와 R4, R3와 R5, R4와 R5는 서로 결합하여 이들이 결합하는 탄소 원자, 또는 탄소 원자 및 산소 원자와 함께 고리를 형성할 수 있으며, 고리를 형성할 경우, 고리의 형성에 관여하는 R3, R4, R5는 탄소수 1∼18의 직쇄형 또는 분지형의 알킬렌기임)(In formula (2), R <3> , R <4> is a hydrogen atom or a C1-C6 linear, branched or cyclic alkyl group each independently, and R <5> is C1-C30 linear, branched or A cyclic alkyl group, an aryl group having 6 to 20 carbon atoms, or an aralkyl group having 7 to 20 carbon atoms, and R 3 and R 4 , R 3 and R 5 , R 4 and R 5 are bonded to each other and a carbon atom to which they are bonded, or carbon A ring may be formed together with an atom and an oxygen atom, and in the case of forming a ring, R 3 , R 4 , and R 5 , which are involved in the formation of a ring, are a linear or branched alkylene group having 1 to 18 carbon atoms)

[화학식 5][Formula 5]

Figure 112009015964716-PAT00007
Figure 112009015964716-PAT00007

(상기 식 (3)에서, R6, R7, R9, R10은 서로 독립적으로 수소 원자 또는 탄소수 1∼6의 직쇄형, 분지형 또는 고리형 알킬기이고, R6와 R7, R9과 R10은 서로 결합하여 이들이 결합하는 탄소 원자와 함께 고리를 형성할 수 있으며, 고리를 형성하는 경우, 고리의 형성에 관여하는 R6, R7, R9, R10은 탄소수 1∼17의 직쇄형 또는 분지형의 알킬렌기이고, R8은 산소 원자를 포함할 수 있는 탄소수 1∼ 6의 2가 탄화수소기임)(In formula (3), R <6> , R <7> , R <9> , R <10> is a hydrogen atom or a C1-C6 linear, branched or cyclic alkyl group independently of each other, R <6> , R <7> , R <9> And R 10 may be bonded to each other to form a ring together with the carbon atom to which they are bonded, and when forming a ring, R 6 , R 7 , R 9 , and R 10 which are involved in the formation of a ring may have 1 to 17 carbon atoms. Linear or branched alkylene group, R 8 is a divalent hydrocarbon group having 1 to 6 carbon atoms which may contain oxygen atoms)

상기 식 (2)의 산 불안정기로서, R3 및 R4의 탄소수 1∼6의 알킬기의 예로서는, 메틸기, 에틸기, n-프로필기, 이소프로필기, n-부틸기, 이소부틸기, tert-부틸기, n-펜틸기, 이소펜틸기, 네오펜틸기, 헥실기, 시클로펜틸기, 시클로헥실기 등을 들 수 있다.As an acid labile group of said Formula (2), as an example of a C1-C6 alkyl group of R <3> and R <4> , a methyl group, an ethyl group, n-propyl group, isopropyl group, n-butyl group, isobutyl group, tert- A butyl group, n-pentyl group, isopentyl group, neopentyl group, hexyl group, cyclopentyl group, cyclohexyl group, etc. are mentioned.

R5의 탄소수 1∼30의 직쇄형, 분지형 또는 고리형 알킬기로서는, 예를 들면 메틸기, 에틸기, 프로필기, 이소프로필기, n-부틸기, 이소부틸기, tert-부틸기, n-펜틸기, 이소펜틸기, 네오펜틸기, n-헥실기, n-헵틸기, n-옥틸기, n-데실기, n-도데실기, n-헥실기, 팔미틸기, n-스테아릴기, 시클로프로필기, 콜레스테릴기, 테트라히드로피라닐기, 테트라히드로프라닐기 등을 들 수 있다. 탄소수 6∼20의 아릴기로서는, 페닐기, 톨릴기, 에틸페닐기, 프로필페닐기, 디메틸페닐기, 메틸에틸페닐기, 나프틸기, 퓨릴기, 비페닐기 등을 들 수 있다. 탄소수 7∼20의 아르알킬기로서는, 벤질기, 메틸벤질기, 프로필벤질기, 디메틸벤질기 등을 들 수 있다.Examples of the linear, branched or cyclic alkyl group having 1 to 30 carbon atoms for R 5 include methyl group, ethyl group, propyl group, isopropyl group, n-butyl group, isobutyl group, tert-butyl group and n-pen Tyl group, isopentyl group, neopentyl group, n-hexyl group, n-heptyl group, n-octyl group, n-decyl group, n-dodecyl group, n-hexyl group, palmityl group, n-stearyl group, cyclo A propyl group, a cholesteryl group, tetrahydropyranyl group, tetrahydropranyl group, etc. are mentioned. Examples of the aryl group having 6 to 20 carbon atoms include phenyl group, tolyl group, ethylphenyl group, propylphenyl group, dimethylphenyl group, methylethylphenyl group, naphthyl group, furyl group, and biphenyl group. Examples of the aralkyl group having 7 to 20 carbon atoms include benzyl group, methylbenzyl group, propylbenzyl group, and dimethylbenzyl group.

또한, 식 (3)에서, R6, R7, R9, R10의 탄소수 1∼6의 직쇄형, 분지형 또는 고리형 알킬기의 예로서는, 메틸기, 에틸기, 프로필기, 이소프로필기, n-부틸기, 이소부틸기, tert-부틸기, n-펜틸기, 이소펜틸기, 네오펜틸기, n-헥실기, 시클로헥실기, 시클로펜틸기 등을 들 수 있다. 또한, R8에서 탄소수 1∼6의 산소 원자를 포함할 수 있는 2가 탄화수소기의 예로서는, 알킬렌기 외에, 하기의 것을 들 수 있다.In addition, in Formula (3), as an example of a C1-C6 linear, branched or cyclic alkyl group of R <6> , R <7> , R <9> , R <10> , a methyl group, an ethyl group, a propyl group, isopropyl group, n- Butyl group, isobutyl group, tert-butyl group, n-pentyl group, isopentyl group, neopentyl group, n-hexyl group, cyclohexyl group, cyclopentyl group, etc. are mentioned. In addition, as an example of the bivalent hydrocarbon group which may contain a C1-C6 oxygen atom in R <8> , the following are mentioned besides an alkylene group.

[화학식 6][Formula 6]

Figure 112009015964716-PAT00008
Figure 112009015964716-PAT00008

그리고, 상기 식 (2) 및 (3)에서, R3, R4, R5 또는 R6, R7, R9, R10이 고리를 형성할 경우 고리의 예로서는, 테트라히드로피라닐기, 테트라히드로프라닐기를 들 수 있다.In the formulas (2) and (3), when R 3 , R 4 , R 5 or R 6 , R 7 , R 9 , and R 10 form a ring, examples of the ring include a tetrahydropyranyl group and tetrahydro Pranyl group is mentioned.

본 발명의 신규한 폴리유기실록산은, 상기 전구체 폴리실록산을, 산촉매 하에, 예를 들면, 메틸비닐에테르, 에틸비닐에테르, n-프로필비닐에테르, 이소프로필비닐에테르, n-부틸비닐에테르, 이소부틸비닐에테르, sec-부틸비닐에테르, 에틸-1-프로페닐에테르, 시클로헥실비닐에테르, 메틸-1-프로페닐에테르, 이소프로페닐메틸에테르, 이소프로페닐에틸에테르, 디하이드로퓨란, 디하이드로피란 등의 알케닐에테르 화합물이나, 에틸렌글리콜디비닐에테르, 트리에틸렌글리콜디비닐에테르, 1,3-프로판디올디비닐에테르, 1,3-부탄디올디비닐에테르, 1,4-부탄디올디비닐에테르, 네오펜틸글리콜디비닐에테르, 헥산디올디비닐에테르, 1,4-시클로헥산디올디비닐에테르, 펜타에리트리톨디비닐에테르, 에틸렌글리콜디에틸렌비닐에테르 등의 디알케닐에테르 화합물의 부가 반응으로 얻을 수 있다.The novel polyorganosiloxane of the present invention uses the precursor polysiloxane under an acid catalyst, for example, methyl vinyl ether, ethyl vinyl ether, n-propyl vinyl ether, isopropyl vinyl ether, n-butyl vinyl ether, isobutyl vinyl Ether, sec-butyl vinyl ether, ethyl-1-propenyl ether, cyclohexyl vinyl ether, methyl-1-propenyl ether, isopropenyl methyl ether, isopropenyl ethyl ether, dihydrofuran, dihydropyran, etc. Alkenyl ether compounds, ethylene glycol divinyl ether, triethylene glycol divinyl ether, 1,3-propanediol divinyl ether, 1,3-butanediol divinyl ether, 1,4-butanediol divinyl ether, neopentyl glycol Of dialkenyl ether compounds such as divinyl ether, hexanediol divinyl ether, 1,4-cyclohexanediol divinyl ether, pentaerythritol divinyl ether and ethylene glycol diethylene vinyl ether It can be obtained by addition reaction.

또한, 산 불안정기를 도입할 때의 반응 조건으로서는, 용매로서 디메틸포름아미드, 디메틸아세트아미드, 테트라하이드로퓨란, 1,4-디옥산, 아세트산에틸 등의 비프로톤성 극성 용매를 단독 또는 2종 이상 혼합하여 사용할 수 있다. 촉매의 산으로서는, 염산, 황산, 트리플루오로메탄술폰산, p-톨루엔술폰산, 메탄술폰산, p-톨루엔술폰산 피리디늄 등을 사용할 수 있다.In addition, as reaction conditions when introducing an acid labile group, an aprotic polar solvent, such as dimethylformamide, dimethylacetamide, tetrahydrofuran, 1, 4- dioxane, and ethyl acetate, is mixed individually or 2 types or more as a solvent. Can be used. As the acid of the catalyst, hydrochloric acid, sulfuric acid, trifluoromethanesulfonic acid, p-toluenesulfonic acid, methanesulfonic acid, p-toluenesulfonic acid pyridinium and the like can be used.

본 발명의 폴리유기실록산 화합물은, 하기 감광성 수지 조성물 및 열경화성 수지 조성물(이하, 이들을 "방사성 감수성 수지 조성물"로 총칭함)의 베이스 수지로서 유효하며, 이 폴리유기실록산 화합물과 광-유도성 산발생제를 용제에 용해시킨 방사성 감수성 수지 조성물을 제공한다.The polyorganosiloxane compound of the present invention is effective as a base resin of the following photosensitive resin composition and thermosetting resin composition (hereinafter, collectively referred to as "radiosensitive sensitive resin composition"), and the polyorganosiloxane compound and the photo-induced acid generation The radiosensitive resin composition which melt | dissolved the agent in the solvent is provided.

[감광성 수지 조성물의 필수 성분][Essential Component of Photosensitive Resin Composition]

(A) 상기 폴리유기실록산 화합물(A) the polyorganosiloxane compound

(B) 150∼450nm의 파장 범위의 광 조사에 의해 산을 발생시키는 광-유도성 산발생제(B) a light-induced acid generator that generates an acid by light irradiation in a wavelength range of 150 to 450 nm.

(D) 용제(D) solvent

[열경화성 수지 조성물의 필수 성분][Essential Component of Thermosetting Resin Composition]

(A) 상기 폴리유기실록산 화합물(A) the polyorganosiloxane compound

(B) 150∼450nm의 파장 범위의 광 조사에 의해 산을 발생시키는 광-유도성 산발생제(B) a light-induced acid generator that generates an acid by light irradiation in a wavelength range of 150 to 450 nm.

(C) 열경화제(C) thermosetting agent

(D) 용제(D) solvent

다음에 (B)의 광-유도성 산발생제에 대하여 설명한다. 광-유도성 산발생제를 구체적으로 예를 들면, 트리플루오로메탄술폰산 디페닐요오도늄, 트리플루오로 메탄술폰산(p-tert-부톡시페닐)페닐요오도늄, p-톨루엔술폰산 디페닐요오도늄, p-톨루엔술폰산(p-tert-부톡시페닐)페닐요오도늄, 트리플루오로메탄술폰산 트리페닐술포늄, 트리플루오로메탄술폰산(p-tert-부톡시페닐)디페닐술포늄, 트리플루오로메탄술폰산 비스(p-tert-부톡시페닐)페닐술포늄, 트리플루오로메탄술폰산 트리스(p-tert-부톡시페닐)술포늄, p-톨루엔술폰산 트리페닐술포늄, p-톨루엔술폰산(p-tert-부톡시페닐)디페닐술포늄, p-톨루엔술폰산 비스(p-tert-부톡시페닐)페닐술포늄, p-톨루엔술폰산 트리스(p-tert-부톡시페닐)술포늄, 노나플루오로부탄술폰산 트리페닐술포늄, 부탄술폰산 트리페닐술포늄, 트리플루오로메탄술폰산 트리메틸술포늄, p-톨루엔술폰산 트리메틸술포늄, 트리플루오로메탄술폰산 시클로헥실메틸(2-옥소시클로헥실)술포늄, p-톨루엔술폰산 시클로헥실메틸(2-옥소시클로헥실)술포늄, 트리플루오로메탄술폰산 디메틸페닐술포늄, p-톨루엔술폰산 디메틸페닐술포늄, 트리플루오로메탄술폰산 디시클로헥실페닐술포늄, p-톨루엔술폰산 디시클로헥실페닐술포늄, 비스(4-tert-부틸페닐)요오도늄헥사플루오로포스페이트, 디페닐(4-티오페녹시페닐)술포늄헥사플루오로안티모네이트 등의 오늄염;Next, the photo-inducible acid generator of (B) is demonstrated. Specific examples of photo-inducible acid generators include trifluoromethanesulfonic acid diphenyliodonium, trifluoromethanesulfonic acid (p-tert-butoxyphenyl) phenyliodonium, p-toluenesulfonic acid diphenyl Iodonium, p-toluenesulfonic acid (p-tert-butoxyphenyl) phenyl iodonium, trifluoromethanesulfonic acid triphenylsulfonium, trifluoromethanesulfonic acid (p-tert-butoxyphenyl) diphenylsulfonium , Trifluoromethanesulfonic acid bis (p-tert-butoxyphenyl) phenylsulfonium, trifluoromethanesulfonic acid tris (p-tert-butoxyphenyl) sulfonium, p-toluenesulfonic acid triphenylsulfonium, p-toluene Sulfonic acid (p-tert-butoxyphenyl) diphenylsulfonium, p-toluenesulfonic acid bis (p-tert-butoxyphenyl) phenylsulfonium, p-toluenesulfonic acid tris (p-tert-butoxyphenyl) sulfonium, Nonafluorobutanesulfonic acid triphenylsulfonium, butanesulfonic acid triphenylsulfonium, trifluoromethanesulfonic acid trimethylsulfonium, p-tolu Sulfonic acid trimethylsulfonium, trifluoromethanesulfonic acid cyclohexylmethyl (2-oxocyclohexyl) sulfonium, p-toluenesulfonic acid cyclohexylmethyl (2-oxocyclohexyl) sulfonium, trifluoromethanesulfonic acid dimethylphenylsulfonium, p-toluenesulfonic acid dimethylphenylsulfonium, trifluoromethanesulfonic acid dicyclohexylphenylsulfonium, p-toluenesulfonic acid dicyclohexylphenylsulfonium, bis (4-tert-butylphenyl) iodonium hexafluorophosphate, di Onium salts such as phenyl (4-thiophenoxyphenyl) sulfonium hexafluoroantimonate;

비스(벤젠술포닐)디아조메탄, 비스(p-톨루엔술포닐)디아조메탄, 비스(크실렌술포닐)디아조메탄, 비스(시클로헥실술포닐)디아조메탄, 비스(시클로펜틸술포닐)디아조메탄, 비스(n-부틸술포닐)디아조메탄, 비스(이소부틸술포닐)디아조메탄, 비스(sec-부틸술포닐)디아조메탄, 비스(n-프로필술포닐)디아조메탄, 비스(이소프로필술포닐)디아조메탄, 비스(tert-부틸술포닐)디아조메탄, 비스(n-아밀술포닐)디아조메탄, 비스(이소아밀술포닐)디아조메탄, 비스(sec-아밀술포닐)디아조메탄, 비 스(tert-아밀술포닐)디아조메탄, 1-시클로헥실술포닐-1-(tert-부틸술포닐)디아조메탄, 1-시클로헥실술포닐-(1-tert-아밀술포닐)디아조메탄, 1-tert-아밀술포닐-(1-tert-부틸술포닐)디아조메탄 등의 디아조메탄 유도체;Bis (benzenesulfonyl) diazomethane, bis (p-toluenesulfonyl) diazomethane, bis (xylenesulfonyl) diazomethane, bis (cyclohexylsulfonyl) diazomethane, bis (cyclopentylsulfonyl) Diazomethane, bis (n-butylsulfonyl) diazomethane, bis (isobutylsulfonyl) diazomethane, bis (sec-butylsulfonyl) diazomethane, bis (n-propylsulfonyl) diazomethane , Bis (isopropylsulfonyl) diazomethane, bis (tert-butylsulfonyl) diazomethane, bis (n-amylsulfonyl) diazomethane, bis (isoamylsulfonyl) diazomethane, bis (sec -Amylsulfonyl) diazomethane, bis (tert-amylsulfonyl) diazomethane, 1-cyclohexylsulfonyl-1- (tert-butylsulfonyl) diazomethane, 1-cyclohexylsulfonyl- ( Diazomethane derivatives such as 1-tert-amylsulfonyl) diazomethane and 1-tert-amylsulfonyl- (1-tert-butylsulfonyl) diazomethane;

비스-o-(p-톨루엔술포닐)-α-디메틸글리옥심, 비스-o-(p-톨루엔술포닐)-α-디페닐글리옥심, 비스-o-(p-톨루엔술포닐)-α-디시클로헥실글리옥심, 비스-o-(p-톨루엔술포닐)-2,3-펜탄디온글리옥심, 비스-(p-톨루엔술포닐)-2-메틸-3,4-펜탄디온글리옥심, 비스-o-(n-부탄 술포닐)-α-디메틸글리옥심, 비스-o-(n-부탄술포닐)-α-디페닐글리옥심, 비스-o-(n-부탄술포닐)-α-디시클로헥실글리옥심, 비스-o-(n-부탄 술포닐)-2,3-펜탄디온글리옥심, 비스-o-(n-부탄술포닐)-2-메틸-3,4-펜탄디온글리옥심, 비스-o-(메탄술포닐)-α-디메틸글리옥심, 비스-o-(트리플루오로메탄술포닐)-α-디메틸글리옥심, 비스-o-(1,1,1-트리플루오로에탄술포닐)-α-디메틸글리옥심, 비스-o-(tert-부탄술포닐)-α-디메틸글리옥심, 비스-o-(퍼플루오로옥탄술포닐)-α-디메틸글리옥심, 비스-o-(시클로헥산술포닐)-α-디메틸글리옥심, 비스-o-(벤젠술포닐)-α-디메틸글리옥심, 비스-o-(p-플루오로벤젠술포닐)-α-디메틸글리옥심, 비스-o-(p-tert-부틸벤젠술포닐)-α-디메틸글리옥심, 비스-o-(크실렌술포닐)-α-디메틸글리옥심, 비스-o-캄포르술포닐-α-디메틸글리옥심 등의 글리옥심 유도체;Bis-o- (p-toluenesulfonyl) -α-dimethylglyoxime, bis-o- (p-toluenesulfonyl) -α-diphenylglyoxime, bis-o- (p-toluenesulfonyl) -α -Dicyclohexylglyoxime, bis-o- (p-toluenesulfonyl) -2,3-pentanedioneglyoxime, bis- (p-toluenesulfonyl) -2-methyl-3,4-pentanedioneglyoxime , Bis-o- (n-butane sulfonyl) -α-dimethylglyoxime, bis-o- (n-butanesulfonyl) -α-diphenylglyoxime, bis-o- (n-butanesulfonyl)- α-dicyclohexylglyoxime, bis-o- (n-butane sulfonyl) -2,3-pentanedioneglyoxime, bis-o- (n-butanesulfonyl) -2-methyl-3,4-pentane Dionglyoxime, bis-o- (methanesulfonyl) -α-dimethylglyoxime, bis-o- (trifluoromethanesulfonyl) -α-dimethylglyoxime, bis-o- (1,1,1- Trifluoroethanesulfonyl) -α-dimethylglyoxime, bis-o- (tert-butanesulfonyl) -α-dimethylglyoxime, bis-o- (perfluorooctanesulfonyl) -α-dimethylglyoxime , Bis-o- (cyclohexanesulfonyl) -α-dimethylgly Shim, bis-o- (benzenesulfonyl) -α-dimethylglyoxime, bis-o- (p-fluorobenzenesulfonyl) -α-dimethylglyoxime, bis-o- (p-tert-butylbenzenesul Glyoxime derivatives such as poly (yl) -α-dimethylglyoxime, bis-o- (xylenesulfonyl) -α-dimethylglyoxime and bis-o-camphorsulfonyl-α-dimethylglyoxime;

α-(벤젠술포늄옥시이미노)-4-메틸페닐아세트니트릴 등의 옥심술포네이트 유도체;oxime sulfonate derivatives such as α- (benzenesulfoniumoxyimino) -4-methylphenylacetnitrile;

2-시클로헥실카르보닐-2-(p-톨루엔술포닐)프로판, 2-이소프로필카르보닐-2-(p-톨루엔술포닐)프로판 등의 β-케토술폰 유도체;Β-ketosulfone derivatives such as 2-cyclohexylcarbonyl-2- (p-toluenesulfonyl) propane and 2-isopropylcarbonyl-2- (p-toluenesulfonyl) propane;

디페닐디술폰, 디시클로헥실디술폰 등의 디술폰 유도체;Disulfone derivatives such as diphenyl disulfone and dicyclohexyl disulfone;

p-톨루엔술폰산 2,6-디니트로벤질, p-톨루엔술폰산 2,4-디니트로벤질 등의 니트로벤질술포네이트 유도체;nitrobenzylsulfonate derivatives such as p-toluenesulfonic acid 2,6-dinitrobenzyl and p-toluenesulfonic acid 2,4-dinitrobenzyl;

1,2,3-트리스(메탄술포닐옥시)벤젠, 1,2,3-트리스(트리플루오로메탄술포닐옥시)벤젠, 1,2,3-트리스(p-톨루엔술포닐옥시)벤젠 등의 술폰산 에스테르 유도체;1,2,3-tris (methanesulfonyloxy) benzene, 1,2,3-tris (trifluoromethanesulfonyloxy) benzene, 1,2,3-tris (p-toluenesulfonyloxy) benzene, etc. Sulfonic acid ester derivatives;

푸탈이미드-일-트리플레이트, 푸탈이미드-일-토실레이트, 5-노르보르넨 2,3-디카르복시이미드-일-트리플레이트, 5-노르보르넨 2,3-디카르복시이미드-일-토실레이트, 5-노르보르넨 2,3-디카르복시이미드-일-n-부틸술포네이트, n-트리플루오로메틸술포닐옥시나프틸이미드 등의 이미드-일-술포네이트 유도체 등을 들 수 있다.Putimide-yl-triplate, putimide-yl-tosylate, 5-norbornene 2,3-dicarboxyimide-yl-triplate, 5-norbornene 2,3-dicarboxyimide-yl Imide-yl-sulfonate derivatives such as tosylate, 5-norbornene 2,3-dicarboxyimide-yl-n-butylsulfonate, and n-trifluoromethylsulfonyloxynaphthylimide; Can be mentioned.

또한, (5-(4-메틸페닐)술포닐옥시이미노-5H-티오펜-2-일리덴)-(2-메틸페닐)아세트니트릴, (5-(4-(4-메틸페닐술포닐옥시)페닐술포닐옥시이미노)-5H-티오펜-2-일리덴)-(2-메틸페닐)-아세트니트릴 등의 이미노술포네이트나, 2-메틸-2[(4-메틸페닐)술포닐]-1-[(4-메틸티오)페닐]-1-프로판 등을 들 수 있다.Furthermore, (5- (4-methylphenyl) sulfonyloxyimino-5H-thiophen-2-ylidene)-(2-methylphenyl) acetnitrile, (5- (4- (4-methylphenylsulfonyloxy) phenylsul Iminosulfonates such as polyvinyloxyimino) -5H-thiophen-2-ylidene)-(2-methylphenyl) -acetonitrile and 2-methyl-2 [(4-methylphenyl) sulfonyl] -1- [ (4-methylthio) phenyl] -1-propane etc. are mentioned.

이 중에서도, 이미드-일-술포네이트류나 이미노술포네이트류, 옥심술포네이트류 등이 바람직하게 사용된다.Among these, imide-yl- sulfonates, imino sulfonates, oxime sulfonates, etc. are used preferably.

상기 광-유도성 산발생제는, 1종을 단독으로 또는 2종 이상을 혼합하여 사용할 수 있다. 광-유도성 산발생제의 배합량은, 본 발명의 폴리유기실록산 화합물 100 질량부에 대하여 0.05∼20 질량부, 0.2∼5 질량부가 특히 바람직하다. 배합량이 0.05 질량부 미만이면 충분한 콘트라스트(광 노출부와 광 미노출부의 현상액에 대한 용해 속도차)를 얻을 수 없을 수 있으며, 20 질량부를 넘으면 산 발생제 자체 의 광 흡수에 의해 해상성이 나빠질 수 있다.The said photo-inducible acid generator can be used individually by 1 type or in mixture of 2 or more types. As for the compounding quantity of a photo-induced acid generator, 0.05-20 mass parts and 0.2-5 mass parts are especially preferable with respect to 100 mass parts of polyorganosiloxane compounds of this invention. If the blending amount is less than 0.05 parts by mass, sufficient contrast (dissolution rate difference between the developer of the light exposed part and the unexposed part may not be obtained), and if it exceeds 20 parts by mass, the resolution may deteriorate due to light absorption of the acid generator itself. .

다음으로, (C)의 열경화제에 대하여 설명한다. 열경화제로서는, 본 발명의 폴리유기실록산 내의 실라놀기 또는 열경화제간에 축합 또는 부가 반응에 의한 가교에 의해 경화되는 것이면 특별히 한정되지 않으며, 예를 들면 멜라민계 화합물, 글리콜우릴계 화합물, 요소계 화합물 또는 1분자당 평균 2개 이상의 에폭시기를 가지는 에폭시계 화합물로부터 선택되는 화합물 등을 들 수 있다.Next, the thermosetting agent of (C) is demonstrated. The thermosetting agent is not particularly limited as long as it is cured by crosslinking by condensation or addition reaction between silanol groups or thermosetting agents in the polyorganosiloxane of the present invention, and for example, melamine compounds, glycoluril compounds, urea compounds or And compounds selected from epoxy compounds having an average of two or more epoxy groups per molecule.

멜라민계 화합물의 예로서는, 예를 들면 헥사메틸롤멜라민헥사메틸에테르, 헥사메틸롤멜라민헥사부틸에테르, 테트라메톡시메틸벤조구아나민, 테트라부톡시메틸벤조구아나민 등을 들 수 있다.As an example of a melamine type compound, hexamethylol melamine hexamethyl ether, hexamethylol melamine hexabutyl ether, tetramethoxymethylbenzoguanamine, tetrabutoxymethylbenzoguanamine, etc. are mentioned, for example.

글리콜우릴계 화합물로서는, 예를 들면 테트라메톡시메틸글리콜우릴, 테트라부톡시메틸글리콜우릴 등을 들 수 있다.As a glycoluril type compound, tetramethoxymethylglycoluril, tetrabutoxymethylglycoluril, etc. are mentioned, for example.

요소계 화합물로서는, 테트라메톡시메틸 요소, 디메톡시메틸에틸렌 요소, 디메톡시메틸프로필렌 요소 등을 들 수 있다.Examples of the urea-based compound include tetramethoxymethyl urea, dimethoxymethylethylene urea and dimethoxymethylpropylene urea.

1분자당 평균 2개 이상의 에폭시기를 가지는 에폭시계 화합물로서는, 페놀노볼락형 에폭시 수지, 크레졸 노볼락형 에폭시 수지, 디글리시딜비스페놀 A 등의 비스페놀 A형 에폭시 수지, 디글리시딜비스페놀 F 등의 비스페놀 F형 에폭시 수지, 트리페닐롤프로판트리글리시딜에테르 등의 트리페닐메탄형 에폭시 수지, 3,4-에폭시시클로헥실메틸-3,4-에폭시시클로헥산카르복시레이트 등의 고리형 지방족 에폭시 수지, 디글리시딜프탈레이트, 디글리시딜헥사히드로프탈레이트, 디메틸글리시딜프탈레이트 등의 글리시딜에스테르계 수지, 테트라글리시딜디아미노디페닐메탄, 트리 글리시딜-p-아미노페놀, 디글리시딜아닐린, 디글리시딜톨루이딘, 테트라글리시딜비스아미노메틸시클로헥산 등의 글리시딜아민계 수지 등을 들 수 있다.As an epoxy compound which has an average of 2 or more epoxy groups per molecule, Bisphenol-A epoxy resins, such as a phenol novolak-type epoxy resin, a cresol novolak-type epoxy resin, diglycidyl bisphenol A, diglycidyl bisphenol F, etc. Cyclic aliphatic epoxy resins such as triphenylmethane type epoxy resins such as bisphenol F type epoxy resin and triphenylolpropane triglycidyl ether, and 3,4-epoxycyclohexylmethyl-3,4-epoxycyclohexanecarboxylate; Glycidyl ester resins such as diglycidyl phthalate, diglycidyl hexahydrophthalate, dimethylglycidyl phthalate, tetraglycidyl diaminodiphenylmethane, triglycidyl-p-aminophenol, and diglycidyl Glycidyl amine resins, such as cydyl aniline, diglycidyl toluidine, and tetraglycidyl bisamino methyl cyclohexane, etc. are mentioned.

상기 열경화제는, 1종을 단독으로 또는 2종 이상을 혼합하여 사용할 수 있다. 열경화제의 배합량은, 본 발명의 폴리유기실록산 화합물 100 질량부에 대하여 0.1∼20 질량부, 2∼10 질량부가 특히 바람직하다. 배합량이 0.1 질량부 미만이면 충분한 가교 밀도를 얻을 수 없을 수 있으며, 20 질량부를 초과하면 열경화제 자체의 광 흡수에 의해 투명성이 나빠지거나 저장 안정성이 저하되는 경우가 있다.The said thermosetting agent can be used individually by 1 type or in mixture of 2 or more types. As for the compounding quantity of a thermosetting agent, 0.1-20 mass parts and 2-10 mass parts are especially preferable with respect to 100 mass parts of polyorganosiloxane compounds of this invention. If the blending amount is less than 0.1 part by mass, sufficient crosslinking density may not be obtained. If the blending amount is more than 20 parts by mass, transparency may deteriorate or storage stability may be degraded due to light absorption of the thermosetting agent itself.

(D)의 용제로서는, 본 발명의 (A) 폴리유기실록산, (B) 광-유도성 산발생제 및 (C) 열경화제에 대하여 충분한 용해도를 가지며, 양호한 도막성을 부여하는 용제이면, 특별한 한정없이 사용할 수 있다. 예를 들면, 시클로헥사논, 시클로펜타논, 메틸-2-n-아밀케톤 등의 케톤류; 3-메톡시부탄올, 3-메틸-3-메톡시부탄올, 1-메톡시-2-프로판올, 1-에톡시-2-프로판올 등의 알코올류; 프로필렌글리콜모노메틸에테르, 에틸렌글리콜모노메틸에테르, 프로필렌글리콜모노에틸에테르, 에틸렌글리콜모노에틸에테르, 프로필렌글리콜디메틸에테르, 디에틸렌글리콜디메틸에테르 등의 에테르류; 프로필렌글리콜모노메틸에테르아세테이트, 프로필렌글리콜모노에틸에테르아세테이트, 락트산 에틸, 피루빈산 에틸, 아세트산 부틸, 3-메톡시프로피온산 메틸, 3-에톡시프로피온산 에틸, 아세트산 tert-부틸, 프로피온산 tert-부틸, 프로필렌글리콜-모노-tert-부틸에테르아세테이트, γ-부티로락톤 등의 에스테르류 등을 들 수 있으며, 이들 중 1종을 단독으로 또는 2종 이상을 병용하여 사용할 수 있다. 이들 중에서도 특히, (A) 폴리유기실록산, (B) 광-유도성 산발생제 및 (C) 열경화 제에 대하여, 용해성이 가장 우수한 락트산 에틸, 시클로헥사논, 시클로펜타논, 프로필렌글리콜모노메틸에테르아세테이트, γ-부티로락톤 및 그 혼합 용제가 바람직하다. 전술한 유기용제의 사용량은, 성분 (A)∼(C)의 전체 고형분 100 질량부에 대하여 50∼2,000 질량부, 100∼1,000 질량부가 특히 바람직하다. 50 질량부 미만이면 전술한 각 성분 (A)∼(C)의 상용성(相溶性)이 불충분해질 수 있고, 반대로 2,000 질량부를 넘어도 상용성에는 큰 변화가 없으나 점도가 너무 낮아져서 수지의 도포에 적합하지 않게 될 우려가 있다.As a solvent of (D), if it is a solvent which has sufficient solubility with respect to (A) polyorganosiloxane of this invention, (B) photo-inducible acid generator, and (C) thermosetting agent, and provides favorable coating film property, it will be special. Can be used without limitation. For example, ketones, such as cyclohexanone, cyclopentanone, and methyl-2-n-amyl ketone; 3-methoxy butanol, 3-methyl-3- methoxy butanol, 1-methoxy-2-propanol, 1 Alcohols such as ethoxy-2-propanol; ethers such as propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether and diethylene glycol dimethyl ether Propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate, ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, tert-butyl propionate, tert-butyl propionate, Ester, such as a propylene glycol mono- tert- butyl ether acetate and (gamma) -butyrolactone, etc. are mentioned, One type is used individually or two or more types are bottled. And it can be used. Among these, ethyl lactate, cyclohexanone, cyclopentanone, propylene glycol monomethyl having the highest solubility with respect to (A) polyorganosiloxane, (B) light-induced acid generator and (C) thermosetting agent, among others. Ether acetate, γ-butyrolactone and mixed solvents thereof are preferable. As for the usage-amount of the above-mentioned organic solvent, 50-2,000 mass parts and 100-1,000 mass parts are especially preferable with respect to 100 mass parts of total solids of components (A)-(C). If it is less than 50 parts by mass, the compatibility of each of the above-mentioned components (A) to (C) may become insufficient. On the contrary, even if it exceeds 2,000 parts by mass, the compatibility will not be largely changed, but the viscosity will be too low. There is a possibility of becoming unsuitable.

또한, 본 발명의 폴리유기실록산에는 전술한 각 성분 외에도, 첨가 성분을 더 배합할 수 있다.Moreover, in addition to each component mentioned above, the polyorganosiloxane of this invention can further mix | blend an addition component.

첨가 성분의 하나로서는, 예를 들면 도포성을 향상시키기 위해 통상적으로 사용되고 있는 계면활성제를, (A) 성분 100 질량부에 대하여 0.01∼1 질량부의 비율로 첨가할 수 있다. 계면활성제로서는, 비이온성 계면활성제가 바람직하고, 예를 들면 불소계 계면활성제, 구체적으로는 퍼플루오로알킬폴리옥시에틸렌에탄올, 불화 알킬에스테르, 퍼플루오로알킬아민옥사이드, 불소함유성 유기실록산계 화합물 등을 들 수 있다.As one of the additive components, the surfactant usually used in order to improve applicability, for example, can be added in a ratio of 0.01 to 1 part by mass based on 100 parts by mass of the component (A). As the surfactant, nonionic surfactants are preferable, and for example, fluorine-based surfactants, specifically, perfluoroalkylpolyoxyethylene ethanol, fluorinated alkyl esters, perfluoroalkylamine oxides, fluorine-containing organosiloxane compounds, and the like. Can be mentioned.

이들은, 시판되고 있는 것을 사용할 수 있고, 예를 들면, 플로라드 "FC-4430"[스미토모3M(주) 제품), 서프론 "S-141" 및 "S-145"[모두 아사히유리(주) 제품], 유니다인 "DS-401", "DS-4031" 및 "DS-451"[모두 다이킨공업(주) 제품], 메가팩 "F-8151"[다이니폰잉키공업(주) 제품], "X-70-093"[신에츠화학공업(주) 제품] 등을 들 수 있다. 이들 중에서도, 플로라드 "FC-4430"[스미토모3M(주) 제품] 및 "X-70-093"[신에츠화학공업(주) 제품]을 바람직하게 사용할 수 있다.These can use a commercially available thing, For example, Florade "FC-4430" (manufactured by Sumitomo 3M Co., Ltd.), Supron "S-141", and "S-145" (both Asahi Glass Co., Ltd.) Products], Units "DS-401", "DS-4031" and "DS-451" [All Daikin Industries Co., Ltd.], Mega Pack "F-8151" [Products by Dainippon Inkie Co., Ltd.] And "X-70-093" (manufactured by Shin-Etsu Chemical Co., Ltd.). Among these, Florade "FC-4430" (manufactured by Sumitomo 3M Co., Ltd.) and "X-70-093" (manufactured by Shin-Etsu Chemical Co., Ltd.) can be preferably used.

또 다른 첨가 성분으로서, 환경 안정성, 패턴 형상 또는 보존 경시 안정성을 향상시키기 위해 질소함유성 화합물을 첨가할 수 있다. 질소함유성 화합물로서는 아민, 특히 제2 급 또는 제3 급의 지방족 아민이 있다. 이 제2 급 또는 제3 급 아민의 예로서는, 디에틸아민, 디-n-프로필아민, 디에타놀아민, 트리메틸아민, 트리에틸아민, 트리-n-프로필아민, 트리에타놀아민, 트리프로판올아민 등을 들 수 있다.As another additive component, a nitrogen-containing compound may be added to improve environmental stability, pattern shape, or storage stability over time. Nitrogen-containing compounds include amines, in particular secondary or tertiary aliphatic amines. Examples of this secondary or tertiary amine include diethylamine, di-n-propylamine, diethanolamine, trimethylamine, triethylamine, tri-n-propylamine, triethanolamine, tripropanolamine and the like. Can be mentioned.

다음으로, 본 발명의 방사성 감수성 수지 조성물을 ㅇ;용한 패턴 형성 방법에 대하여 설명한다. 본 발명의 방사성 감수성 수지 조성물을 딥핑법, 스핀 코트법, 롤 코트법 등의 공지의 방법에 의해 기판에 도포하고, 핫 플레이트, 오븐 등의 가열 장치에서, 통상 80∼120℃에서, 50∼300분간 프리베이킹 처리하여, 0.5∼20μm의 레지스트 막을 형성한다. 이어서, 축소 투영형 노광 장치, 마스크 얼라이너 등의 방사선 발생 장치를 사용하여, 150∼450nm 범위의 각종 파장의 광, 예를 들면, g선, i선 등의 자외선광, 원자외선광(248nm, 193nm) 등의 광에 노출시킨다. 광 노출 후에는 필요에 따라 현상 감도를 더 높이기 위하여, 통상 90∼130℃에서, 50∼300분간 광 노출 후 가열 처리할 수도 있다.Next, the pattern formation method using the radiosensitive resin composition of this invention is demonstrated. The radioactive susceptible resin composition of the present invention is applied to a substrate by a known method such as a dipping method, a spin coating method, a roll coating method, and is usually 50 to 300 at a heating device such as a hot plate or an oven at 80 to 120 ° C. Prebaking is performed for a minute, and the resist film of 0.5-20 micrometers is formed. Subsequently, using radiation generating apparatuses such as a reduced projection type exposure apparatus and a mask aligner, light having various wavelengths in the range of 150 to 450 nm, for example, ultraviolet light such as g line and i line, ultraviolet light (248 nm, 193 nm) or the like. After light exposure, you may heat-process after light exposure for 50 to 300 minutes normally at 90-130 degreeC, in order to raise image development sensitivity further as needed.

상기 광 노출 후 또는 광 노출하여 가열한 후, 현상액으로 현상한다. 현상액으로서는, 수산화 테트라메틸암모늄 수용액 등으로 대표되는 공지의 알칼리 현상액 용제를 사용한다. 현상은, 통상적인 방법, 예를 들면 패턴 형성물을 침지하거나 하여 행할 수 있다. 그 후, 필요에 따라, 세정, 린스, 건조 등을 행하여, 원하 는 패턴을 얻을 수 있다.After the light exposure or heating by light exposure, development is carried out with a developer. As a developing solution, the well-known alkaline developing solvent represented by tetramethylammonium hydroxide aqueous solution etc. is used. The development can be carried out by immersing a conventional method, for example, a pattern formation. Thereafter, if desired, washing, rinsing, drying, and the like can be performed to obtain a desired pattern.

그 후, 열경화성 수지 조성물의 경우에는, 얻어진 패턴을 오븐이나 핫 플레이트를 사용하여 100∼250℃에서 10분 ∼10시간 정도 더 가열하여, 가교 밀도를 증가시켜 잔존하는 휘발 성분을 제거함으로써, 내열성, 투명성, 저유전율 특성 및 내용제성이 우수한 경화막을 형성시킬 수 있다.Thereafter, in the case of the thermosetting resin composition, the obtained pattern is further heated at 100 to 250 ° C. for about 10 minutes to 10 hours using an oven or a hot plate to increase the crosslinking density to remove the remaining volatile components, thereby providing heat resistance, A cured film excellent in transparency, low dielectric constant and solvent resistance can be formed.

전술한 바와 같이 하여 상기 수지 조성물로부터 얻어지는 피막은, 기재와의 밀착성, 내열성, 전기 절연성, 기계적 특성이 우수하여, 전기 부품, 전자 부품, 반도체 소자 등의 보호막으로서 바람직하게 사용되며, 미세한 패턴 형성이 가능할 뿐만 아니라, 형성된 피막은, 기재에 대한 접착성, 전기 특성, 기계 특성 등이 우수하여 반도체 소자의 보호막, 배선 보호막, 커버 레이 필름, 솔더 레지스트 등에 바람직하게 사용된다.As mentioned above, the film obtained from the said resin composition is excellent in adhesiveness with a base material, heat resistance, electrical insulation, and mechanical characteristics, and is used suitably as a protective film of electrical components, an electronic component, a semiconductor element, etc., and fine pattern formation is carried out. In addition, the formed film is excellent in adhesiveness to the substrate, electrical properties, mechanical properties, and the like, and is preferably used for a protective film, a wiring protective film, a coverlay film, a solder resist, and the like of a semiconductor element.

[실시예]EXAMPLE

이하, 실시예를 나타내어, 본 발명을 구체적으로 설명하지만, 본 발명은 하기의 실시예로 한정되는 것은 아니다.Hereinafter, although an Example is shown and this invention is demonstrated concretely, this invention is not limited to the following Example.

[합성예 1]Synthesis Example 1

폴리실록산 A의 합성Synthesis of Polysiloxane A

온도계, 교반기, 냉각기가 구비된 1L의 3구 플라스크에, 페닐트리메톡시실란 89.2g(0.45 몰), 2-(3,4-에폭시시클로헥실)에틸트리메톡시실란 110.9g(0.45 몰), 메탄올 360g를 넣고, 교반하면서 10℃ 이하에서 빙랭하였다. 적하 루트에 0.1 M의 아세트산 수용액 90g을 넣어, 빙랭하면서 적하함으로써, 발열에 수반한 가수분해 축합 반응을 진행하였다. 내부 온도를 실온까지 승온시켜, 2시간 교반 및 숙성한 후, 에스테르 어댑터를 설치해 가열 상압에 의해 메탄올을 증류제거하였다. In a 1 L three-necked flask equipped with a thermometer, a stirrer and a cooler, 89.2 g (0.45 mol) of phenyltrimethoxysilane, 110.9 g (0.45 mol) of 2- (3,4-epoxycyclohexyl) ethyltrimethoxysilane, Methanol 360g was added and ice-cooled at 10 degrees C or less, stirring. 90 g of 0.1 M acetic acid aqueous solution was added to the dropping route, and the mixture was dropped while ice-cooling, thereby proceeding with the hydrolysis condensation reaction with exothermic heat. After raising the internal temperature to room temperature, stirring and aging for 2 hours, an ester adapter was installed and methanol was distilled off by heating at atmospheric pressure.

내부 온도가 메탄올의 비등점을 초과할 때까지 계속 증류제거함으로써, 백탁(白濁)의 점성을 보이는 폴리실록산 용액을 얻었다. 이 때, 포착한 메탄올의 양은 382g이었다. 이 폴리실록산 용액에 아세트산 에틸 300g을 첨가하고, 용해한 용액을 순수한 물로 2회 수세하였다. 이 폴리실록산 용액을 로터리 증발기에 의해 농축함으로써, 무색 투명한 폴리실록산 용액 A 233 g(고형분 농도 62.2 질량%)을 얻었다.The disiloxane was continuously distilled off until the internal temperature exceeded the boiling point of methanol, thereby obtaining a polysiloxane solution having a white turbid viscosity. At this time, the amount of methanol captured was 382 g. 300 g of ethyl acetate was added to this polysiloxane solution, and the dissolved solution was washed twice with pure water. By concentrating this polysiloxane solution by the rotary evaporator, 233 g of colorless transparent polysiloxane solutions A (solid content concentration 62.2 mass%) were obtained.

이 폴리실록산의 중량 평균 분자량(GPC 폴리스티렌 표준)은 4.2×103이었다. 또한, 알칼리 분쇄법에서는, 잔존 메톡시기는 검출되지 않았다. 29Si-NMR로 T0∼T3의 존재 비율을 구하여, 하기의 평균 조성식을 산출하였다.The weight average molecular weight (GPC polystyrene standard) of this polysiloxane was 4.2x10 <3> . In the alkali crushing method, no residual methoxy group was detected. The abundance ratio of T0-T3 was calculated | required by 29 Si-NMR, and the following average composition formula was computed.

Ph0 .5(EP)0.5Si(OH)0.72O1 .14 Ph 0 .5 (EP) 0.5 Si (OH) 0.72 O 1 .14

EP: 2-(3,4-에폭시시클로헥실)에틸기EP: 2- (3,4-epoxycyclohexyl) ethyl group

Ph: 페닐기Ph: phenyl group

[Ph기, EP기 모두 R1기에 해당함. 평균 조성식 (1) 표기: a=1.0, b=0, c=0.72][Ph group, EP group both correspond to R 1 group. Average composition formula (1) notation: a = 1.0, b = 0, c = 0.72]

[합성예 2]Synthesis Example 2

폴리실록산 B의 합성Synthesis of Polysiloxane B

온도계, 교반기, 냉각기가 구비된 1L의 3구 플라스크에, 페닐트리메톡시실란 99.1g(0.5 몰), 디메틸디메톡시실란 60.1g(0.5 몰), 메탄올 277g을 넣고, 교반하면서 10℃ 이하로 빙랭하였다. 적하 루트에 0.1 M의 메탄술폰산 수용액 84g을 넣어, 빙랭하면서 적하함으로써, 발열이 수반된 가수분해 축합 반응을 진행하였다. 내부 온도를 실온까지 승온시켜, 2시간 교반 및 숙성한 후, 에스테르 어댑터를 설치하여, 가열 상압에 의해 메탄올을 증류제거하였다. 내부 온도가 메탄올의 비등점을 초과할 때까지 증류제거를 계속함으로써, 백탁의 점성을 보이는 폴리실록산 용액을 얻었다. 이 때, 포착한 메타올의 양은 280g이었다. 이 폴리실록산 용액에 아세트산 에틸 200g을 첨가하고, 용해한 용액을 순수한 물로 2회 수세하였다. 이 폴리실록산 용액을 로터리 증발기에 의해 농축함으로써, 무색 투명한 폴리실록산 용액 B 185g(고형분 농도 60.5 질량%)을 얻었다.Into a 1 L three-necked flask equipped with a thermometer, a stirrer, and a cooler, 99.1 g (0.5 mol) of phenyltrimethoxysilane, 60.1 g (0.5 mol) of dimethyldimethoxysilane, and 277 g of methanol were ice-cooled to 10 DEG C or lower while stirring. It was. 84 g of 0.1 M aqueous methanesulfonic acid solution was added to the dropping route, and the resultant was dripped while ice-cooling to proceed the hydrolysis condensation reaction with exothermic heat. After raising internal temperature to room temperature, stirring and aging for 2 hours, ester adapter was installed and methanol was distilled off by the normal pressure of heating. Distillation was continued until the internal temperature exceeded the boiling point of methanol, thereby obtaining a polysiloxane solution showing a white cloudy viscosity. At this time, the amount of captured metaol was 280g. 200 g of ethyl acetate was added to this polysiloxane solution, and the dissolved solution was washed with pure water twice. By concentrating this polysiloxane solution by the rotary evaporator, 185 g of colorless transparent polysiloxane solutions B (solid content concentration 60.5 mass%) were obtained.

이 폴리실록산의 중량 평균 분자량(GPC 폴리스티렌 표준)은 3.8×103이었다. 또한, 알칼리 분쇄법에서는 잔존 메톡시기는 검출되지 않았다. 29Si-NMR로 T0∼T3의 존재비율을 구하고, 하기의 평균 조성식을 산출하였다.The weight average molecular weight (GPC polystyrene standard) of this polysiloxane was 3.8x10 <3> . In addition, the residual methoxy group was not detected by the alkali grinding method. The abundance ratio of T0-T3 was calculated | required by 29 Si-NMR, and the following average composition formula was computed.

Ph0 .5Me1 .0Si(OH)0.6O0 .95 Ph 0 .5 Me 1 .0 Si ( OH) 0.6 O 0 .95

[Ph기(페닐기), Me기(메틸기) 모두 R1기에 해당. 평균 조성식 (1) 표기: a=1.5, b=0, c=0.6][Ph group (phenyl group), Me group (methyl group) both correspond to R <1> group. Average composition formula (1) notation: a = 1.5, b = 0, c = 0.6]

[합성예 3]Synthesis Example 3

폴리실록산 C의 합성Synthesis of Polysiloxane C

온도계, 교반기, 냉각기가 구비된 1L의 3구 플라스크에, 테트라메톡시실란 98.9g(0.65 몰), 페닐트리메톡시실란 69.4g(0.35 몰), 메탄올 360g을 넣고, 교반하면서 10℃ 이하로 빙랭하였다. 적하 루트에 0.1 M의 염산 수용액 122g을 넣어, 빙랭하면서 적하함으로써, 발열이 수반된 가수분해 반응을 진행하였다. 내부 온도를 실온까지 승온시켜, 2시간 교반 및 숙성한 후, 에스테르 어댑터를 설치하고, 가열 상압에 의해 메탄올을 증류제거하였다. 내부 온도가 메탄올의 비등점을 초과할 때까지 계속 증류제거함으로써, 폴리실록산 용액을 얻었다. 이 폴리실록산 용액에 아세트산 에틸 200g을 첨가하고, 얻어진 폴리실록산 용액을 순수한 물로 2회 수세를 행하였다. 이 폴리실록산 용액을 로터리 증발기에 의해 농축함으로써, 무색 투명한 폴리실록산 용액 C 491g(고형분 농도 20.2 질량%)을 얻었다.Into a 1 L three-necked flask equipped with a thermometer, a stirrer, and a cooler, 98.9 g (0.65 mol) of tetramethoxysilane, 69.4 g (0.35 mol) of phenyltrimethoxysilane, and 360 g of methanol were ice-cooled to 10 DEG C or lower while stirring. It was. 122 g of 0.1 M aqueous hydrochloric acid solution was added to the dropping route, and the mixture was dropped while ice-cooling, thereby proceeding with the hydrolysis reaction with exothermic heat. After raising the internal temperature to room temperature, stirring and aging for 2 hours, an ester adapter was installed and methanol was distilled off by heating at atmospheric pressure. The polysiloxane solution was obtained by continuing distillation until the internal temperature exceeded the boiling point of methanol. Ethyl acetate 200g was added to this polysiloxane solution, and the obtained polysiloxane solution was washed with pure water twice. By concentrating this polysiloxane solution by the rotary evaporator, 491 g of colorless transparent polysiloxane solutions C (solid content concentration 20.2 mass%) were obtained.

이 폴리실록산의 중량 평균 분자량(GPC 폴리스티렌 표준)은 5.5×103이었다. 또한, 잔존 메톡시기는 알칼리 분쇄법으로 1.0 질량% 검출되었다. 29Si-NMR로 T0∼T3의 존재비율을 구함으로써, 평균 조성식은 하기와 같이 산출되었다.The weight average molecular weight (GPC polystyrene standard) of this polysiloxane was 5.5x10 <3> . In addition, 1.0 mass% of residual methoxy groups were detected by the alkali grinding method. By calculating the abundance ratio of T0 to T3 by 29 Si-NMR, the average composition formula was calculated as follows.

Ph0 .35Si(OMe)0.03(OH)1.03O1 .21 Si (OMe) 0.03 (OH) Ph 0 .35 1.03 O 1 .21

[평균 조성식 (1) 표기: a=0.35, b=0.03, c=1.03][Average composition formula (1) notation: a = 0.35, b = 0.03, c = 1.03]

[합성예 4]Synthesis Example 4

아세탈화 폴리실록산 D의 합성Synthesis of Acetalized Polysiloxane D

합성예 1에서 얻어진 폴리실록산 A 80.4g을 아세트산 에틸 용액에서 테트라 히드로퓨란 용액(고형분 농도 20 질량%)으로 용매 치환한 후, 온도계, 교반기, 냉각기가 구비된 1L의 3구 플라스크에 넣고, 메탄술폰산 1.0g(0.01 몰)을 첨가했다.80.4 g of the polysiloxane A obtained in Synthesis Example 1 was solvent-substituted in an ethyl acetate solution with a tetrahydrofuran solution (solid content concentration of 20 mass%), and then placed in a 1 L three-necked flask equipped with a thermometer, a stirrer, and a cooler, and methanesulfonic acid 1.0 g (0.01 mol) was added.

다음으로, 10℃ 이하로 빙랭하고 교반하면서, 에틸-1-프로페닐에테르 19.4g(0.23 몰)을 적하 루트에서 내부 온도를 10℃ 이하로 유지하면서 적하하였다. 적하 후 실온에서 2시간 반응시킨 후, 트리에틸아민 1.0g(0.01 몰)을 첨가하여 반응을 종료하였다. 반응액을 1L 용량의 플라스크로 옮기고, 상온 감압하 로터리 증발기에 의해 테트라히드로퓨란을 증류제거하고, 메틸이소부틸케톤 300g 및 0.01N의 아세트산 수용액 250ml를 첨가하고, 반응액을 물로 세정했다. 이 세정 조작을 총 3회 반복한 후, 유기층을 분리시키고, 로터리 증발기에 의해 농축하여, 무색 투명한 아세탈화 폴리실록산 D 49.0g을 얻었다.Next, 19.4 g (0.23 mol) of ethyl-1-propenyl ethers were dripped at the dropping route, maintaining the internal temperature at 10 degrees C or less, ice-cooling and stirring at 10 degrees C or less. After reacting at room temperature for 2 hours after the dropwise addition, 1.0 g (0.01 mol) of triethylamine was added to terminate the reaction. The reaction solution was transferred to a 1 L flask, tetrahydrofuran was distilled off by a rotary evaporator under reduced pressure at room temperature, 300 g of methyl isobutyl ketone and 250 ml of acetic acid aqueous solution of 0.01 N were added, and the reaction solution was washed with water. After this washing operation was repeated three times in total, the organic layer was separated and concentrated by a rotary evaporator to obtain 49.0 g of colorless transparent acetalized polysiloxane D.

이 아세탈화 폴리실록산 D의 아세탈 치환율은 13C-NMR의 결과로부터 32.5 몰%로 산출되었다.The acetal substitution rate of this acetalized polysiloxane D was calculated at 32.5 mol% from the result of 13 C-NMR.

[합성예 5]Synthesis Example 5

아세탈화 폴리실록산 E의 합성Synthesis of Acetalized Polysiloxane E

합성예 1에서 얻어진 폴리실록산 A 80.4g을 아세트산 에틸 용액에서 테트라히드로퓨란 용액(실록산 농도 20 질량%)으로 용매 치환한 후, 온도계, 교반기, 냉각기가 구비된 1L의 3구 플라스크에 넣고, 메탄술폰산 1.0g(0.01몰)을 첨가했다.80.4 g of polysiloxane A obtained in Synthesis Example 1 was solvent-substituted in an ethyl acetate solution with a tetrahydrofuran solution (20 mass% siloxane concentration), and then placed in a 1 L three-necked flask equipped with a thermometer, a stirrer, and a cooler, and methanesulfonic acid 1.0 g (0.01 mol) was added.

다음으로, 10℃ 이하로 빙랭하고 교반하면서, 에틸 비닐 에테르 7.3g(0.10몰)과 1,4-부탄디올디비닐에테르 1.6g(0.01몰)을 적하 루트에서 내부 온도를 10℃ 이하로 유지하면서 적하하였다. 적하 후 실온에서 2시간 동안 반응시킨 후, 트리에틸아민 1.0g(0.01몰)을 첨가하여 반응을 종료하였다. 반응액을 1L 용량의 플라스크로 옮기고, 상온 감압하 로터리 증발기에서 테트라히드로퓨란을 증류제거하고, 메틸 이소 부틸 케톤 300g 및 0.01N의 아세트산 수용액 250ml를 첨가하고, 반응액을 물로 세정했다. 이 세정 조작을 총 3회 반복 후, 유기층을 분리하고, 로터리 증발기에서 농축하여, 무색 투명한 아세탈화 폴리실록산 E 49.0g을 얻었다.Next, 7.3 g (0.10 mol) of ethyl vinyl ether and 1.6 g (0.01 mol) of 1,4-butanediol divinyl ether were added dropwise while maintaining the internal temperature at 10 ° C. or lower in the dropping route while ice-cooling and stirring at 10 ° C. or lower. It was. After dropping, the mixture was allowed to react at room temperature for 2 hours, and then triethylamine 1.0g (0.01 mol) was added to terminate the reaction. The reaction solution was transferred to a 1 L flask, tetrahydrofuran was distilled off in a rotary evaporator under reduced pressure at room temperature, 300 g of methyl isobutyl ketone and 250 ml of acetic acid aqueous solution of 0.01 N were added, and the reaction solution was washed with water. After this washing operation was repeated three times in total, the organic layer was separated and concentrated in a rotary evaporator to obtain 49.0 g of colorless transparent acetalized polysiloxane E.

이 아세탈화 폴리실록산 E의 아세탈 치환율은 13C-NMR의 결과로부터 34.5 몰%로 산출되었다.The acetal substitution rate of this acetalized polysiloxane E was computed at 34.5 mol% from the result of 13 C-NMR.

[합성예 6]Synthesis Example 6

아세탈화 폴리실록산 F의 합성Synthesis of Acetalized Polysiloxane F

합성예 2에서 얻어진 폴리실록산 B 82.6g을 아세트산 에틸 용액에서 테트라히드로퓨란 용액(실록산 농도 20 질량%)으로 용매 치환한 후, 온도계, 교반기, 냉각기가 구비된 1L의 3구 플라스크에 넣고, 메탄술폰산 1.0g(0.01몰)을 첨가했다.82.6 g of polysiloxane B obtained in Synthesis Example 2 was solvent-substituted in an ethyl acetate solution with a tetrahydrofuran solution (20 mass% of siloxane concentration), and then placed in a 1 L three-necked flask equipped with a thermometer, a stirrer and a cooler, and methanesulfonic acid 1.0 g (0.01 mol) was added.

다음으로, 10℃ 이하로 빙랭하고 교반하면서, 3,4-디하이드로-2H-피란 23.6g(0.28몰)을 적하 루트에서 내부 온도를 10℃ 이하로 유지하면서 적하하였다. 적하 후 실온에서 2시간 동안 반응시킨 후, 트리에틸아민 1.0g(0.01몰)을 첨가하여 반응을 종료하였다. 반응액을 1L 용량의 플라스크로 옮기고, 상온 감압하 로터리 증발기에서 테트라히드로퓨란을 증류제거하고, 메틸이소부틸케톤 300g 및 0.01N의 아세트산 수용액 250ml를 첨가하고, 반응액을 물로 세정했다. 이 세정 조작을 총 3회 반복한 후, 유기층을 분리하고, 로터리 증발기에서 농축하여, 무색 투명한 아세탈화 폴리실록산 F 48.4g을 얻었다.Next, 23.6 g (0.28 mol) of 3,4-dihydro-2H-pyrans were dripped at the root of dripping, keeping ice-cooling at 10 degrees C or less, and stirring. After dropping, the mixture was allowed to react at room temperature for 2 hours, and then triethylamine 1.0g (0.01 mol) was added to terminate the reaction. The reaction solution was transferred to a 1 L flask, tetrahydrofuran was distilled off in a rotary evaporator under reduced pressure at room temperature, 300 g of methyl isobutyl ketone and 250 ml of 0.01 N acetic acid aqueous solution were added, and the reaction solution was washed with water. After this washing operation was repeated three times in total, the organic layer was separated and concentrated in a rotary evaporator to obtain 48.4 g of colorless transparent acetalized polysiloxane F.

이 아세탈화 폴리실록산 F의 아세탈 치환율은 13C-NMR의 결과로부터 46.3 몰%로 산출되었다.The acetal substitution rate of this acetalized polysiloxane F was calculated as 46.3 mol% from the result of 13 C-NMR.

[합성예 7]Synthesis Example 7

아세탈화 폴리실록산 G의 합성Synthesis of Acetalized Polysiloxane G

합성예 3에서 얻어진 폴리실록산 C 247.5g을 아세트산 에틸 용액에서 테트라히드로퓨란 용액(실록산 농도 20 질량%)으로 용매 치환한 후, 온도계, 교반기, 냉각기가 구비된 1L의 3구 플라스크에 넣고, 메탄술폰산 1.0g(0.01 몰)을 첨가했다.Solvent substitution of 247.5 g of polysiloxane C obtained in Synthesis Example 3 with tetrahydrofuran solution (20 mass% of siloxane concentration) in ethyl acetate solution was carried out in the 1 L three-necked flask equipped with a thermometer, a stirrer, and a cooler, and methanesulfonic acid 1.0 g (0.01 mol) was added.

다음으로, 10℃ 이하로 빙랭하고 교반하면서, 이소부틸비닐에테르 21.7g(0.40몰)과 1,4-시클로헥산디메타놀디비닐에테르 10.6g(0.1몰)을 적하 루트에서 내부 온도를 10℃ 이하로 유지하면서 적하하였다. 적하 후 실온에서 2시간 동안 반응시킨 후, 트리에틸아민 1.0g(0.01몰)을 첨가하여 반응을 종료하였다. 반응액을 1L 용량의 플라스크로 옮기고, 상온 감압하 로터리 증발기에서 테트라히드로퓨란을 증류제거하고, 메틸이소부틸케톤 400g 및 0.01N의 아세트산 수용액 250ml를 첨가하고, 반응액을 물로 세정했다. 이 세정 조작을 총 3회 반복 후, 유기층을 분리하고, 로터리 증발기에 의해 농축하여, 무색 투명한 아세탈화 폴리실록산 G를 48.2g 얻었다.Next, 21.7 g (0.40 mol) of isobutyl vinyl ether and 10.6 g (0.1 mol) of 1,4-cyclohexanedimetholdivinyl ether were dripped at the inside of a dropping route, ice-cooling and stirring to 10 degrees C or less. It was dripped keeping it as. After dropping, the mixture was allowed to react at room temperature for 2 hours, and then triethylamine 1.0g (0.01 mol) was added to terminate the reaction. The reaction solution was transferred to a 1 L flask, tetrahydrofuran was distilled off in a rotary evaporator under reduced pressure at room temperature, 400 g of methyl isobutyl ketone and 250 ml of acetic acid aqueous solution of 0.01 N were added, and the reaction solution was washed with water. After this washing operation was repeated three times in total, the organic layer was separated and concentrated by a rotary evaporator to obtain 48.2 g of a colorless transparent acetalized polysiloxane G.

이 아세탈화 폴리실록산 G의 아세탈 치환율은, 13C-NMR의 결과로부터 58.8 몰%로 산출되었다.The acetal substitution rate of this acetalized polysiloxane G was computed at 58.8 mol% from the result of 13 C-NMR.

[실시예 1]Example 1

합성예 4에서 얻어진 아세탈화 폴리실록산 D 10g를 시클로펜타논 14g에 용해시키고, 산 발생제로서 [5-(4-(4-메틸페닐술포닐옥시)페닐술포닐옥시이미노)-5H-티오펜-2-일리덴]-(2-메틸페닐)-아세트니트릴을 0.10g 및 계면활성제 X-70-093을 0.01g 혼합하고, 용해한 후, 0.2μm 직경의 기공을 가지는 세포 필터로 여과하여 레지스트 액을 제조했다.10 g of acetalized polysiloxane D obtained in Synthesis Example 4 was dissolved in 14 g of cyclopentanone, and [5- (4- (4-methylphenylsulfonyloxy) phenylsulfonyloxyimino) -5H-thiophene-2 as an acid generator. 0.10 g of -ylidene]-(2-methylphenyl) -acenitrile was mixed with 0.01 g of surfactant X-70-093, dissolved, and filtered through a cell filter having pores having a diameter of 0.2 μm to prepare a resist liquid. .

다음으로, 6인치 실리콘 웨이퍼 상에, 상기 레지스트 액을 스피너에 의해 도포하고, 핫 플레이트 상에서 100℃/120초로 프리베이킹하여, 두께 4.0μm의 레지스트 막을 형성하였다. 또한, i선 스텝퍼[(주)니콘 제품 NSR-1755i7A, NA=0.5]를 사용하여 패터닝 노광하고, 테트라메틸 암모늄 수산화물 2.38 질량%의 현상액을 사용하여 120초동안 패들 현상하고, 순수한 물로 세정한 후, (주)히타치 제작소 제품 SEM: S-4100로 패턴 평가를 행하였다. 그리고, 패턴 평가는 0.5∼5.0μm의 라인/스페이스 패턴을 관찰하고, 감도, 패턴 측벽의 수직성, 스페이스 부분의 레지스트 찌꺼기(scum)의 유무 및 최소 해상 치수(라인/스페이스)로 해상성을 판단하였다.Next, the resist liquid was applied on a 6-inch silicon wafer with a spinner, and prebaked at 100 ° C./120 seconds on a hot plate to form a resist film having a thickness of 4.0 μm. Furthermore, patterning exposure was carried out using an i-line stepper [NSR-1755i7A, NA = 0.5, manufactured by Nikon Corporation], paddle developed for 120 seconds using a developer solution of tetramethyl ammonium hydroxide, and washed with pure water. The pattern evaluation was performed by Hitachi, Ltd. product SEM: S-4100. The pattern evaluation was conducted by observing a line / space pattern of 0.5 to 5.0 µm, and determining the resolution by sensitivity, verticality of the pattern sidewalls, presence or absence of a resist scum in the space portion, and minimum resolution dimension (line / space). It was.

[실시예 2∼실시예4][Example 2 to Example 4]

실시예 1과 동일하게 하여 레지스트 액을 제조하여, 패터닝 평가를 행하였다. 표 1에 조성을, 표 2에 패턴 평가 결과를 각각 나타낸다.In the same manner as in Example 1, a resist liquid was prepared, and patterning evaluation was performed. A composition is shown in Table 1 and a pattern evaluation result is shown in Table 2, respectively.

[표 1]TABLE 1

Figure 112009015964716-PAT00009
Figure 112009015964716-PAT00009

PAG1; [5-(4-(4-메틸페닐술포닐옥시)페닐술포닐옥시이미노)-5H-티오펜-2-일리덴]-(2-메틸페닐)-아세트니트릴PAG1; [5- (4- (4-methylphenylsulfonyloxy) phenylsulfonyloxyimino) -5H-thiophen-2-ylidene]-(2-methylphenyl) -acetnitrile

PAG2; 4-메톡시-α-[[[(4-메틸페닐)술포닐]-옥시]이미노]벤젠아세트니트릴PAG2; 4-methoxy-α-[[[(4-methylphenyl) sulfonyl] -oxy] imino] benzeneacetnitrile

[표 2]TABLE 2

Figure 112009015964716-PAT00010
Figure 112009015964716-PAT00010

[실시예 5]Example 5

합성예 4에서 얻어진 아세탈화 폴리실록산 D 10g을 시클로펜타논 16g에 용해시키고, 산 발생제로서 [5-(4-(4-메틸페닐술포닐옥시)페닐술포닐옥시이미노)-5H-티오펜-2-일리덴]-(2-메틸페닐)-아세트니트릴을 0.10g, 경화제로서 테트라메톡시메틸 글리콜우릴을 0.5g 및 계면활성제 X-70-093을 0.01g 혼합하고, 용해시킨 후, 0.2μm 직경의 기공을 가지는 세포막 필터로 여과하여 레지스트 액을 제조했다.10 g of acetalized polysiloxane D obtained in Synthesis Example 4 was dissolved in 16 g of cyclopentanone, and [5- (4- (4-methylphenylsulfonyloxy) phenylsulfonyloxyimino) -5H-thiophene-2 as an acid generator. 0.10 g of -ylidene]-(2-methylphenyl) -acenitrile, 0.5 g of tetramethoxymethyl glycoluril and 0.01 g of surfactant X-70-093 as a curing agent were mixed and dissolved, A resist solution was prepared by filtration with a cell membrane filter having pores.

다음으로, 실시예 1과 동일하게, 6인치 실리콘 웨이퍼 상에, 상기 레지스트 액을 스피너에 의해 도포하고, 핫 플레이트 상에서 100℃/120초로 프리베이킹하여, 두께 4.0μm의 레지스트 막을 형성하였다. 또한, i선 스텝퍼[(주)니콘 제품 NSR-1755i7A, NA=0.5]를 사용하여 패터닝 노광하고, 테트라메틸암모늄 수산화물 2.38 질량%의 현상액을 사용하여 120초동안 패들 현상하고, 순수한 물로 세정한 후, (주)히타치 제작소 제품 SEM:S-4100로 패턴 평가를 행하였다. 그리고, 패턴 평가는 0.5∼5.0μm 라인/스페이스 패턴을 관찰하고, 감도, 패턴 측벽의 수직성, 스페이스 부분의 레지스트 찌꺼기(scum)의 유무 및 최소 해상 치수(라인/스페이스)로 해상성을 판단하였다.Next, similarly to Example 1, the resist liquid was applied on a 6-inch silicon wafer with a spinner, and prebaked at 100 ° C./120 seconds on a hot plate to form a resist film having a thickness of 4.0 μm. Furthermore, patterning exposure was carried out using an i-line stepper [NSR-1755i7A, NA = 0.5, manufactured by Nikon Corporation], paddle developed for 120 seconds using a developer solution of 2.38% by mass of tetramethylammonium hydroxide, and washed with pure water. The pattern evaluation was performed by Hitachi, Ltd. product SEM: S-4100. In the pattern evaluation, 0.5 to 5.0 μm line / space pattern was observed, and resolution was judged by sensitivity, verticality of the sidewall of the pattern, presence or absence of resist scum in the space portion, and minimum resolution dimension (line / space). .

<내용제성의 평가><Evaluation of solvent resistance>

전술한 바와 마찬가지로 레지스트 액을 실리콘 웨이퍼 상에 스피너에 의해 도포하고, 핫 플레이트 상에서 100℃/120초로 프리베이킹하여, 두께 4.0μm의 레지스트 막을 형성하였다. 또한, 전술한 바와 마찬가지로, 노광 후, 테트라메틸암모늄 수산화물 2.38 질량%의 현상액 중에 120초 동안 침지하고, 순수한 물로 세정을 행하였다. 이 조작 후에 남은 피막을 220℃의 오븐에서 1시간 동안 더 가열하여, 막 두께 3.5μm의 경화 피막을 얻었다. 이 경화 피막의 형성된 웨이퍼를, 60℃에서 가열한 2-아미노에탄올 70g-디메틸술폭시드 30g의 혼합 용액에 15분 동안 침지하고, 순수한 물로 세정을 행한 후 막 두께를 측정하고, 침지 전의 막 두께와 비교하여 잔막의 비율을 측정하여, 내용제성을 평가했다.As above-mentioned, the resist liquid was apply | coated with the spinner on the silicon wafer, it prebaked at 100 degreeC / 120 second on the hotplate, and the resist film of 4.0 micrometers in thickness was formed. In addition, as above-mentioned, after exposure, it immersed for 120 second in the developing solution of 2.38 mass% of tetramethylammonium hydroxide, and wash | cleaned with pure water. The film remaining after this operation was further heated in oven at 220 degreeC for 1 hour, and the cured film with a film thickness of 3.5 micrometers was obtained. The formed wafer of the cured film was immersed in a mixed solution of 2-g ethanol 70 g-dimethylsulfoxide 30 g heated at 60 ° C. for 15 minutes, washed with pure water, and then the film thickness was measured. In comparison, the ratio of the residual film was measured to evaluate solvent resistance.

<경화 후 피막의 투명성의 평가><Evaluation of transparency of film after hardening>

석영 웨이퍼를 사용한 점 외에는, 내용제성의 평가와 동일하게 하여 레지스트 액을 스피너에 의해 도포하고, 핫 플레이트 상에서 100℃/120초로 프리베이킹하여, 두께 4.0μm의 레지스트 막을 형성하였다. 또한, 전술한 바와 동일하게 노광 후, 테트라메틸암모늄 수산화물 2.38 질량%의 현상액 중에 120초 동안 침지하고, 순수한 물로 세정을 행하였다. 이 조작 후에 남은 피막을 220℃의 오븐에서 1시간 동안 더 가열하여, 막 두께 3.5μm의 경화 피막을 얻었다. 다음으로, 분광 광도계 U-3000 130-0018[(주)히타치제작소 제품]을 사용하여 200nm 내지 800nm의 영역에서의 투과율을 측정한 후, 400nm에서의 투과율에 의해 투명성을 평가했다.Except for using a quartz wafer, the resist liquid was applied by a spinner in the same manner as the evaluation of the solvent resistance, and prebaked at 100 ° C./120 seconds on a hot plate to form a resist film having a thickness of 4.0 μm. Furthermore, after exposure similarly to the above, it immersed for 120 second in the developing solution of 2.38 mass% of tetramethylammonium hydroxide, and wash | cleaned with pure water. The film remaining after this operation was further heated in oven at 220 degreeC for 1 hour, and the cured film with a film thickness of 3.5 micrometers was obtained. Next, after measuring the transmittance | permeability in the range of 200 nm-800 nm using the spectrophotometer U-3000 130-0018 (made by Hitachi Corporation), transparency was evaluated by the transmittance | permeability in 400 nm.

<비유전율의 측정><Measurement of relative dielectric constant>

전술한 레지스트 액을 수지 고형분 농도가 10 질량%로 되도록 시클로펜타논으로 더 희석하고, 6인치의 N형 실리콘 웨이퍼 상에 이 용액을 스핀 코팅하고, 용제 제거를 위해 핫 플레이트 상에서 100℃/120초로 프리베이킹를 행하였다. 또한, 전술한 바와 마찬가지로 노광 후, 테트라메틸암모늄 수산화물 2.38 질량%의 현상액 중에 120초 동안 침지하고, 순수한 물로 세정을 행하였다. 이 조작 후에 남은 피막을 220℃의 오븐에서 1시간 동안 더 가열하여, 막 두께 0.8μm의 경화 피막을 얻었다. 이 경화 피막을 이용하여, 자동 수은 CV 측정 장치 495-CV 시스템(일본 SSM사 제품)을 사용하여, 자동 수은 프로브를 사용한 CV법으로 비유전율을 측정하였다.The above-mentioned resist liquid was further diluted with cyclopentanone to have a resin solid concentration of 10% by mass, spin-coated this solution on a 6-inch N-type silicon wafer, and then heated to 100 ° C./120 seconds on a hot plate for solvent removal. Prebaking was performed. Furthermore, after exposure similarly to the above, it immersed for 120 second in the developing solution of 2.38 mass% of tetramethylammonium hydroxide, and wash | cleaned with pure water. The remaining film after this operation was further heated in an oven at 220 ° C. for 1 hour to obtain a cured film having a film thickness of 0.8 μm. Using this hardened film, the dielectric constant was measured by the CV method using an automatic mercury probe using the automatic mercury CV measuring device 495-CV system (made by SSM, Japan).

[실시예 6∼실시예 8][Example 6 to Example 8]

실시예 5와 동일하게 하여 레지스트 액을 제조하고, 패터닝 및 물성 평가를 행하였다. 표 3에 조성, 표 4에 패턴 및 물성 평가 결과를 나타낸다.In the same manner as in Example 5, a resist liquid was prepared, and patterning and physical property evaluation were performed. Table 3 shows the composition, and Table 4 shows the pattern and the physical property evaluation results.

[표 3]TABLE 3

Figure 112009015964716-PAT00011
Figure 112009015964716-PAT00011

PAG1; [5-(4-(4-메틸페닐술포닐옥시)페닐술포닐옥시이미노)-5H-티오펜-2-일리덴]-(2-메틸페닐)-아세트니트릴PAG1; [5- (4- (4-methylphenylsulfonyloxy) phenylsulfonyloxyimino) -5H-thiophen-2-ylidene]-(2-methylphenyl) -acetnitrile

PAG2; 4-메톡시-α-[[[(4-메틸페닐)술포닐]-옥시]이미노]벤젠아세트니트릴PAG2; 4-methoxy-α-[[[(4-methylphenyl) sulfonyl] -oxy] imino] benzeneacetnitrile

CL1; 테트라메톡시메틸글리콜우릴CL1; Tetramethoxymethylglycoluril

CL2; 트리페닐롤프로판트리글리시딜에테르CL2; Triphenylolpropanetriglycidyl ether

[표 4]TABLE 4

Figure 112009015964716-PAT00012
Figure 112009015964716-PAT00012

이상의 결과로부터, 실시예 1∼실시예 8의 조성물은, 0.5μm 내지 0.75μm의 라인/스페이스 패턴이 찌꺼기가 없는 상태로 수직으로 형성 가능하며, 양호한 해상력을 나타내, 감광성 재료로서 충분한 특성을 나타낸다. 또한, 실시예 5∼실시예 8의 조성물로부터 얻어진 경화막은, 절연 내전압(withstand voltage)의 전기 특성을 가지며, 또한 투명성 및 내용제성 특성도 우수하여, 회로나 전자 부품의 보호막으로서 유용한 결과를 얻을 수 있다.From the above results, the compositions of Examples 1 to 8 can be formed vertically in a line / space pattern of 0.5 µm to 0.75 µm without debris, exhibit good resolution, and exhibit sufficient characteristics as a photosensitive material. Moreover, the cured film obtained from the composition of Examples 5-8 has the electrical property of insulation withstand voltage, and also excellent transparency and solvent resistance property, and can obtain the result useful as a protective film of a circuit or an electronic component. have.

Claims (5)

하기 평균 조성식 (1)로 표시되는 폴리유기실록산에 포함된, 규소 원자와 직접 결합되어 있는 수산기의 일부 수소 원자가, 하기 일반식 (2)로 표시되는 산 불안정기로 치환되거나 및/또는 하기 일반식 (3)으로 표시되는 C-O-C기를 가지는 가교 기에 의해 분자내 또는 분자간에 가교되며, 겔 투과 크로마토그래피(GPC)로 측정한 폴리스티렌 표준으로 환산한 중량 평균 분자량이 300∼200,000인 것을 특징으로 하는 폴리유기실록산 화합물.Some hydrogen atoms of the hydroxyl group directly bonded to the silicon atoms contained in the polyorganosiloxane represented by the following average composition formula (1) are substituted with an acid labile represented by the following general formula (2) and / or the following general formula ( A polyorganosiloxane compound which is crosslinked intramolecularly or intermolecularly by a crosslinking group having a COC group represented by 3) and has a weight average molecular weight of 300 to 200,000 in terms of a polystyrene standard measured by gel permeation chromatography (GPC). . R1 aSi(OR2)b(OH)cO(4-a-b-c)/2 ····(1)R 1 a Si (OR 2 ) b (OH) c O (4-abc) / 2 (1) (상기 식 (1)에서, R1은 수소 원자 또는 탄소수 1∼18의 유기기로부터 선택되는 1종 또는 2종 이상의 기이며, R2는 탄소수 1∼6의 직쇄형 또는 분지형 알킬기로부터 선택되는 1종 또는 2종 이상의 기이며, 0.3≤a≤1.6, 0≤b≤0.3, 0.001≤c≤2의 범위를 가짐)(In formula (1), R <1> is 1 type, or 2 or more types of group chosen from a hydrogen atom or a C1-C18 organic group, and R <2> is chosen from a C1-C6 linear or branched alkyl group. One or two or more groups having a range of 0.3 ≦ a ≦ 1.6, 0 ≦ b ≦ 0.3, and 0.001 ≦ c ≦ 2). [화학식 1][Formula 1]
Figure 112009015964716-PAT00013
Figure 112009015964716-PAT00013
(상기 식 (2)에서, R3와 R4는 서로 독립적으로 수소 원자 또는 탄소수 1∼6 의 직쇄형, 분지형 또는 고리형 알킬기이며, R5는 탄소수 1∼30의 직쇄형, 분지형 또는 고리형 알킬기, 탄소수 6∼20의 아릴기 또는 탄소수 7∼20의 아르알킬기이고, R3와 R4, R3와 R5, R4와 R5는 서로 결합하여 이들이 결합하는 탄소 원자, 또는 탄소 원자 및 산소 원자와 함께 고리를 형성할 수 있으며, 고리를 형성할 경우, 고리의 형성에 관여하는 R3, R4, R5는 탄소수 1∼18의 직쇄형 또는 분지형의 알킬렌기임)(In formula (2), R <3> and R <4> is a hydrogen atom or a C1-C6 linear, branched or cyclic alkyl group independently of each other, R <5> is C1-C30 linear, branched or A cyclic alkyl group, an aryl group having 6 to 20 carbon atoms, or an aralkyl group having 7 to 20 carbon atoms, and R 3 and R 4 , R 3 and R 5 , R 4 and R 5 are bonded to each other and a carbon atom to which they are bonded, or carbon A ring may be formed together with an atom and an oxygen atom, and in the case of forming a ring, R 3 , R 4 , and R 5 , which are involved in the formation of a ring, are a linear or branched alkylene group having 1 to 18 carbon atoms) [화학식 2][Formula 2]
Figure 112009015964716-PAT00014
Figure 112009015964716-PAT00014
(상기 식 (3)에서, R6, R7, R9, R10은 서로 독립적으로 수소 원자 또는 탄소수 1∼6의 직쇄형, 분지형 또는 고리형 알킬기이고, R6와 R7, R9과 R10은 서로 결합하여 이들이 결합하는 탄소 원자와 함께 고리를 형성할 수 있으며, 고리를 형성할 경우, 고리의 형성에 관여하는 R6, R7, R9, R10은 탄소수 1∼17의 직쇄형 또는 분지형의 알킬렌기이고, R8은 산소 원자를 포함할 수 있는 탄소수 1∼ 6의 2가 탄화수소기임)(In formula (3), R <6> , R <7> , R <9> , R <10> is a hydrogen atom or a C1-C6 linear, branched or cyclic alkyl group independently of each other, R <6> , R <7> , R <9> And R 10 may be bonded to each other to form a ring together with the carbon atom to which they are bonded. When the ring is formed, R 6 , R 7 , R 9 , and R 10 which are involved in the formation of a ring have 1 to 17 carbon atoms. Linear or branched alkylene group, R 8 is a divalent hydrocarbon group having 1 to 6 carbon atoms which may contain oxygen atoms)
(A) 제1항에 기재된 폴리유기실록산 화합물; 및(A) The polyorganosiloxane compound of Claim 1; And (B) 150∼450nm의 파장 범위의 광 조사에 의해 산을 발생시키는 광-유도성 산발생제(photo-acid-generating agent)(B) a photo-acid-generating agent that generates an acid by light irradiation in the wavelength range of 150 to 450 nm. 를 포함하는 것을 특징으로 하는 감광성 수지 조성물.Photosensitive resin composition comprising a. (A) 제1항에 기재된 폴리유기실록산 화합물;(A) The polyorganosiloxane compound of Claim 1; (B) 150∼450nm의 파장 범위의 광 조사에 의해 산을 발생시키는 광-유도성 산발생제; 및(B) a light-induced acid generator that generates an acid by light irradiation in a wavelength range of 150 to 450 nm; And (C) 열경화제(C) thermosetting agent 를 포함하는 것을 특징으로 하는 열경화성 수지 조성물.Thermosetting resin composition comprising a. (i) 제2항에 기재된 감광성 수지 조성물의 레지스트 막을 기판 상에 형성하는 공정;(i) forming a resist film of the photosensitive resin composition according to claim 2 on a substrate; (ii) 상기 레지스트 막을 포토마스크를 개입시켜 150∼450nm 파장의 광원을 포함하는 광에 노출시키는 공정; 및(ii) exposing the resist film to light comprising a light source having a wavelength of 150 to 450 nm through a photomask; And (iii) 광 노출 후의 레지스트 막을 알칼리 수용액 현상액에 의해 현상하는 공정(iii) developing the resist film after light exposure with an aqueous alkali solution developer; 을 포함하는 패턴 형성 방법.Pattern forming method comprising a. (i) 제3항에 기재된 열경화성 수지 조성물의 레지스트 막을 기판 상에 형성하는 공정;(i) forming a resist film of the thermosetting resin composition according to claim 3 on a substrate; (ii) 상기 레지스트 막을 포토마스크를 개입시켜 150∼450nm 파장의 광원을 포함하는 광으로 노출시키는 공정;(ii) exposing the resist film to light including a light source having a wavelength of 150 to 450 nm through a photomask; (iii) 광 노출 후의 레지스트 막을 알칼리 수용액 현상액으로 현상하는 공정; 및(iii) developing the resist film after light exposure with an aqueous alkali solution developer; And (iv) 상기 현상에 의해 패턴화된 레지스트 패턴 막을 100∼250℃ 범위의 온도에서 경화시키는 공정(iiii) a step of curing the resist pattern film patterned by the above development at a temperature in the range of 100 to 250 ° C. 을 포함하는 패턴 형성 방법.Pattern forming method comprising a.
KR1020090022456A 2008-04-25 2009-03-17 Polyorganosiloxane compound, resin composition comprising the same, and pattern forming method thereof KR20090113183A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020090022456A KR20090113183A (en) 2008-04-25 2009-03-17 Polyorganosiloxane compound, resin composition comprising the same, and pattern forming method thereof

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2008-115514 2008-04-25
KR1020090022456A KR20090113183A (en) 2008-04-25 2009-03-17 Polyorganosiloxane compound, resin composition comprising the same, and pattern forming method thereof

Publications (1)

Publication Number Publication Date
KR20090113183A true KR20090113183A (en) 2009-10-29

Family

ID=41554291

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090022456A KR20090113183A (en) 2008-04-25 2009-03-17 Polyorganosiloxane compound, resin composition comprising the same, and pattern forming method thereof

Country Status (1)

Country Link
KR (1) KR20090113183A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190046757A (en) * 2016-08-29 2019-05-07 닛산 가가쿠 가부시키가이샤 Polysiloxane compositions comprising an acetal protected silanol group

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190046757A (en) * 2016-08-29 2019-05-07 닛산 가가쿠 가부시키가이샤 Polysiloxane compositions comprising an acetal protected silanol group

Similar Documents

Publication Publication Date Title
JP5136777B2 (en) Polyorganosiloxane compound, resin composition containing the same, and pattern forming method thereof
KR101726897B1 (en) Positive photosensitive resin composition, cured film obtained using same, and optical device
KR101805191B1 (en) Silphenylene containing photocurable composition, pattern forming method using the same and optical semiconductor element obtained by said method
JP4336999B2 (en) Silphenylene skeleton-containing polymer compound, photocurable resin composition, pattern forming method, and film for protecting circuit board
EP1942150B1 (en) Siloxane resin composition and method for producing same
JP5417623B2 (en) Polyimide-based photocurable resin composition, pattern forming method, and film for protecting substrate
JP2010519584A (en) Anti-reflective coating composition based on silicon polymer
JP5698070B2 (en) Positive photosensitive composition and cured product thereof
JP3944734B2 (en) Organosiloxane polymer compound, photocurable resin composition, pattern forming method, and film for protecting substrate
JP3832572B2 (en) Photocurable resin composition, pattern forming method, and film for protecting substrate
WO2010047248A1 (en) Positive photosensitive composition and permanent resist
JP5418617B2 (en) Siloxane resin composition, cured film and optical article
JP2013092633A (en) Positive photosensitive composition
JP5353011B2 (en) Siloxane resin composition, optical device using the same, and method for producing siloxane resin composition
JP5734629B2 (en) Positive photosensitive resin composition and permanent resist
US9989852B2 (en) Positive photosensitive resin composition, cured film formed by curing same, and optical device equipped with same
KR20090113183A (en) Polyorganosiloxane compound, resin composition comprising the same, and pattern forming method thereof
JP5666266B2 (en) Positive photosensitive resin composition and permanent resist
WO2024034384A1 (en) Co-modified branched organopolysiloxane, high energy ray-curable composition containing same, and use of same
TW202413493A (en) Curable branched organopolysiloxane, high-energy ray curable composition comprising it and use thereof
WO2024034383A1 (en) Phenolic hydroxyl group-containing branched organopolysiloxane, high energy ray-curable composition containing same, and use thereof
KR20240065082A (en) Siloxane resin composition for forming a cured film, method for producing a cured film and polysiloxane
TW202413494A (en) Curable branched organopolysiloxane, high-energy ray curable composition comprising it and use thereof

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination