KR20090064642A - Reticle structure for patterning active layer with fine patterns - Google Patents

Reticle structure for patterning active layer with fine patterns Download PDF

Info

Publication number
KR20090064642A
KR20090064642A KR1020070131913A KR20070131913A KR20090064642A KR 20090064642 A KR20090064642 A KR 20090064642A KR 1020070131913 A KR1020070131913 A KR 1020070131913A KR 20070131913 A KR20070131913 A KR 20070131913A KR 20090064642 A KR20090064642 A KR 20090064642A
Authority
KR
South Korea
Prior art keywords
pattern
reticle
active region
quartz
patterning
Prior art date
Application number
KR1020070131913A
Other languages
Korean (ko)
Inventor
김종두
Original Assignee
주식회사 동부하이텍
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 동부하이텍 filed Critical 주식회사 동부하이텍
Priority to KR1020070131913A priority Critical patent/KR20090064642A/en
Publication of KR20090064642A publication Critical patent/KR20090064642A/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level

Abstract

A reticle structure for patterning an active region of a minute pattern is provided to stabilize a photo process and an etching process by forming a reticle of a new structure. A reticle structure for patterning an active region of a minute pattern includes a first pattern(100), a second pattern(200), and a third pattern(300). The first pattern defines the active region. The first pattern is formed by laminating quartz and a phase shift layer. The second pattern defines a field region. The second pattern is made of quartz. The third pattern defines the active region. The third pattern is separated from a concave part of the first pattern. Width of the third pattern is 30~40nm. The third pattern is made of quartz.

Description

미세 패턴의 활성영역 패터닝을 위한 레티클 구조{Reticle structure for patterning active layer with fine patterns}Reticle structure for patterning active layer with fine patterns}

본 발명은 미세 패턴의 활성영역 패터닝을 위한 레티클 구조에 관한 것으로, 더욱 상세하게는 광학 근접 효과를 보상하여 활성 영역과 게이트 전극 레이어 간의 오버래이 마진을 확보할 수 있는 미세 패턴의 활성영역 패터닝을 위한 레티클 구조에 관한 것이다.The present invention relates to a reticle structure for patterning an active region of a fine pattern, and more particularly, to an active region patterning of a fine pattern to compensate for an optical proximity effect to secure an overlay margin between the active region and the gate electrode layer. Relates to a reticle structure.

일반적으로 반도체 소자의 제조 공정 중 포토리소그래피(photolithography) 공정은 레티클(reticle)에 형성된 소정의 패턴을 반도체 기판 상에 패터닝하는 여러 가지 노광장치를 사용하고 있다. In general, a photolithography process of manufacturing a semiconductor device uses various exposure apparatuses for patterning a predetermined pattern formed on a reticle on a semiconductor substrate.

반도체소자의 고집적화에 따라 미세 패턴을 축소투영 광학계를 통해 감광막이 도포된 기판 상의 다수의 샷(shot) 영역에 비교적 높은 쓰루풋(throughput)과 우수한 오버래이(overlay) 정밀도를 갖는 스텝·앤드·리피트(step & repeat) 방식의 스테퍼(Stepper) 또는 스텝·앤드·스캔(step & scan) 방식의 스캐너(Scanner)가 주로 사용되고 있다.As the semiconductor device is highly integrated, a fine pattern is reduced through a reduction projection optical system, and a step and repeat method having relatively high throughput and excellent overlay accuracy is applied to a plurality of shot regions on a substrate coated with a photoresist film. Step & repeat type stepper or step & scan type scanner is mainly used.

이와 같은 축소투영 노광장치를 구성하는 투영 광학계의 해상력은, 레일리( Rayleigh) 식으로 잘 알려져 있는 바와 같이, R = k1 ×λ/NA 의 관계로 표현된다. 또한 투영 광학계의 초점심도(depth of focus, 이하 'DOF'라 한다)는, DOF = k2·λ/(NA)2의 관계로 표현된다. The resolution of the projection optical system constituting such a reduced projection exposure apparatus is expressed by a relationship of R = k 1 x lambda / NA, as is well known by the Rayleigh equation. In addition, the depth of focus (hereinafter, referred to as 'DOF') of the projection optical system is expressed in a relationship of DOF = k 2 · λ / (NA) 2 .

여기서, R은 투영광학계의 해상력(resolving power), λ는 광원의 파장, NA(numerical aperture)는 투영광학계의 개구수, k1 ,또는 k2는 감광막의 해상력이나 그 외의 공정조건에 의해 결정되는 상수이다. Where R is the resolving power of the projection optical system, λ is the wavelength of the light source, NA is the numerical aperture of the projection optical system, k 1 , or k 2 is determined by the resolution of the photosensitive film or other process conditions. Is a constant.

따라서 상기 레일리 식에서 보여지는 바와 같이 미세 패턴 구현을 위해서는, 짧은 파장을 사용하여 마스크에서 회절되는 회절각을 줄임으로써 렌즈로 1차광을 많이 투사할 수 있도록 만드는 방법이나, 1차광 정보를 많이 포함시킬 수 있도록 렌즈의 구경 즉 NA를 키우는 방법이 있다.Therefore, as shown in the Rayleigh equation, in order to realize a fine pattern, a method of making a large amount of primary light to be projected by the lens by reducing the diffraction angle diffracted by the mask using a short wavelength or including a large amount of primary light information can be included. There is a way to increase the aperture of the lens, or NA.

현재 NA를 키우는 방법은 계속 연구되고 있지만, 렌즈의 크기를 크게 하는 것은 렌즈 자체의 수차(aberration) 등 다양한 이슈가 생길 수 있어 한계가 있는 실정이며, 설사 렌즈를 크게 제작할 수 있는 경우도 패턴의 DOF 마진이 줄어들어 적절한 렌즈 크기가 필요하다. 따라서, 현재의 공정기술은 짧은 파장을 이용한 미세패턴 구현으로 기술이 개발되고 있는 중이다.Currently, the method of increasing the NA is continuously studied, but increasing the size of the lens is limited due to various issues such as aberration of the lens itself. Margins are reduced, so proper lens size is required. Therefore, the current process technology is being developed to implement a fine pattern using a short wavelength.

한편 반도체 제조에서 개발 및 생산 원가를 줄이기 위한 방법으로 해상 능력 및 성능이 월등히 좋은 고가의 신모델 노광 장비의 사용 대신에 기존에 보유하고 있는 노광 장비를 사용하여 노광 장비 자체의 한계에 비해 작은 패턴을 형성하려는 시도가 이루어지고 있다.As a way to reduce development and production costs in semiconductor manufacturing, instead of using expensive new model exposure equipment with excellent resolution and performance, the existing exposure equipment is used to form a small pattern compared to the limitation of the exposure equipment itself. Attempts are being made.

이러한 시도 중의 하나가 위상 반전 마스크(phase shift mask)에 의하여 노광함으로써 해상 능력을 향상시키는 것이다. One such attempt is to improve resolution by exposing with a phase shift mask.

도 1a 내지 도 1h는 종래의 위상 반전 마스크 제작 과정을 설명하기 위한 단면도이다.1A to 1H are cross-sectional views illustrating a conventional process of manufacturing a phase inversion mask.

첨부된 도 1a 내지 도 1b를 참조하면, 석영(quartz) 기판, 위상 반전층, 크롬층이 순차로 적층되어 있는 레티클의 원판에 전자빔용 리지스트(resist)를 도포한 후 E-빔 라이터(E-beam writer)에 의하여 전자빔을 조사하고나서 현상한다.Referring to FIGS. 1A to 1B, an E-beam lighter E is applied after applying a resist for electron beams to a disc of a reticle in which a quartz substrate, a phase inversion layer, and a chromium layer are sequentially stacked. The beam is then examined by a beam writer and developed.

첨부된 도 1c 내지 도 1d를 참조하면, 건식식각 공정을 수행하여 상기 크롬층, 위상 반전층을 식각한 후, 상기 전자빔용 리지스트를 제거한다.1C to 1D, after etching the chromium layer and the phase inversion layer by performing a dry etching process, the resist for the electron beam is removed.

첨부된 도 1e 내지 도 1f를 참조하면, 다시 전자빔용 리지스트를 도포한 후 E-빔 라이터(E-beam writer)에 의하여 전자빔을 조사하고나서 현상한다. Referring to FIGS. 1E to 1F, after applying the electron beam resist again, the electron beam is irradiated by an E-beam writer and then developed.

첨부된 도 1g 내지 도 1h를 참조하면, 습식식각을 진행하여 상기 전자빔용 리지스트에 마스킹되지 아니한 크롬층을 식각한 후, 상기 전자빔용 리지스트를 제거함으로써 종래의 위상 반전 마스크 제작 과정을 완성한다.Referring to FIGS. 1G to 1H, after etching the chromium layer which is not masked to the electron beam resist by wet etching, the conventional phase inversion mask fabrication process is completed by removing the electron beam resist. .

일반적으로 반도체 제조를 위한 포토리소그라피 공정에서 원하는 패턴을 형성하기 위해서는 노광장비, 감광막 등과 함께 레티클(reticle)이 필요하다. 레티클이란 실리콘웨이퍼에 반복적인 반도체 회로패턴을 투영시키기 위해 쓰는 원판으로서, 축소 투영 비율에 따라 4배 또는 5배 크기의 크롬 패턴이 형성된 석영판으로 이루어진 것이다.Generally, to form a desired pattern in a photolithography process for semiconductor manufacturing, a reticle is required together with an exposure apparatus and a photoresist film. A reticle is an original plate used to project a repetitive semiconductor circuit pattern onto a silicon wafer. The reticle is made of a quartz plate having a chromium pattern of 4 or 5 times the size of the reduction projection.

이러한 레티클 상의 패턴은 동일한 레이아웃(layout) 패턴에 대하여 동일한 CD(critical dimension, 이하 'CD'라 한다.)을 가져야 한다. 즉 패턴의 충실성(fidelity)이 레티클 제작에 중요한 요소가 되는 것이다. 최근 반도체 소자의 선폭이 감소함에 따라 이러한 충실성의 요구는 더욱더 증대되고 있다.Such patterns on the reticle should have the same critical dimension (hereinafter referred to as 'CD') for the same layout pattern. That is, fidelity of the pattern becomes an important factor in the reticle production. In recent years, as the line width of semiconductor devices decreases, the demand for such fidelity increases.

한편 노광장비에서 사용되는 광원의 파장이 반도체 소자의 최소 선폭(minimum feature size)에 근접하면서 빛의 회절, 간섭 등에 의해 패턴의 왜곡 현상이 나타나기 시작한다. 즉 레티클 상의 이미지를 웨이퍼에 투영시키는 광학계는 푸리어 변환(Fourier transformation)으로 표현하게 되면 저 대역 필터(low-pass filter)로 작용하게 된다. On the other hand, while the wavelength of the light source used in the exposure equipment approaches the minimum feature size of the semiconductor device, pattern distortion occurs due to diffraction, interference, or the like of light. In other words, the optical system that projects the image on the reticle onto the wafer acts as a low-pass filter when expressed as a Fourier transformation.

따라서 높은 주파수 부분인 패턴의 모서리 부분은 투과하지 않으므로 웨이퍼상에 맺히는 상은 원래의 모양과 다른 형태가 나타난다. 또한 인접 패턴의 영향에 의한 왜곡현상도 나타나게 되는 데, 이러한 현상을 광학 근접 효과(optical proximity effect)라고 한다.Therefore, since the edge portion of the pattern, which is a high frequency portion, does not transmit, an image formed on the wafer is different from the original shape. In addition, distortion occurs due to the influence of adjacent patterns, which is called an optical proximity effect.

이러한 광학 근접 효과에 의한 패턴의 왜곡 현상을 극복하기 위하여 레티클 패턴을 고의적으로 변경, 즉 패턴의 모서리에 세리프(serif)를 달아주는 방법이 시도되고 있으며, 이러한 것을 광근접보정(optical proximity correction, 이하 'OPC'라 한다.) 방법이라 한다.In order to overcome the distortion of the pattern caused by the optical proximity effect, a method of deliberately changing the reticle pattern, that is, attaching a serif to the edge of the pattern, has been attempted. It is called 'OPC'.)

도 2a는 최초 레이아웃 상의 활성영역의 패턴을 보여주는 레이아웃도이고, 도 2b는 도 2a에 OPC를 적용한 활성영역의 패턴을 보여주는 레이아웃도이고, 도 2c는 도 2b의 패턴에 의해 제작된 레티클에 의하여 예상되는 웨이퍼 상의 감광막 패턴을 보여주는 레이아웃도이고, 도 3은 최초 레이아웃 상의 게이트 전극의 패턴을 보여주는 레이아웃도이고, 도 4는 도 2a 내지 도 2c 및 도 3의 패턴이 오버랩된 것을 보여주는 레이아웃도이고, 도 5는 도 2b의 패턴에 의해 제작된 레티클에 의하여 실제 웨이퍼 상의 활성영역 및 게이트 전극의 패턴을 보여주는 전자현미경 사진이다.Figure 2a is a layout showing the pattern of the active area on the initial layout, Figure 2b is a layout showing the pattern of the active area to which the OPC is applied to Figure 2a, Figure 2c is expected by the reticle produced by the pattern of Figure 2b FIG. 3 is a layout diagram showing a photoresist pattern on a wafer, FIG. 3 is a layout diagram showing a pattern of a gate electrode on an initial layout, and FIG. 4 is a layout diagram showing that the patterns of FIGS. 2A to 2C and 3 overlap. 5 is an electron micrograph showing the pattern of the active region and the gate electrode on the actual wafer by the reticle produced by the pattern of FIG. 2b.

첨부된 도 2a 내지 도 2b에 도시한 바와 같이, 최초 레이아웃 상의 활성영역의 패턴(original DB)와 OPC를 적용한 후에의 예상 웨이퍼 상의 이미지(도 2c)를 보면 최초 레이아웃 상의 활성영역의 패턴보다 크게 패터닝된다. 그렇게 되면 첨부된 도 4에 도시한 바와 같이 활성영역과 게이트 전극 사이의 오버래이 마진(overlay margin)이 부족하게 된다.As shown in FIGS. 2A to 2B, the pattern of the active area on the original layout (original DB) and the image on the expected wafer after applying the OPC (FIG. 2C) are larger than the pattern of the active area on the original layout. do. As a result, as illustrated in FIG. 4, the overlay margin between the active region and the gate electrode is insufficient.

실제로 첨부된 도 5에 도시된 바와 같이 웨이퍼 상의 활성영역 및 게이트 전극의 패턴 오버래이 마진이 부족함을 알 수 있다.In fact, as shown in the accompanying FIG. 5, it can be seen that the pattern overlay margin of the active region and the gate electrode on the wafer is insufficient.

따라서 본 발명은 상술한 제반 문제점을 해결하고자 안출된 것으로, 광학 근접 효과를 보상하여 활성 영역과 게이트 전극 레이어 간의 오버래이 마진을 확보할 수 있는 미세 패턴의 활성영역 패터닝을 위한 레티클 구조을 제공함에 그 목적이 있다.Accordingly, an object of the present invention is to provide a reticle structure for patterning an active region of a fine pattern that can compensate for an optical proximity effect and secure an overlay margin between an active region and a gate electrode layer. There is this.

상술한 바와 같은 목적을 구현하기 위한 본 발명의 미세 패턴의 활성영역 패터닝을 위한 레티클 구조는 활성영역을 정의하는 패턴으로서 석영과 위상반전층이 적층되어 이루어진 제1 패턴, 필드영역을 정의하는 패턴으로서 석영으로 이루어진 제2 패턴 및 활성영역을 정의하는 패턴으로서 상기 제1 패턴의 오목한 부분으로부터 이격하여 형성된 제3 패턴을 포함하여 이루어진 것을 특징으로 한다.The reticle structure for patterning the active region of the fine pattern of the present invention for realizing the object as described above is a pattern defining the active region as a first pattern formed by laminating quartz and a phase inversion layer, and a pattern defining the field region. And a third pattern made of quartz and a pattern defining an active region, the third pattern being spaced apart from the concave portion of the first pattern.

또한, 상기 제1 패턴의 위상반전층은 MoSi층으로 형성하는 것을 특징으로 한다.The phase inversion layer of the first pattern may be formed of a MoSi layer.

또한, 상기 제3 패턴의 폭은 30 ~ 40 ㎚로 형성하는 것을 특징으로 한다.In addition, the width of the third pattern is characterized in that formed in 30 ~ 40nm.

또한, 상기 제3 패턴은 석영으로 이루어진 것을 특징으로 한다.In addition, the third pattern is characterized in that made of quartz.

또한, 상기 제3 패턴은 석영, 위상반전층, 크롬층이 적층되어 이루어진 것을 특징으로 한다.In addition, the third pattern is characterized in that the quartz, phase inversion layer, chromium layer is laminated.

이상에서 상세히 설명한 바와 같이, 본 발명에 따른 미세 패턴의 활성영역 패터닝을 위한 레티클 구조에 의하면 새로운 구조의 레티클을 구비함으로써 활성 영역과 게이트 전극 레이어 간의 오버래이 마진을 확보하여 포토 공정 및 식각 공정의 안정화를 도모할 뿐만 아니라 트랜지스터 특성을 향상시킬 수 있는 효과가 있다.As described in detail above, according to the reticle structure for patterning the active region of the fine pattern according to the present invention, a reticle having a new structure ensures an overlay margin between the active region and the gate electrode layer, thereby stabilizing the photo process and the etching process. In addition to improving the transistor characteristics, there is an effect that can improve the transistor characteristics.

본 발명의 일실시예에 따른 미세 패턴의 활성영역 패터닝을 위한 레티클 구조는 제1 패턴 내지 제3 패턴을 포함하여 이루어져 있다.The reticle structure for patterning an active region of a fine pattern according to an embodiment of the present invention includes first to third patterns.

상기 제1 패턴은 활성영역을 정의하는 패턴으로서 석영과 위상반전층이 적층되어 이루어진 레티클 상의 패턴이고, 상기 제2 패턴은 필드영역을 정의하는 패턴으로서 석영으로 이루어진 레티클 상의 패턴이고, 상기 제3 패턴은 활성영역을 정의하는 패턴으로서 상기 제1 패턴의 오목한 부분으로부터 이격하여 형성된 레티클 상의 패턴이다. The first pattern is a pattern on a reticle formed by stacking quartz and a phase inversion layer as a pattern defining an active region, and the second pattern is a pattern on a reticle made of quartz as a pattern defining a field region, and the third pattern Is a pattern defining an active region and is a pattern on a reticle formed spaced apart from the concave portion of the first pattern.

본 발명의 다른 일실시예에 따른 미세 패턴의 활성영역 패터닝을 위한 레티클 구조에서, 상기 제1 패턴의 위상반전층은 MoSi층으로 형성하는 것이 바람직하다.In the reticle structure for patterning the active region of the fine pattern according to another embodiment of the present invention, the phase inversion layer of the first pattern is preferably formed of a MoSi layer.

본 발명의 또 다른 일실시예에 따른 미세 패턴의 활성영역 패터닝을 위한 레티클 구조에서, 상기 제3 패턴의 폭은 30 ~ 40 ㎚로 형성하는 것이 바람직하다.In the reticle structure for patterning the active region of the fine pattern according to another embodiment of the present invention, it is preferable that the width of the third pattern is 30 to 40 nm.

본 발명의 또 다른 일실시예에 따른 미세 패턴의 활성영역 패터닝을 위한 레티클 구조에서, 상기 제3 패턴은 석영으로 이루어진 것이 바람직하다.In the reticle structure for patterning the active region of the fine pattern according to another embodiment of the present invention, the third pattern is preferably made of quartz.

본 발명의 또 다른 일실시예에 따른 미세 패턴의 활성영역 패터닝을 위한 레 티클 구조에서, 상기 제3 패턴은 석영, 위상반전층, 크롬층이 적층되어 이루어진 것이 바람직하다.In a reticle structure for patterning an active region of a fine pattern according to another embodiment of the present invention, the third pattern is preferably formed by laminating a quartz, a phase inversion layer, and a chromium layer.

이하 첨부한 도면을 참조하여 본 발명의 바람직한 실시예에 대한 구성 및 작용을 상세히 설명하면 다음과 같다.Hereinafter, the configuration and operation of the preferred embodiment of the present invention will be described in detail with reference to the accompanying drawings.

도 6a는 본 발명의 일실시예에 따른 미세 패턴의 활성영역 패터닝을 위한 레티클 구조를 보여주는 정면도이다. 도 6b는 도 6a의 A-A'선을 잘라본 단면도이고, 도 7a는 본 발명의 다른 일실시예에 따른 미세 패턴의 활성영역 패터닝을 위한 레티클 구조를 보여주는 정면도이다. 도 7b는 도 7a의 A-A'선을 잘라본 단면도이다.6A is a front view illustrating a reticle structure for patterning an active region of a fine pattern according to an embodiment of the present invention. FIG. 6B is a cross-sectional view taken along line AA ′ of FIG. 6A, and FIG. 7A is a front view illustrating a reticle structure for patterning active regions of a fine pattern according to another exemplary embodiment of the present invention. FIG. 7B is a cross-sectional view taken along line AA ′ of FIG. 7A.

첨부된 도 6a 내지 도 6b에 도시한 바와 같이, 본 발명의 일실시예에 따른 미세 패턴의 활성영역 패터닝을 위한 레티클 구조는 제1 패턴(100), 제2 패턴(200) 및 제3 패턴(100)을 포함하여 이루어진 것이다. As shown in FIGS. 6A to 6B, the reticle structure for patterning the active region of the fine pattern according to the exemplary embodiment of the present invention may include the first pattern 100, the second pattern 200, and the third pattern ( 100).

상기 제1 패턴(100)은 활성영역을 정의하는 패턴으로서 석영과 위상반전층이 적층되어 이루어진 레티클 상의 패턴이다. 여기서 상기 위상반전층은 MoSi층으로 형성하는 것이 바람직하다.The first pattern 100 is a pattern on the reticle formed by stacking quartz and a phase inversion layer as a pattern defining an active region. The phase inversion layer is preferably formed of a MoSi layer.

상기 제2 패턴(200)은 필드영역을 정의하는 패턴으로서 석영으로 이루어진 레티클 상의 패턴이다. The second pattern 200 is a pattern defining a field region and is a pattern on a reticle made of quartz.

상기 제3 패턴(300)은 활성영역을 정의하는 패턴으로서 상기 제1 패턴(100)의 오목한 부분으로부터 이격하여 형성된 레티클 상의 패턴이다. 여기서 상기 제3 패턴(300)의 폭은 30 ~ 40 ㎚로 형성하는 것이 바람직하다. The third pattern 300 is a pattern defining an active region, and is a pattern on a reticle formed to be spaced apart from the concave portion of the first pattern 100. In this case, the width of the third pattern 300 is preferably formed to 30 to 40 nm.

여기서 상기 제3 패턴(300)은 석영으로 이루어진 것이 바람직하다. 따라서 첨부된 도 6a 내지 도 6b에 도시한 바와 같이, 상기 제1 패턴(100) 중앙에 Mosi층을 제거함으로써 위상 반전에 의한 상쇄 간섭에 의하여 광학 근접 효과에 의한 패턴의 왜곡 현상을 줄일 수 있는 것이다.Here, the third pattern 300 is preferably made of quartz. Accordingly, as shown in FIGS. 6A to 6B, by removing the Mosi layer in the center of the first pattern 100, the distortion of the pattern due to the optical proximity effect may be reduced by the destructive interference caused by the phase inversion. .

첨부된 도 7a 내지 도 7b에 도시한 바와 같이, 본 발명의 또 다른 일실시예에 따른 미세 패턴의 활성영역 패터닝을 위한 레티클 구조의 제3 패턴(300)은 석영, 위상반전층, 크롬(Cr)층이 적층되어 이루어진 것이 바람직하다.As shown in FIG. 7A to FIG. 7B, the third pattern 300 of the reticle structure for patterning the active region of the fine pattern according to another embodiment of the present invention may be formed of quartz, phase inversion layer, and chromium (Cr). ) Layer is preferably laminated.

따라서 이는 위상 반전이 없게 함으로써 상쇄 간섭을 발생하지 못하게 하는 방법으로서 크롬층으로 빛을 차단함으로써 빛이 투과하지 못하게 하여 광학 근접 효과에 의한 패턴의 왜곡 현상을 줄일 수 있는 것이다.Therefore, this is a method of preventing phase interference by eliminating phase reversal and blocking light with the chromium layer to prevent light from being transmitted, thereby reducing the distortion of the pattern due to the optical proximity effect.

본 발명은 상기 실시 예에 한정되지 않고 본 발명의 기술적 요지를 벗어나지 아니하는 범위 내에서 다양하게 수정·변형되어 실시될 수 있음은 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에 있어서 자명한 것이다.It will be apparent to those skilled in the art that the present invention is not limited to the above embodiments and can be practiced in various ways without departing from the technical spirit of the present invention. will be.

도 1a 내지 도 1h는 종래의 위상 반전 마스크 제작 과정을 설명하기 위한 단면도,1A to 1H are cross-sectional views illustrating a conventional process of manufacturing a phase reversal mask;

도 2a는 최초 레이아웃 상의 활성영역의 패턴을 보여주는 레이아웃도,2A is a layout diagram showing a pattern of an active region on an initial layout;

도 2b는 도 2a에 OPC를 적용한 활성영역의 패턴을 보여주는 레이아웃도,FIG. 2B is a layout diagram illustrating a pattern of an active region to which an OPC is applied to FIG. 2A;

도 2c는 도 2b의 패턴에 의해 제작된 레티클에 의하여 예상되는 웨이퍼 상의 감광막 패턴을 보여주는 레이아웃도,FIG. 2C is a layout showing a photoresist pattern on a wafer expected by a reticle produced by the pattern of FIG. 2B;

도 3은 최초 레이아웃 상의 게이트 전극의 패턴을 보여주는 레이아웃도,3 is a layout showing patterns of gate electrodes on an initial layout;

도 4는 도 2a 내지 도 2c 및 도 3의 패턴이 오버랩된 것을 보여주는 레이아웃도,4 is a layout showing that the patterns of FIGS. 2A to 2C and 3 overlap;

도 5는 도 2b의 패턴에 의해 제작된 레티클에 의하여 실제 웨이퍼 상의 활성영역 및 게이트 전극의 패턴을 보여주는 전자현미경 사진,FIG. 5 is an electron micrograph showing a pattern of an active region and a gate electrode on an actual wafer by a reticle manufactured by the pattern of FIG. 2B;

도 6a는 본 발명의 일실시예에 따른 미세 패턴의 활성영역 패터닝을 위한 레티클 구조를 보여주는 정면도,6A is a front view showing a reticle structure for patterning an active region of a fine pattern according to an embodiment of the present invention;

도 6b는 도 6a의 A-A'선을 잘라본 단면도,6B is a cross-sectional view taken along the line AA ′ of FIG. 6A;

도 7a는 본 발명의 다른 일실시예에 따른 미세 패턴의 활성영역 패터닝을 위한 레티클 구조를 보여주는 정면도,7A is a front view showing a reticle structure for patterning active regions of a fine pattern according to another embodiment of the present invention;

도 7b는 도 7a의 A-A'선을 잘라본 단면도.FIG. 7B is a cross-sectional view taken along the line AA ′ of FIG. 7A; FIG.

*도면의 주요부분에 대한 부호의 설명** Description of the symbols for the main parts of the drawings *

100 : 제1 패턴 200 : 제2 패턴100: first pattern 200: second pattern

300 : 제3 패턴300: third pattern

Claims (5)

활성영역을 정의하는 패턴으로서 석영과 위상반전층이 적층되어 이루어진 제1 패턴, 필드영역을 정의하는 패턴으로서 석영으로 이루어진 제2 패턴 및 활성영역을 정의하는 패턴으로서 상기 제1 패턴의 오목한 부분으로부터 이격하여 형성된 제3 패턴을 포함하여 이루어진 것을 특징으로 하는 미세 패턴의 활성영역 패터닝을 위한 레티클 구조.A first pattern in which quartz and a phase inversion layer are laminated as a pattern defining an active region, a second pattern made of quartz as a pattern defining a field region, and a pattern defining an active region, spaced apart from the concave portion of the first pattern Reticle structure for patterning the active region of the fine pattern comprising a third pattern formed by. 제1항에 있어서, 상기 제1 패턴의 위상반전층은 MoSi층으로 형성하는 것을 특징으로 하는 미세 패턴의 활성영역 패터닝을 위한 레티클 구조.The reticle structure of claim 1, wherein the phase inversion layer of the first pattern is formed of a MoSi layer. 제1항에 있어서, 상기 제3 패턴의 폭은 30 ~ 40 ㎚로 형성하는 것을 특징으로 하는 미세 패턴의 활성영역 패터닝을 위한 레티클 구조.The reticle structure of claim 1, wherein the third pattern has a width of about 30 nm to about 40 nm. 제1항에 있어서, 상기 제3 패턴은 석영으로 이루어진 것을 특징으로 하는 미세 패턴의 활성영역 패터닝을 위한 레티클 구조.The reticle structure of claim 1, wherein the third pattern is made of quartz. 제1항에 있어서, 상기 제3 패턴은 석영, 위상반전층, 크롬층이 적층되어 이루어진 것을 특징으로 하는 미세 패턴의 활성영역 패터닝을 위한 레티클 구조.The reticle structure of claim 1, wherein the third pattern is formed by stacking a quartz, a phase inversion layer, and a chromium layer.
KR1020070131913A 2007-12-17 2007-12-17 Reticle structure for patterning active layer with fine patterns KR20090064642A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020070131913A KR20090064642A (en) 2007-12-17 2007-12-17 Reticle structure for patterning active layer with fine patterns

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070131913A KR20090064642A (en) 2007-12-17 2007-12-17 Reticle structure for patterning active layer with fine patterns

Publications (1)

Publication Number Publication Date
KR20090064642A true KR20090064642A (en) 2009-06-22

Family

ID=40993177

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070131913A KR20090064642A (en) 2007-12-17 2007-12-17 Reticle structure for patterning active layer with fine patterns

Country Status (1)

Country Link
KR (1) KR20090064642A (en)

Similar Documents

Publication Publication Date Title
US5532090A (en) Method and apparatus for enhanced contact and via lithography
KR100604941B1 (en) Photomask for modified illumination, method for fabricating the same and method for using the same
US20110191728A1 (en) Integrated circuit having line end created through use of mask that controls line end shortening and corner rounding arising from proximity effects
US7655388B2 (en) Mask and method to pattern chromeless phase lithography contact hole
JPH1097052A (en) Production of phase inversion mask by controlling exposure light
US6660653B1 (en) Dual trench alternating phase shift mask fabrication
JP4804802B2 (en) Photomask and pattern manufacturing method using the same
KR100732749B1 (en) Mask for Forming Minute Pattern
US8617797B2 (en) Pattern forming method, semiconductor device manufacturing method and phase shift photomask having dummy gate patterns
US7033947B2 (en) Dual trench alternating phase shift mask fabrication
KR101216242B1 (en) Method for fabricating photo mask using slit type halftone pattern and photo mask fabricated using thereof
KR100871799B1 (en) A mask of a semiconductor device
JP2798796B2 (en) Pattern formation method
KR100465067B1 (en) Photo mask, method of manufacturing the same and method of forming a photosensitive film pattern of using the same
JP3133618B2 (en) Spatial filter used in reduction projection exposure apparatus
KR20090064642A (en) Reticle structure for patterning active layer with fine patterns
KR20120054467A (en) Manufacturing method for chromeless phase shift mask
JP2006047564A (en) Photomask and its manufacturing method
KR100826765B1 (en) Manufacturing method of reticle with enhanced resolving power in isolated pattern and reticle structure thereby
JP3225673B2 (en) Method for manufacturing phase shift mask
KR20090050653A (en) Reticle structure with hole pattern for preventing ghost image
KR20010006896A (en) Device manufacturing method
JPH0844039A (en) Phase shift mask of halftone system and resist exposing method
KR100914296B1 (en) Method for fabricating photomask having assist pattern
JP4655532B2 (en) Method for manufacturing exposure mask

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
NORF Unpaid initial registration fee