KR20090053431A - Organometallic compounds - Google Patents

Organometallic compounds Download PDF

Info

Publication number
KR20090053431A
KR20090053431A KR1020070120283A KR20070120283A KR20090053431A KR 20090053431 A KR20090053431 A KR 20090053431A KR 1020070120283 A KR1020070120283 A KR 1020070120283A KR 20070120283 A KR20070120283 A KR 20070120283A KR 20090053431 A KR20090053431 A KR 20090053431A
Authority
KR
South Korea
Prior art keywords
precursor
compound
reactor
edg
substrate
Prior art date
Application number
KR1020070120283A
Other languages
Korean (ko)
Inventor
데오다타 비나야크 세나이-카트카테
왕킹민
Original Assignee
롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨. filed Critical 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨.
Priority to KR1020070120283A priority Critical patent/KR20090053431A/en
Publication of KR20090053431A publication Critical patent/KR20090053431A/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F15/00Compounds containing elements of Groups 8, 9, 10 or 18 of the Periodic Table
    • C07F15/0006Compounds containing elements of Groups 8, 9, 10 or 18 of the Periodic Table compounds of the platinum group
    • C07F15/0046Ruthenium compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F17/00Metallocenes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

전자 공여기-치환된 알케닐 리간드를 함유하는 유기금속 화합물이 제공된다. 이들 화합물은 특히 증기 침착 전구체로서 사용되기에 적합하다. 또한, 그러한 화합물을 사용하여 예컨대 ALD 및 CVD에 의해 박막을 침착하는 방법을 제공한다.Organometallic compounds containing electron donor-substituted alkenyl ligands are provided. These compounds are particularly suitable for use as vapor deposition precursors. Also provided are methods of depositing thin films using such compounds, such as by ALD and CVD.

유기금속, 화합물 Organometallic compounds

Description

유기금속 화합물{ORGANOMETALLIC COMPOUNDS}Organometallic Compounds {ORGANOMETALLIC COMPOUNDS}

본 발명은 일반적으로 유기금속 화합물 분야에 관한 것이다. 특히, 본 발명은 박막의 화학적 증기 침착 또는 원자층 침착에 유용한 유기금속 화합물 분야에 관한 것이다. The present invention relates generally to the field of organometallic compounds. In particular, the present invention relates to the field of organometallic compounds useful for chemical vapor deposition or atomic layer deposition of thin films.

원자층 침착(atomic layer deposition, "ALD") 공정에서, 등각(conformal) 박막은 두 종류 이상의 화학적 반응물의 증기에 교대로 표면을 노출함으로써 침착된다. 제1 전구체(또는 반응물)로부터 나온 증기가, 소망하는 박막이 침착되고자 하는 표면 상으로 옮겨진다. 그 후, 미반응된 증기는 진공 하에서 시스템으로부터 제거된다. 다음으로, 제2 전구체로부터 나온 증기가 표면 상으로 옮겨져 제1 전구체와 반응하도록 되며, 과량의 제2 전구체 증기는 제거된다. ALD 공정에서의 각 단계는 전형적으로 소망하는 필름의 단일층을 침착한다. 이러한 일련의 단계들은 원하는 필름 두께가 얻어질 때까지 반복된다. 일반적으로, ALD 공정은 상당히 낮은 온도, 예컨대 200 내지 400℃에서 수행된다. 정확한 온도 범위는 침착될 특정 필름 및 적용될 특정 전구체들에 의존할 것이다. ALD 공정은 순수한 금속, 및 금속 산화물, 금속 질화물, 금속 탄화 질화물 및 금속 규화 질화물의 침착에 사용되어 왔다.In atomic layer deposition ("ALD") processes, conformal thin films are deposited by alternating surface exposure to the vapor of two or more types of chemical reactants. Vapor from the first precursor (or reactant) is transferred onto the surface on which the desired thin film is to be deposited. Thereafter, unreacted steam is removed from the system under vacuum. The vapor from the second precursor is then transferred onto the surface to react with the first precursor and excess second precursor vapor is removed. Each step in the ALD process typically deposits a single layer of the desired film. This series of steps is repeated until the desired film thickness is obtained. In general, the ALD process is performed at significantly lower temperatures, such as 200 to 400 ° C. The exact temperature range will depend on the specific film to be deposited and the specific precursors to be applied. ALD processes have been used for the deposition of pure metals and metal oxides, metal nitrides, metal carbide nitrides and metal silicide nitrides.

ALD 전구체는 합당한 시간 주기 내에 기재 표면 상에 단일층을 침착시키기에 충분한 농도의 전구체 증기를 반응기 내에 보장하기 위하여 충분히 휘발성이어야만 한다. 전구체는 또한, 조기 분해 및 원치 않는 부반응 없이 증기화 되도록 충분히 안정해야만 하되, 한편으로는 기재 상에 원하는 필름을 형성할 수 있도록 충분히 반응성이어야만 한다. 이렇게 휘발성 및 안정성의 밸런스가 요구되기 때문에, 적절한 전구체들은 전적으로 부족하다.The ALD precursor must be sufficiently volatile to ensure in the reactor a concentration of precursor vapor sufficient to deposit a monolayer on the substrate surface within a reasonable time period. The precursor must also be stable enough to vaporize without premature decomposition and unwanted side reactions, while on the one hand it must be sufficiently reactive to form the desired film on the substrate. As such a balance of volatility and stability is required, adequate precursors are wholly lacking.

종래의 전구체들은 동종리간드성(homoleptic), 즉, 단일의 리간드 그룹을 갖는다. 동종리간드성 전구체들은 균일한 화학적 특성을 제공하고, 따라서 리간드의 작용성(functionality)을 침착 공정과 맞추고 조화시키는 고유의 장점을 제공한다. 그러나, 단일 리간드 그룹만을 사용하는 것은, 예컨대, 표면 반응(예: 화학 흡착) 및 기상 반응(예: 제2 보충 전구체와의 반응)을 지배하는 중심 금속의 쉴딩(shielding), 전구체의 휘발성 조절, 및 전구체에 요구되는 열적 안정성의 달성과 같이 다른 중요한 전구체 특성에 대한 제어를 부족하게 한다. 예로서, 테트라키스(디알킬아미노)하프늄은 HfCl4의 염소-무함유 대체재료로서 현재 사용된다. 그러나, 이 화합물 군의 화합물들은 저장중 및/또는 반응기 도달 전에 조기 분해하는 경향이 있다. 하나 이상의 디알킬아미노기를 다른 유기기로 대체하여 열적 안정성을 부여하려는 시도가 있어 왔으나, 다른 기의 작용성과 맞추어 원하는 안정성을 얻을 수 없었기 때문에 거의 성공적이지 못하였다. 어떤 알케닐-치환된 14족 화합물들이 금속유기 화학적 증기 침착용("MOCVD") 증기 침착 전구체로서 미국특허출원 제2004/0194703호(Shenai-Khatkhate et al.)에 개시되었다. 이들 화합물들은 어떤 ALD 조건 하에서 요구되는 휘발성과 열적 안정성(또는 다른 성질)과의 밸런스를 제공하지 못할 수도 있다. Conventional precursors are homomoleptic, ie have a single ligand group. Homoligand precursors provide homogeneous chemical properties and thus inherent advantages of matching and harmonizing the functionality of the ligand with the deposition process. However, using only a single ligand group may include, for example, shielding of the central metal that governs surface reactions (e.g., chemisorption) and gas phase reactions (e.g., with a second supplemental precursor), control of precursor volatility, And lack of control over other important precursor properties such as achieving the thermal stability required for the precursor. As an example, tetrakis (dialkylamino) hafnium is currently used as a chlorine-free substitute for HfCl 4 . However, compounds in this compound group tend to degrade prematurely during storage and / or before reaching the reactor. Attempts have been made to impart thermal stability by replacing one or more dialkylamino groups with other organic groups, but they have been nearly unsuccessful because desired stability could not be achieved consistent with the functionality of the other groups. Certain alkenyl-substituted Group 14 compounds have been disclosed in US Patent Application 2004/0194703 (Shenai-Khatkhate et al.) As metalorganic chemical vapor deposition (“MOCVD”) vapor deposition precursor. These compounds may not provide the balance between the volatility and thermal stability (or other properties) required under certain ALD conditions.

침착 요구조건에 맞고 근본적으로 탄소-무함유인 필름을 제공하는, 적절하고 안정한 전구체에 대한 수요가 남아 있다.There remains a need for suitable and stable precursors that provide films that meet the deposition requirements and are essentially carbon-free.

본 발명은 다음 일반식을 가지는 유기금속 화합물을 제공한다:The present invention provides organometallic compounds having the general formula:

(EDG-(CR1R2)y'-CR3=CR4-(CR5R6)y")nM+ mL1 (m-n)L2 p (EDG- (CR 1 R 2 ) y ' -CR 3 = CR 4- (CR 5 R 6 ) y " ) n M + m L 1 (mn) L 2 p

상기에서 R1 및 R2는 각각 독립적으로 H, (C1-C6)알킬 및 EDG로부터 선택되고; R3는 H, (C1-C6)알킬, EDG 또는 EDG-(CR1R2)y'이며; R4는 H 또는 (C1-C6)알킬이고; R5 및 R6은 각각 독립적으로 H 및 (C1-C6)알킬로부터 선택되며; EDG는 전자 공여기이고; M은 금속이며; L1은 음이온성 리간드이고; L2는 중성 리간드이며; y'는 0 내지 6이고; y"는 0 내지 6이며; m은 M의 원자가이고; n은 1 내지 7이며; 그리고 p는 0 내지 3이다. 이들 화합물들은 다양한 증기 침착 방법, 예컨대 화학적 증기 침착("CVD") 및 특히 MOCVD에서 전구체로서 사용되기에 적합하며, 특히 ALD에 적합하다. 또한, 상기 유기금속 화합물 및 유기 용매를 포함하는 조성물이 제공된다. 이 조성물은 ALD 및 직접 액체 주사 공정에서 사용되기에 특히 적합하다.Wherein R 1 and R 2 are each independently selected from H, (C 1 -C 6 ) alkyl and EDG; R 3 is H, (C 1 -C 6 ) alkyl, EDG or EDG- (CR 1 R 2 ) y ′ ; R 4 is H or (C 1 -C 6 ) alkyl; R 5 and R 6 are each independently selected from H and (C 1 -C 6 ) alkyl; EDG is an electron donor group; M is a metal; L 1 is an anionic ligand; L 2 is a neutral ligand; y 'is 0 to 6; y ″ is 0 to 6; m is the valence of M; n is 1 to 7; and p is 0 to 3. These compounds are various vapor deposition methods, such as chemical vapor deposition (“CVD”) and in particular MOCVD Also suitable are for use as precursors in, and especially for ALD, and compositions are provided which comprise said organometallic compounds and organic solvents, which are particularly suitable for use in ALD and direct liquid injection processes.

본 발명은 또한, 반응기 내에 기재를 제공하는 단계; 상기 유기금속 화합물 을 기체 형태로 반응기로 이송하는 단계; 및 금속을 포함하는 필름을 기재 상에 침착시키는 단계;를 포함하는 필름 침착 방법을 제공한다. 다른 구체예에 따르면, 본 발명은 반응기 내에 기재를 제공하는 단계; 제1 전구체로서 상기 유기금속 화합물을 기체 형태로 반응기로 이송하는 단계; 제1 전구체 화합물을 기재 표면 상에 화학 흡착시키는 단계; 화학 흡착되지 않은 제1 전구체 화합물을 반응기로부터 제거하는 단계; 제2 전구체를 기체 형태로 반응기로 이송하는 단계; 제1 전구체 및 제2 전구체를 반응시켜 기재 상에 필름을 형성하는 단계; 및 미반응된 제2 전구체를 제거하는 단계;를 포함하는 필름 침착 방법을 제공한다. The invention also includes providing a substrate in a reactor; Transferring the organometallic compound to a reactor in gaseous form; And depositing a film comprising a metal on the substrate. According to another embodiment, the invention provides a method of providing a substrate in a reactor; Transferring the organometallic compound as a first precursor to the reactor in gaseous form; Chemisorbing the first precursor compound onto the substrate surface; Removing the chemisorbed first precursor compound from the reactor; Transferring the second precursor in gaseous form to the reactor; Reacting the first precursor and the second precursor to form a film on the substrate; And removing the unreacted second precursor.

본 명세서에 걸쳐 사용된 다음 약어들은, 그 문맥상 명백히 다른 것으로 지적되지 않는 한, 다음의 의미를 가질 것이다: ℃ = 섭씨 온도; ppm = 백만 당 부; ppb = 십억 당 부; RT = 실온; M = 몰의(molar); Me = 메틸; Et = 에틸; i-Pr = 이소-프로필; t-Bu = 터셔리-부틸; c-Hx = 사이클로헥실; Cp = 사이클로펜타디에닐; Py = 피리딜; COD = 사이클로옥타디엔; CO = 일산화탄소; Bz = 벤젠; Ph = 페닐; VTMS = 비닐트리메틸실란; 및 THF = 테트라하이드로푸란.The following abbreviations used throughout this specification shall have the following meanings, unless the context clearly indicates otherwise: ° C = degrees Celsius; ppm = parts per million; ppb = parts per billion; RT = room temperature; M = molar; Me = methyl; Et = ethyl; i-Pr = iso-propyl; t-Bu = tertiary-butyl; c-Hx = cyclohexyl; Cp = cyclopentadienyl; Py = pyridyl; COD = cyclooctadiene; CO = carbon monoxide; Bz = benzene; Ph = phenyl; VTMS = vinyltrimethylsilane; And THF = tetrahydrofuran.

"할로겐"은 불소, 염소, 브롬 및 요오드를 지칭하며, "할로"는 플루오로, 클로로, 브로모 및 요오도를 지칭한다. 마찬가지로, "할로겐화된"은 불소화된, 염소화된, 브롬화된 및 요오드화된 것을 지칭한다. "알킬"은 직쇄상, 분지상 및 고리형 알킬을 포함한다. 마찬가지로, "알케닐" 및 "알키닐"은 각각 직쇄상, 분지상 및 고리형 알케닐 및 알키닐을 포함한다. "Halogen" refers to fluorine, chlorine, bromine and iodine, and "halo" refers to fluoro, chloro, bromo and iodo. Likewise, “halogenated” refers to fluorinated, chlorinated, brominated and iodinated. "Alkyl" includes straight chain, branched and cyclic alkyl. Likewise, "alkenyl" and "alkynyl" include straight, branched and cyclic alkenyl and alkynyl, respectively.

다르게 표시되지 않는 한, 모든 양은 중량 퍼센트이고, 모든 비는 몰비이다. 수치 범위 합이 100%에 이르는 것으로 제한되는 경우와 같이 명백한 경우를 제외하고는, 모든 수치 범위는 포괄적이고 어느 차수로든 조합가능하다.Unless indicated otherwise, all amounts are in weight percent and all ratios are molar ratios. All numerical ranges are inclusive and combinable in any order, except where obvious, such as when the sum of numerical ranges is limited to reaching 100%.

본 발명의 유기금속 화합물은 다음의 일반식을 가진다:The organometallic compound of the present invention has the general formula:

(EDG-(CR1R2)y'-CR3=CR4-(CR5R6)y")nM+ mL1 (m-n)L2 p (EDG- (CR 1 R 2 ) y ' -CR 3 = CR 4- (CR 5 R 6 ) y " ) n M + m L 1 (mn) L 2 p

상기에서 R1 및 R2는 각각 독립적으로 H, (C1-C6)알킬 및 EDG로부터 선택되고; R3는 H, (C1-C6)알킬, EDG 또는 EDG-(CR1R2)y'이며; R4는 H 또는 (C1-C6)알킬이고; R5 및 R6은 각각 독립적으로 H 및 (C1-C6)알킬로부터 선택되며; EDG는 전자 공여기이고; M은 금속이며; L1은 음이온성 리간드이고; L2는 중성 리간드이며; y'는 0 내지 6이고; y"는 0 내지 6이며; m은 M의 원자가이고; n은 1 내지 7이며; 그리고 p는 0 내지 3이다. 상기 식에서 m ≥ n이다. 일 구체예에서, y'는 0 내지 3이다. 다른 구체예에서, y"는 0 내지 3이다. 또 다른 구체예에서, y"는 0 내지 2이고, 또 다른 구체예에서 y"는 0이다. 아래 첨자 "n"은 본 화합물 내에서 EDG-치환된 알케닐 리간드의 수를 나타낸다. M의 원자가는 전형적으로 2 내지 7이고(즉, 전형적으로 m = 2 내지 7), 더 전형적으로는 3 내지 7이며, 더욱 전형적으로는 3 내지 6이다. 일 구체예에서, R1 내지 R6은 각각 독립적으로 H, 메틸, 에틸, 프로필, 부틸 및 전자 공여기("EDG")로부터 선택되고, 더욱 특정적으로는 H, 메틸, 에틸 및 프로필로부터 선택된다. 다른 구체예에서, R4, R5 및 R6 각각은 독립적으로 H 및 (C1-C3)알킬로부터 선택된다. 또 다른 구체예에서, R3은 EDG-(CR1R2)y'이다. 일 구체예에서, (m-n)≥1, 즉 유기금속 화합물은 이종리간드성(heteroleptic)이다.Wherein R 1 and R 2 are each independently selected from H, (C 1 -C 6 ) alkyl and EDG; R 3 is H, (C 1 -C 6 ) alkyl, EDG or EDG- (CR 1 R 2 ) y ′ ; R 4 is H or (C 1 -C 6 ) alkyl; R 5 and R 6 are each independently selected from H and (C 1 -C 6 ) alkyl; EDG is an electron donor group; M is a metal; L 1 is an anionic ligand; L 2 is a neutral ligand; y 'is 0 to 6; y ″ is 0-6; m is the valence of M; n is 1-7; and p is 0-3. wherein m ≧ n. In one embodiment, y ′ is 0-3. In other embodiments, y ″ is 0-3. In yet another embodiment, y "is 0-2, and in yet another embodiment y" is 0. Subscript “n” indicates the number of EDG-substituted alkenyl ligands in the present compound. The valence of M is typically 2-7 (ie typically m = 2-7), more typically 3-7, and more typically 3-6. In one embodiment, R 1 to R 6 are each independently selected from H, methyl, ethyl, propyl, butyl and an electron donating group (“EDG”), more specifically from H, methyl, ethyl and propyl do. In other embodiments, R 4 , R 5 and R 6 are each independently selected from H and (C 1 -C 3 ) alkyl. In yet another embodiment, R 3 is EDG- (CR 1 R 2 ) y ' . In one embodiment, (mn) ≧ 1, ie the organometallic compound is heterologous.

다양한 종류의 금속들이 본원 유기금속 화합물을 형성하는 데에 적합하게 사용될 수 있다. 전형적으로, M은 2족 내지 16족 금속으로부터 선택된다. 본 명세서에서, 용어 "금속"은 반금속(metalloid) 붕소, 규소, 비소, 셀레늄 및 텔루륨을 포함하지만, 탄소, 질소, 인, 산소 및 황을 포함하지는 않는다. 일 구체예에서, M은 Be, Mg, Sr, Ba, Al, Ga, In, Si, Ge, Sb, Bi, Se, Te, Po, Cu, Zn, Sc, Y, La, 란탄계 금속, Ti, Zr, Hf, Nb, W, Mn, Co, Ni, Ru, Rh, Pd, Ir 또는 Pt이다. 다른 구체예에서, M은 Al, Ga, In, Ge, La, 란탄계 금속, Ti, Zr, Hf, Nb, W, Mn, Co, Ni, Ru, Rh, Pd, Ir 또는 Pt이다.Various kinds of metals may be suitably used to form the organometallic compounds herein. Typically, M is selected from Group 2-16 metals. As used herein, the term "metal" includes metalloid boron, silicon, arsenic, selenium, and tellurium, but does not include carbon, nitrogen, phosphorus, oxygen, and sulfur. In one embodiment, M is Be, Mg, Sr, Ba, Al, Ga, In, Si, Ge, Sb, Bi, Se, Te, Po, Cu, Zn, Sc, Y, La, lanthanum metal, Ti , Zr, Hf, Nb, W, Mn, Co, Ni, Ru, Rh, Pd, Ir or Pt. In another embodiment, M is Al, Ga, In, Ge, La, lanthanide metal, Ti, Zr, Hf, Nb, W, Mn, Co, Ni, Ru, Rh, Pd, Ir or Pt.

적절한 EDG용 전자공여기는 금속에 π-전자 안정화를 제공하는 것이다. 전자공여기는 하나 이상의 산소, 인, 황, 질소, 알켄, 알킨 및 아릴기를 포함하는 어느 것이라도 가능하다. 전자공여기의 염, 예컨대 그들의 알칼리 또는 알칼리 토 금속염들 또한 사용가능하다. 예시적인 전자공여기는, 제한 없이, 히드록실 ("-OH"), (C1-C6)알콕시 ("-OR"), 카보닐 ("-C(O)-"), 카복시 ("-CO2X"), 카브(C1-C6)알콕시 ("-CO2R"), 카보네이트 ("-OCO2R"), 아미노 ("-NH2"), (C1-C6)알킬아미노 ("-NHR"), 디(C1-C6)알킬아미노 ("-NR2"), (C2-C6)알케닐아미노, 디(C2-C6)알케닐아미노, (C2-C6) 알키닐아미노, 디(C2-C6)알키닐아미노, 머캅토 ("-SH"), 티오에테르 ("-SR"), 티오카보닐 ("-C(S)-"), 포스포노 ("PH2"), (C1-C6)알킬포스피노 ("-PHR"), 디(C1-C6)알킬포스피노 ("-PR2"), 비닐 ("C=C"), 아세틸레닐 ("C≡C"), 피리딜, 페닐, 푸라닐, 티오페닐, 아미노페닐, 히드록시페닐, (C1-C6)알킬페닐, 디(C1-C6)알킬페닐, (C1-C6)알킬페놀, (C1-C6)알콕시-(C1-C6)알킬페닐, 비(bi)페닐 및 비(bi)피리딜을 포함한다. 전자공여기는 히드록시페닐, 아미노페닐 및 알콕시페닐에서와 같이 다른 전자공여기를 포함할 수도 있다. 일 구체예에서, EDG는 아미노, (C1-C6)알킬아미노, 디(C1-C6)알킬아미노, (C2-C6)알케닐아미노, 디(C2-C6)알케닐아미노, (C2-C6)알키닐아미노, 및 디(C2-C6)알키닐아미노로부터 선택된다. 다른 구체예에서, EDG는 NH2, 메틸아미노, 디메틸아미노, 에틸아미노, 디에틸아미노, 에틸메틸아미노, 디-이소-프로필아미노, 메틸-이소-프로필아미노, 알릴아미노, 디알릴아미노, 프로파길아미노 및 디프로파길아미노로부터 선택된다. 또 다른 구체예에서, EDG는 아릴 부위이고, 보다 특정적으로는 피리딘과 같은 방향족 헤테로사이클이다. Suitable donors for EDG are those that provide π-electron stabilization to the metal. Electron donating groups may be any one comprising one or more oxygen, phosphorus, sulfur, nitrogen, alkene, alkyne and aryl groups. Salts of the electron donating groups, such as their alkali or alkaline earth metal salts, are also usable. Exemplary electron donating groups include, without limitation, hydroxyl ("-OH"), (C 1 -C 6 ) alkoxy ("-OR"), carbonyl ("-C (O)-"), carboxy ("-CO 2 X "), carb (C 1 -C 6 ) alkoxy (" -CO 2 R "), carbonate (" -OCO 2 R "), amino (" -NH 2 "), (C 1 -C 6 ) alkyl Amino ("-NHR"), di (C 1 -C 6 ) alkylamino ("-NR 2 "), (C 2 -C 6 ) alkenylamino, di (C 2 -C 6 ) alkenylamino, ( C 2 -C 6 ) alkynylamino, di (C 2 -C 6 ) alkynylamino, mercapto ("-SH"), thioether ("-SR"), thiocarbonyl ("-C (S) -"), Phosphono (" PH 2 "), (C 1 -C 6 ) alkylphosphino (" -PHR "), di (C 1 -C 6 ) alkylphosphino (" -PR 2 "), vinyl ("C = C"), acetylenyl ("C≡C"), pyridyl, phenyl, furanyl, thiophenyl, aminophenyl, hydroxyphenyl, (C 1 -C 6 ) alkylphenyl, di (C 1 -C 6 ) alkylphenyl, (C 1 -C 6 ) alkylphenols, (C 1 -C 6 ) alkoxy- (C 1 -C 6 ) alkylphenyl, bi (phenyl) and bi (pyripyridyl) do. Electron donating groups may include other electron donating groups, such as in hydroxyphenyl, aminophenyl and alkoxyphenyl. In one embodiment, the EDG is amino, (C 1 -C 6 ) alkylamino, di (C 1 -C 6 ) alkylamino, (C 2 -C 6 ) alkenylamino, di (C 2 -C 6 ) al Kenylamino, (C 2 -C 6 ) alkynylamino, and di (C 2 -C 6 ) alkynylamino. In another embodiment, the EDG is NH 2 , methylamino, dimethylamino, ethylamino, diethylamino, ethylmethylamino, di-iso-propylamino, methyl-iso-propylamino, allylamino, diallylamino, propargyl Amino and dipropargylamino. In another embodiment, the EDG is an aryl moiety, more particularly an aromatic heterocycle such as pyridine.

다양한 종류의 음이온성 리간드(L1)가 본 발명에서 사용될 수 있다. 이들 리간드는 음전하를 띤다. 가능한 리간드는, 제한 없이, 다음을 포함한다: 하이드라이드, 할라이드, 아지드, 알킬, 알케닐, 알키닐, 카보닐, 디알킬아미노알킬, 이미노, 히드라지도, 포스피도, 니트로실, 나이트릴(nitryl), 니트라이트, 니트레이트, 니 트릴(nitrile), 알콕시, 디알킬아미노알콕시, 실록시, 디케토네이트, 케토이미네이트, 사이클로펜타디에닐, 실릴, 피라졸레이트, 구아니디네이트, 포스포구아니디네이트, 아미디네이트, 포스포아미디네이트, 아미노, 알킬아미노, 디알킬아미노 및 알콕시알킬디알킬아미노. 이들 리간드 중 어느 것이라도, 예컨대 하나 이상의 수소를 다른 치환기로, 예로서 할로, 아미노, 디실릴아미노 및 실릴로 대체함으로써 임의로 치환될 수 있다. 예시적인 음이온성 리간드는, 제한 없이, 다음을 포함한다: (C1-C10)알킬, 예컨대 메틸, 에틸, 프로필, 부틸, 펜틸, 헥실, 사이클로프로필, 사이클로펜틸 및 사이클로헥실; (C2-C10)알케닐, 예컨대 에테닐, 알릴, 및 부테닐; (C2-C10)알키닐, 예컨대 아세틸레닐 및 프로피닐; (C1-C10)알콕시, 예컨대 메톡시, 에톡시, 프로폭시, 및 부톡시; 사이클로펜타디에닐, 예컨대 사이클로펜타디에닐, 메틸사이클로펜타디에닐 및 펜타메틸사이클로펜타디에닐; 디(C1-C10)알킬아미노(C1-C10)알콕시, 예컨대 디메틸아미노에톡시, 디에틸아미노에톡시, 디메틸아미노프로폭시, 에틸메틸아미노프로폭시 및 디에틸아미노프로폭시; 실릴, 예컨대 (C1-C10)알킬실릴 및 (C1-C10)알킬아미노실릴; 알킬 아미디네이트, 예컨대 N,N'-디메틸-메틸아미디네이토, N,N'디에틸-메틸아미디네이토, N,N'-디에틸-에틸아미디네이토, N,N'-디-이소-프로필-메틸아미디네이토, N,N'-디-이소-프로필-이소-프로필아미디네이토, N,N'-디메틸-페닐아미디네이토; (C1-C10)알킬아미노, 예컨대 메틸아미노, 에틸아미노, 및 프로필아미노; 디(C1-C10)알킬아미노, 예컨대 디메틸아미노, 디에틸아미노, 에틸메 틸아미노 및 디프로필아미노; (C2-C6)알케닐아미노; 디(C2-C6)알케닐아미노, 예컨대 디알릴아미노; (C2-C6)알키닐아미노, 예컨대 프로파길아미노; 및 디(C2-C6)알키닐아미노, 예컨대 디프로파길아미노. 2 또는 그 이상의 L1 리간드가 존재하면, 이들 리간드는 같거나 다를 수 있다. 즉, L1 리간드는 독립적으로 선택된다. 일 구체예에서, 적어도 하나의 L1 리간드가 존재한다.Various kinds of anionic ligands (L 1 ) can be used in the present invention. These ligands are negatively charged. Possible ligands include, without limitation: hydrides, halides, azides, alkyls, alkenyls, alkynyls, carbonyls, dialkylaminoalkyls, iminos, hydrazidos, phosphidos, nitrosyls, nitriles (nitryl), nitrite, nitrate, nitrile, alkoxy, dialkylaminoalkoxy, siloxy, diketonate, ketoiminate, cyclopentadienyl, silyl, pyrazolate, guanidinate, Phosphoroganidinates, amidinates, phosphoramidinates, amino, alkylamino, dialkylamino and alkoxyalkyldialkylamino. Any of these ligands may be optionally substituted, for example by replacing one or more hydrogens with other substituents, such as halo, amino, disilylamino and silyl. Exemplary anionic ligands include, without limitation: (C 1 -C 10 ) alkyl, such as methyl, ethyl, propyl, butyl, pentyl, hexyl, cyclopropyl, cyclopentyl and cyclohexyl; (C 2 -C 10 ) alkenyl such as ethenyl, allyl, and butenyl; (C 2 -C 10 ) alkynyl, such as acetylenyl and propynyl; (C 1 -C 10 ) alkoxy such as methoxy, ethoxy, propoxy, and butoxy; Cyclopentadienyl such as cyclopentadienyl, methylcyclopentadienyl and pentamethylcyclopentadienyl; Di (C 1 -C 10 ) alkylamino (C 1 -C 10 ) alkoxy such as dimethylaminoethoxy, diethylaminoethoxy, dimethylaminopropoxy, ethylmethylaminopropoxy and diethylaminopropoxy; Silyls such as (C 1 -C 10 ) alkylsilyl and (C 1 -C 10 ) alkylaminosilyl; Alkyl amidates such as N, N'-dimethyl-methylamidineato, N, N'diethyl-methylamidineato, N, N'-diethyl-ethylamidineato, N, N ' -Di-iso-propyl-methylamidineato, N, N'-di-iso-propyl-iso-propylamidineato, N, N'-dimethyl-phenylamidineato; (C 1 -C 10 ) alkylamino, such as methylamino, ethylamino, and propylamino; Di (C 1 -C 10 ) alkylamino such as dimethylamino, diethylamino, ethylmethylamino and dipropylamino; (C 2 -C 6 ) alkenylamino; Di (C 2 -C 6 ) alkenylamino, such as diallylamino; (C 2 -C 6 ) alkynylamino, such as propargylamino; And di (C 2 -C 6 ) alkynylamino, such as dipropargylamino. If two or more L 1 ligands are present, these ligands may be the same or different. That is, L 1 ligands are independently selected. In one embodiment, at least one L 1 ligand is present.

중성 리간드(L2)는 본원 화합물에서 선택적이다. 이들 중성 리간드는 전체적으로 전하를 띠지 않으며, 안정화제로서의 기능을 할 수 있다. 중성 리간드는, 제한 없이, CO, NO, 알켄, 디엔, 트리엔, 알킨, 및 방향족 화합물들을 포함한다. 예시적인 중성 리간드는, 제한 없이, 다음을 포함한다: (C2-C10)알켄, 예컨대 에텐, 프로펜, 1-부텐, 2-부텐, 1-펜텐, 2-펜텐, 1-헥센, 2-헥센, 노르보르넨, 비닐아민, 알릴아민, 비닐트리(C1-C6)알킬실란, 디비닐디(C1-C6)알킬실란, 비닐트리(C1-C6)알콕시실란 및 디비닐디(C1-C6)알콕시실란; (C4-C12)디엔, 예컨대 부타디엔, 사이클로펜타디엔, 이소프렌, 헥사디엔, 옥타디엔, 사이클로옥타디엔, 노르보르나디엔 및 α-테르피넨; (C6-C16)트리엔; (C2-C10)알킨, 예컨대 아세틸렌 및 프로핀; 및 방향족 화합물, 예컨대 벤젠, o-자일렌, m-자일렌, p-자일렌, 톨루엔, o-시멘, m-시멘, p-시멘, 피리딘, 푸란 및 티오펜. 중성 리간드의 수는 M으로 선택된 특정 금속에 의존한다. 전형적으로, 중성 리간드의 수는 0 내지 3이다. 2 또는 그 이상의 중성 리간 드가 존재할 때, 이들 리간드는 같거나 다를 수 있다. Neutral ligands (L 2 ) are optional in the present compounds. These neutral ligands are not entirely charged and can function as stabilizers. Neutral ligands include, without limitation, CO, NO, alkenes, dienes, trienes, alkynes, and aromatic compounds. Exemplary neutral ligands include, without limitation: (C 2 -C 10 ) alkenes such as ethene, propene, 1-butene, 2-butene, 1-pentene, 2-pentene, 1-hexene, 2 -Hexene, norbornene, vinylamine, allylamine, vinyltri (C 1 -C 6 ) alkylsilane, divinyldi (C 1 -C 6 ) alkylsilane, vinyltri (C 1 -C 6 ) alkoxysilane and Divinyldi (C 1 -C 6 ) alkoxysilane; (C 4 -C 12 ) dienes such as butadiene, cyclopentadiene, isoprene, hexadiene, octadiene, cyclooctadiene, norbornadiene and α-terpinene; (C 6 -C 16 ) triene; (C 2 -C 10 ) alkynes such as acetylene and propine; And aromatic compounds such as benzene, o-xylene, m-xylene, p-xylene, toluene, o-cymene, m-cymene, p-cymene, pyridine, furan and thiophene. The number of neutral ligands depends on the particular metal selected as M. Typically, the number of neutral ligands is 0-3. When two or more neutral ligands are present, these ligands may be the same or different.

본원 유기금속 화합물은 당 분야에 공지된 다양한 방법에 의해 제조될 수 있다. 예컨대, EDG-치환된 알케닐 그리나아르(Grignard)가 금속 할라이드와 적절한 용매, 예로서 THF 또는 디에틸에테르와 같은 에테르성 용매 중에서 반응하여 본원의 EDG-치환된 알케닐 유기금속 화합물을 형성할 수 있다. 다르게는, EDG-치환된 알케닐리튬 화합물이 적절한 금속 반응물, 예컨대 금속 할라이드, 금속 아세테이트 또는 금속 알콕사이드와 적절한 용매, 예로서 헥산 중에서 반응하여 소망하는 EDG-치환된 알케닐 유기금속 화합물을 형성할 수 있다. The organometallic compounds herein can be prepared by a variety of methods known in the art. For example, EDG-substituted alkenyl Grignard can be reacted with a metal halide in a suitable solvent, such as an ethereal solvent such as THF or diethyl ether, to form the EDG-substituted alkenyl organometallic compounds herein. have. Alternatively, the EDG-substituted alkenyllithium compound may be reacted with a suitable metal reactant such as a metal halide, metal acetate or metal alkoxide in a suitable solvent such as hexane to form the desired EDG-substituted alkenyl organometallic compound. have.

상기 기술된 유기금속 화합물들은 박막의 증기상 침착을 위한 전구체로서 사용되기에 특히 적합하다. 이들 화합물들은 다양한 CVD 공정 및 다양한 ALD 공정에서 사용될 수 있다. 일 구체예에서, 2 또는 그 이상의 이들 유기금속 화합물들이 CVD 또는 ALD 공정에서 사용될 수 있다. 2 또는 그 이상의 유기금속 화합물들이 사용될 때, 이들 화합물들은 같은 금속을 함유하지만 다른 리간드를 가질 수 있으며, 또는 다른 금속을 함유할 수도 있다. 다른 구체예에서, 하나 이상의 본원 유기금속 화합물이 하나 이상의 다른 전구체 화합물과 함께 사용될 수 있다.The organometallic compounds described above are particularly suitable for use as precursors for vapor phase deposition of thin films. These compounds can be used in various CVD processes and various ALD processes. In one embodiment, two or more of these organometallic compounds may be used in a CVD or ALD process. When two or more organometallic compounds are used, these compounds contain the same metal but may have different ligands, or they may contain other metals. In other embodiments, one or more organometallic compounds herein may be used with one or more other precursor compounds.

버블러(bubbler, 실린더로도 알려짐)는 본원 유기금속 화합물을 증기 상태로 침착 반응기에 제공하는 데에 사용되는 전형적인 이송장치이다. 이 버블러는 전형적으로 충전 포트(fill port), 기체 투입 포트(gas inlet port), 및 증기화기(vaporizer)로 연결된 배출 포트(outlet port)를 포함하며, 직접 액체 주사가 채택되면 증기화기는 침착 챔버로 연결된다. 배출 포트는 침착 챔버로 직접 연결될 수도 있다. 캐리어 가스는 전형적으로 기체 투입 포트를 통하여 버블러 내로 들어가고, 전구체 증기 또는 전구체-함유 기체 스트림을 끌고 가거나 태우고 간다. 그러면 운반된 증기는 배출 포트를 통하여 버블러를 빠져 나가며, 침착 챔버로 이송된다. 수소, 헬륨, 질소, 아르곤 및 이들의 혼합물과 같은 다양한 캐리어 가스가 사용될 수 있다.A bubbler (also known as a cylinder) is a typical transfer device used to provide organometallic compounds herein to the deposition reactor in vapor form. This bubbler typically includes a fill port, a gas inlet port, and an outlet port connected to a vaporizer, where vaporizers are deposited when direct liquid injection is employed. Connected to the chamber. The discharge port may be connected directly to the deposition chamber. The carrier gas typically enters the bubbler through a gas input port and draws or burns the precursor vapor or precursor-containing gas stream. The conveyed vapor then exits the bubbler through the discharge port and is transferred to the deposition chamber. Various carrier gases can be used, such as hydrogen, helium, nitrogen, argon and mixtures thereof.

사용된 특정 침착 장치에 의존하여, 다양한 버블러가 사용될 수 있다. 전구체 화합물이 고체이면, 미국특허 제6,444,038호(Rangarajan et al.) 및 제6,607,785호(Timmons et al.)에 개시된 버블러들, 및 다른 디자인들이 사용될 수 있다. 액체 전구체 화합물을 위해서는, 미국특허 제4,506,815호(Melas et al) 및 제5,755,885호(Mikoshiba et al) 에 개시된 버블러들, 및 다른 액체 전구체 버블러들이 사용될 수 있다. 공급원 화합물은 버블러 내에서 액체 또는 고체로 유지된다. 고체 공급원 화합물은 전형적으로 침착 챔버로 운반되기 전에 증기화 또는 승화된다. ALD 공정과 함께 사용되는 버블러는, 필요한 증기 펄스를 제공하기 위하여 요구되는 빠른 개폐를 가능하게 하기 위하여 공기압 작동 밸브를 투입 및 배출 포트에 가질 수 있다.Depending on the specific deposition apparatus used, various bubblers can be used. If the precursor compound is a solid, the bubblers disclosed in US Pat. Nos. 6,444,038 (Rangarajan et al.) And 6,607,785 (Timmons et al.), And other designs can be used. For liquid precursor compounds, the bubblers disclosed in US Pat. Nos. 4,506,815 (Melas et al) and 5,755,885 (Mikoshiba et al), and other liquid precursor bubblers can be used. The source compound remains liquid or solid in the bubbler. Solid source compounds are typically vaporized or sublimed before being delivered to the deposition chamber. The bubbler used with the ALD process may have pneumatically actuated valves at the inlet and outlet ports to enable the quick opening and closing required to provide the required vapor pulses.

통상의 CVD 공정에서, 액체 전구체를 공급하기 위한 버블러, 및 고체 전구체를 공급하기 위한 어떤 버블러는 기체 투입 포트에 연결된 딥(dip) 튜브를 가질 것이다. 일반적으로, 캐리어 가스는 유기금속 화합물(전구체 또는 공급원 화합물로도 불림)의 표면 아래로 도입되고, 공급원 화합물을 통과하여 그 위의 상부공간(headspace)으로 움직이며, 공급원 화합물의 증기를 캐리어 가스 중에 싣고 가거 나 끌고 간다. In a typical CVD process, a bubbler for supplying a liquid precursor, and any bubbler for supplying a solid precursor, will have a dip tube connected to a gas input port. In general, the carrier gas is introduced below the surface of the organometallic compound (also called a precursor or source compound), moves through the source compound and into the headspace thereon, and vapors of the source compound into the carrier gas. Carry or drag.

ALD 공정에서 사용되는 전구체는 종종 액체, 저융점 고체, 또는 용매 중에 배합된 고체이다. 이들 타입의 전구체를 다루기 위해서, ALD 공정에서 사용되는 버블러는 배출 포트에 연결된 딥 튜브를 가질 수 있다. 기체가 투입부를 통하여 버블러 내로 들어오고, 버블러를 가압하며, 전구체를 딥 튜브 위로 밀어올려 버블러를 빠져나가도록 한다.Precursors used in ALD processes are often liquids, low melting solids, or solids formulated in a solvent. To deal with these types of precursors, the bubbler used in the ALD process may have a dip tube connected to the discharge port. Gas enters the bubbler through the input, pressurizes the bubbler, and pushes the precursor over the dip tube to exit the bubbler.

본 발명은 상기 기술된 유기금속 화합물을 포함하는 이송 장치를 제공한다. 일 구체예에서, 본 이송 장치는 단면을 갖는 내부 표면을 가지는 연장된 실린더 형상부, 상부 마감부(closure portion) 및 하부 마감부를 가지는 용기를 포함하며, 상기 상부 마감부는 캐리어 가스 도입을 위한 투입 개구부(opening) 및 배출 개구부를 갖고, 상기 연장된 실린더 형상부는 상기 기술된 유기금속 화합물을 포함하는 챔버를 가진다.The present invention provides a transfer device comprising the organometallic compound described above. In one embodiment, the present conveying device comprises a container having an extended cylindrical shape having an inner surface having a cross section, an upper closure portion and a lower finish, wherein the upper finish is an input opening for introducing carrier gas. having an opening and a discharge opening, the elongated cylindrical shape has a chamber comprising the organometallic compound described above.

일 구체예에서, 본 발명은, 단면을 갖는 내부 표면을 가지는 연장된 실린더 형상부, 상부 마감부 및 하부 마감부를 가지는 용기를 포함하되, 여기에서 상기 상부 마감부는 캐리어 가스 도입을 위한 투입 개구부 및 배출 개구부를 갖고, 상기 연장된 실린더 형상부는 유기금속 화합물을 포함하는 챔버를 갖고, 상기 투입 개구부는 상기 챔버와 유체 연결되고, 상기 챔버는 상기 배출 개구부와 유체 연결되는, 화학적 증기 침착 시스템에 하기 일반식의 유기금속 화합물로 포화된 유체 스트림을 공급하기 위한 장치를 제공한다:In one embodiment, the present invention includes a container having an extended cylindrical shape having an inner surface with a cross section, an upper finish and a lower finish, wherein the upper finish is an inlet opening and outlet for introducing carrier gas. A chemical vapor deposition system having an opening, the elongated cylindrical shape having a chamber comprising an organometallic compound, the inlet opening in fluid communication with the chamber, and the chamber in fluid communication with the outlet opening. A device is provided for supplying a fluid stream saturated with an organometallic compound of:

(EDG-(CR1R2)y'-CR3=CR4-(CR5R6)y")nM+ mL1 (m-n)L2 p (EDG- (CR 1 R 2 ) y ' -CR 3 = CR 4- (CR 5 R 6 ) y " ) n M + m L 1 (mn) L 2 p

상기에서 R1 및 R2는 각각 독립적으로 H, (C1-C6)알킬 및 EDG로부터 선택되고; R3는 H, (C1-C6)알킬, EDG 또는 EDG-(CR1R2)y'이며; R4는 H 또는 (C1-C6)알킬이고; R5 및 R6은 각각 독립적으로 H 및 (C1-C6)알킬로부터 선택되며; EDG는 전자 공여기이고; M은 금속이며; L1은 음이온성 리간드이고; L2는 중성 리간드이며; y'는 0 내지 6이고; y"는 0 내지 6이며; m은 M의 원자가이고; n은 1 내지 7이며; 그리고 p는 0 내지 3이다. 다른 구체예에서, 본 발명은 상기 기술된 유기금속 화합물로 포화된 유체 스트림을 공급하기 위한 장치를 하나 이상 포함하는 금속 필름의 화학적 증기 침착용 장비를 제공한다.Wherein R 1 and R 2 are each independently selected from H, (C 1 -C 6 ) alkyl and EDG; R 3 is H, (C 1 -C 6 ) alkyl, EDG or EDG- (CR 1 R 2 ) y ′ ; R 4 is H or (C 1 -C 6 ) alkyl; R 5 and R 6 are each independently selected from H and (C 1 -C 6 ) alkyl; EDG is an electron donor group; M is a metal; L 1 is an anionic ligand; L 2 is a neutral ligand; y 'is 0 to 6; y ″ is 0 to 6; m is the valence of M; n is 1 to 7; and p is 0 to 3. In another embodiment, the present invention provides a fluid stream saturated with the organometallic compounds described above. Provided are equipment for chemical vapor deposition of metal films comprising at least one device for feeding.

침착 챔버는 전형적으로 가열된 용기이며, 그 안에 적어도 하나의, 가능하게는 다수의 기재가 배치된다. 침착 챔버는 배출부를 가지며, 배출부는 전형적으로 진공 펌프에 연결되는데, 이는 부산물을 챔버 바깥으로 빼내고, 적절한 경우, 감소된 압력을 제공하기 위함이다. MOCVD가 대기압 하 또는 감압 하에서 수행될 수 있다. 침착 챔버는 공급원 화합물의 분해를 유도하기에 충분하도록 높은 온도로 유지된다. 전형적인 침착 챔버 온도는 200℃ 내지 1200℃이고, 보다 전형적으로는 200℃ 내지 600℃이며, 정확한 온도는 효율적인 침착을 제공하기 위해 최적화되어 선택된다. 선택적으로, 만약 기재가 상승된 온도로 유지된다면 또는 플라즈마와 같은 다른 에너지가 라디오 주파수("RF")원에 의해 발생된다면, 침착 챔버 내의 온도는 전체적으로 감소될 수 있다.The deposition chamber is typically a heated vessel in which at least one, possibly multiple substrates, are placed. The deposition chamber has an outlet, which is typically connected to a vacuum pump to draw the byproduct out of the chamber and, where appropriate, to provide a reduced pressure. MOCVD can be performed under atmospheric pressure or under reduced pressure. The deposition chamber is maintained at a high temperature sufficient to induce degradation of the source compound. Typical deposition chamber temperatures are between 200 ° C. and 1200 ° C., more typically between 200 ° C. and 600 ° C., and the exact temperature is selected to be optimized to provide efficient deposition. Optionally, if the substrate is maintained at an elevated temperature or if other energy, such as plasma, is generated by the radio frequency (“RF”) source, the temperature in the deposition chamber may be reduced overall.

적절한 침착용 기재는, 전자적 장치 제조의 경우, 실리콘, 실리콘 게르마늄, 실리콘 카바이드, 갈륨 나이트라이드, 갈륨 아르세나이드, 인듐 포스파이드 등 일 수 있다. 이들 기재들은 집적 회로의 제조에 특히 유용하다.Suitable deposition substrates can be silicon, silicon germanium, silicon carbide, gallium nitride, gallium arsenide, indium phosphide, and the like, for electronic device manufacturing. These substrates are particularly useful for the manufacture of integrated circuits.

침착은 소망하는 물성을 갖는 필름을 제조하고자 하는 한 계속될 수 있다. 전형적으로, 침착이 종료될 때 필름 두께는 수백 내지 수천 옹스트롬 또는 그 이상일 것이다.Deposition can continue as long as it is desired to produce a film with the desired physical properties. Typically, the film thickness will be hundreds to thousands of angstroms or more at the end of the deposition.

따라서, 본 발명은 a) 증기 침착 반응기 내에 기재를 제공하는 단계; b) 전구체로서 상기 기술된 유기금속 화합물을 기체 형태로 반응기로 이송하는 단계; 및 c) 기재 상에 금속을 포함하는 필름을 침착시키는 단계;를 포함하는 금속 필름의 침착 방법을 제공한다. 전형적인 CVD 공정에서, 상기 기술된 방법은 반응기 내에서 전구체를 분해하는 단계를 더 포함한다.Accordingly, the present invention provides a method for producing a substrate comprising: a) providing a substrate in a vapor deposition reactor; b) transferring the organometallic compound described above as a precursor to the reactor in gaseous form; And c) depositing a film comprising a metal on the substrate. In a typical CVD process, the method described above further comprises decomposing the precursor in the reactor.

얇은 금속-함유 필름은 ALD에 의해, 필름으로 형성되는 원소 각각의 전구체 화합물 증기에 기재를 한번에 하나씩 교대로 처리함으로써 거의 완벽한 화학양론으로 제조된다. ALD 공정에서 기재는, 제1 전구체가 기재의 표면과 반응할 수 있는 충분히 높은 온도에서 제1 전구체의 증기로 처리되는데, 이에 의하여 기재 표면에 제1 전구체(또는 그 안에 포함된 금속)의 단일 원자층이 형성되고, 그렇게 형성된 제1 전구체 원자층을 갖는 표면이, 제2 전구체가 제1 전구체와 반응하는 충분히 높은 온도에서 제2 전구체의 증기로 처리되어 기재 표면 상에 소망하는 금속 필름의 단일 원자층이 형성된다. 이 과정은 형성되는 필름이 원하는 두께에 도달할 때까지 제1 및 제2 전구체를 교대로 사용하여 계속될 수 있다. 이러한 ALD 공정에서 사용되는 온도는 전형적으로 MOCVD 공정에 채택되는 것보다 낮으며, 200 내지 400℃의 범위 내일 수 있으나, 선택된 전구체, 침착될 필름 및 당업자에게 알려진 다른 기준에 따라 다른 적절한 온도가 채택될 수도 있다.Thin metal-containing films are produced by ALD with near perfect stoichiometry by alternately treating the substrates one at a time with the precursor compound vapor of each element formed into the film. In an ALD process, the substrate is treated with the vapor of the first precursor at a sufficiently high temperature that the first precursor can react with the surface of the substrate, thereby allowing a single atom of the first precursor (or a metal contained therein) to the substrate surface. A layer is formed, and the surface having the first precursor atomic layer so formed is treated with the vapor of the second precursor at a sufficiently high temperature at which the second precursor reacts with the first precursor to form a single atom of the desired metal film on the substrate surface. A layer is formed. This process can continue using alternating first and second precursors until the film formed reaches a desired thickness. The temperatures used in such ALD processes are typically lower than those employed in the MOCVD process, and may be in the range of 200 to 400 ° C., but other suitable temperatures may be employed depending on the selected precursor, the film to be deposited and other criteria known to those skilled in the art. It may be.

ALD 장비는 전형적으로 진공된 대기 상태를 제공하기 위한 진공 챔버 수단 및 작동 수단을 포함하고, 상기 진공 챔버 수단 내에는 한쌍의 수단이 위치하며, 이 한쌍의 수단은 적어도 하나의 기재를 지지하기 위한 지지수단 및 적어도 두개의 서로 다른 전구체 증기를 위한 공급원을 각각 형성하는 공급원 수단을 포함하고, 상기 작동 수단은 한쌍의 수단 중 하나와 작동 연결되어 이 하나의 수단을 한쌍의 수단 중 다른 하나의 수단에 대하여 작동시켜 기재 상에 먼저 하나의 전구체의 단일 원자층을 제공하고 다음으로 다른 전구체의 단일 원자층을 제공한다. ALD 장비에 대한 미국특허 제4,058,430호(Suntola)의 기재내용을 참고한다.ALD equipment typically includes a vacuum chamber means and an actuating means for providing a vacuumed atmospheric state, within which a pair of means are located, the pair of means supporting for supporting at least one substrate. Means and source means for respectively forming a source for at least two different precursor vapors, said actuating means being operatively connected with one of the pair of means to connect this one means to the other of the pair of means. It is operated to provide a single atomic layer of one precursor and then a single atomic layer of another precursor on the substrate. See US Patent No. 4,058,430 to Suntola for ALD equipment.

다른 구체예에서, 본 발명은 증기 침착 반응기 내에 기재를 제공하는 단계; 제1 전구체로서 상기 기술된 유기금속 화합물을 기체 형태로 반응기로 이송하는 단계; 제1 전구체 화합물을 기재 표면 상에 화학 흡착시키는 단계; 화학 흡착되지 않은 제1 전구체 화합물을 반응기로부터 제거하는 단계; 제2 전구체를 기체 형태로 반응기로 이송하는 단계; 제1 전구체 및 제2 전구체를 반응시켜 기재 상에 필름을 형성하는 단계; 및 미반응된 제2 전구체를 제거하는 단계;를 포함하는 필름 침착 방법을 제공한다. 제1 및 제2 전구체를 교대로 이송하는 단계들 및 제1 및 제2 전 구체를 반응시키는 단계가 원하는 두께의 필름이 얻어질 때까지 반복된다. 전구체를 반응기로부터 제거하는 단계는 진공하에서 반응기를 진공화시키는 과정 및 비-반응물 가스를 사용하여 반응기를 퍼지(purge)하는 과정을 하나 이상 포함할 수 있다. 제2 전구체는 제1 전구체와 반응하여 원하는 필름을 형성하는 임의의 적절한 전구체일 수 있다. 그러한 제2 전구체는 다른 금속을 임의로 포함할 수 있다. 예시적인 제2 전구체는, 제한 없이, 산소, 오존, 물, 과산화수소, 알코올, 아산화질소 및 암모니아를 포함한다. In another embodiment, the present invention provides a method for producing a substrate in a vapor deposition reactor; Transferring the organometallic compound described above as a first precursor to the reactor in gaseous form; Chemisorbing the first precursor compound onto the substrate surface; Removing the chemisorbed first precursor compound from the reactor; Transferring the second precursor in gaseous form to the reactor; Reacting the first precursor and the second precursor to form a film on the substrate; And removing the unreacted second precursor. The steps of alternately transferring the first and second precursors and reacting the first and second precursors are repeated until a film of the desired thickness is obtained. Removing the precursor from the reactor may comprise one or more of vacuuming the reactor under vacuum and purging the reactor using a non-reactant gas. The second precursor can be any suitable precursor that reacts with the first precursor to form the desired film. Such second precursor may optionally include other metals. Exemplary second precursors include, without limitation, oxygen, ozone, water, hydrogen peroxide, alcohols, nitrous oxide and ammonia.

본원의 유기금속 화합물이 ALD 공정 또는 직접 액체 주사 공정에 사용되고자 할 때에는, 유기 용매와 조합될 수 있다. 유기 용매의 혼합물이 채택가능하다. 본 유기금속 화합물에 적절히 불활성인 어떠한 유기 용매라도 사용가능하다. 예시적인 유기 용매는, 제한 없이, 지방족 탄화수소, 방향족 탄화수소, 선형 알킬 벤젠, 할로겐화 탄화수소, 실릴화(silyated) 탄화수소, 알코올, 에테르, 글라임(glyme), 글리콜, 알데히드, 케톤, 카복시산, 설폰산, 페놀, 에스테르, 아민, 알킬니트릴, 티오에테르, 티오아민, 시아네이트, 이소시아네이트, 티오시아네이트, 실리콘 오일, 니트로알킬, 알킬니트레이트, 및 이들의 혼합물을 포함한다. 적절한 용매는 테트라하이드로푸란, 디글라임(diglyme), n-부틸 아세테이트, 옥탄, 2-메톡시에틸 아세테이트, 에틸 락테이트, 1,4-디옥산, 비닐트리메틸실란, 피리딘, 메시틸렌, 톨루엔, 및 자일렌을 포함한다. 직접 액체 주사 공정에 사용될 때에는, 유기금속 화합물의 농도가 전형적으로 0.05 내지 0.25 M의 범위 내이고, 더욱 전형적으로는 0.05 내지 0.15 M이다. 유기금속 화합물/유기 용매 조성물은 용액, 슬러리 또는 분산물 형태 일 수 있다. When the organometallic compound of the present invention is intended to be used in an ALD process or a direct liquid injection process, it may be combined with an organic solvent. Mixtures of organic solvents are acceptable. Any organic solvent which is suitably inert to the present organometallic compound can be used. Exemplary organic solvents include, but are not limited to, aliphatic hydrocarbons, aromatic hydrocarbons, linear alkyl benzenes, halogenated hydrocarbons, silylated hydrocarbons, alcohols, ethers, glycols, glycols, aldehydes, ketones, carboxylic acids, sulfonic acids , Phenols, esters, amines, alkylnitriles, thioethers, thioamines, cyanates, isocyanates, thiocyanates, silicone oils, nitroalkyls, alkylnitrates, and mixtures thereof. Suitable solvents include tetrahydrofuran, diglyme, n-butyl acetate, octane, 2-methoxyethyl acetate, ethyl lactate, 1,4-dioxane, vinyltrimethylsilane, pyridine, mesitylene, toluene, and Xylenes. When used in a direct liquid injection process, the concentration of organometallic compound is typically in the range of 0.05 to 0.25 M, more typically 0.05 to 0.15 M. The organometallic compound / organic solvent composition may be in the form of a solution, slurry or dispersion.

본원의 유기금속 화합물 및 유기 용매를 포함하는 조성물은 직접 액체 주사를 채택한 증기 침착 공정에서 사용되기에 적합하다. 적절한 직접 액체 주사 공정들은 미국특허출원 제2006/0110930호(Senzaki)에 기재된 것들이다.Compositions comprising the organometallic compounds herein and organic solvents are suitable for use in vapor deposition processes employing direct liquid injection. Suitable direct liquid injection processes are those described in US Patent Application 2006/0110930 (Senzaki).

또한 본 발명에 의하면, 상기 기술된 방법들 중 어느 하나를 사용하여 금속-함유 필름을 침착시키는 단계를 포함하는 전자적 장치의 제조방법이 제공된다.According to the present invention, there is also provided a method of making an electronic device comprising the step of depositing a metal-containing film using any of the methods described above.

본 발명은 증기 침착, 특히 ALD를 위한 이종리간드성(heteroleptic) 전구체의 사용을 가능하게 하는 해결책을 제공하며, 이는 EDG-치환된 알케닐 리간드의 사용에 의하여 작용성의 적절한 밸런스, 소망하는 열적 안정성, 적절한 중심 금속 쉴딩, 및 잘 제어된 표면 및 기상 반응을 가진다.The present invention provides a solution that enables the use of heteroterotic precursors for vapor deposition, in particular ALD, which provides a suitable balance of functionality, the desired thermal stability, by the use of EDG-substituted alkenyl ligands, With proper center metal shielding, and well controlled surface and gas phase reactions.

이하의 실시예는 본 발명의 다양한 측면을 예시하기 위한 것이다.The following examples are intended to illustrate various aspects of the invention.

실시예Example 1 One

(1-디메틸아미노)알릴 (η6-p-시멘)루테늄 디이소프로필아세트아미디네이트는 다음과 같이 합성되었다:(1-dimethylamino) allyl (η6- p -cymene) ruthenium diisopropylacetamidinate was synthesized as follows:

Figure 112007084459359-PAT00001
Figure 112007084459359-PAT00001

디클로로(η6-p-시멘)루테늄 이량체를 리튬 디이소프로필아세트아미디네이트와 실온(대략 25℃)에서 THF 내에서 반응시켰다. 자석 또는 기계적 교반 수단 및 반응의 속도를 제어하기 위한 효율적인 가열/냉각 시스템을 갖춘 3구 둥근 바닥 플라스크가 사용되었다. 혼합물을 실온에서 밤새 교반한 후에, (1-디메틸아미노)알릴 마그네슘 브로마이드가 낮은 온도(대략 -30℃)에서 첨가되었다. 다음으로 결과 혼합물을 질소 불활성 대기 하에서 밤새 교반하였다. 시약을 연속 적하(dropwise) 방식으로 첨가하였고, 반응의 발열을 제어하기 위하여 천천히 혼합하였다. 다음으로 조 생성물을 고수율로 여과한 후에 반응물질로부터 분리하였다. 표적 생성물은 FT-NMR로 측정시 유기용매를 실질적으로 함유하지 않았고(<0.5ppm), ICP-MS/ICP-OES로 측정시 금속 불순물 역시 실질적으로 함유하지 않았다(<10ppb).Dichloro (η6- p -cymene) ruthenium dimer was reacted with lithium diisopropylacetamidinate in THF at room temperature (approximately 25 ° C.). A three-necked round bottom flask with magnet or mechanical stirring means and an efficient heating / cooling system for controlling the rate of reaction was used. After the mixture was stirred overnight at room temperature, (1-dimethylamino) allyl magnesium bromide was added at low temperature (approximately -30 ° C). The resulting mixture was then stirred overnight under a nitrogen inert atmosphere. Reagents were added in a dropwise manner and mixed slowly to control the exotherm of the reaction. The crude product was then filtered off in high yield and separated from the reactants. The target product was substantially free of organic solvents as measured by FT-NMR (<0.5 ppm) and substantially free of metallic impurities as measured by ICP-MS / ICP-OES (<10 ppb).

실시예Example 2 2

비스(1-디메틸아미노알릴)비스(사이클로펜타디에닐)지르코늄(IV)은 다음과 같이 합성되었다:Bis (1-dimethylaminoallyl) bis (cyclopentadienyl) zirconium (IV) was synthesized as follows:

Figure 112007084459359-PAT00002
Figure 112007084459359-PAT00002

디클로로비스(사이클로펜타디에닐)지르코늄을 (1-디메틸아미노)알릴 마그네슘 브로마이드와 낮은 온도(대략 -30℃)에서 THF 내에서 반응시켰다. 자석 또는 기계적 교반 수단 및 반응의 속도를 제어하기 위한 효율적인 가열/냉각 시스템을 갖춘 3구 둥근 바닥 플라스크가 사용되었다. 혼합물을 실온에서 밤새 교반하였다. 다음으로, 조 생성물을 고수율로 여과한 후에 반응물질로부터 분리하였다. 표적 생성물은 FT-NMR로 측정시 유기용매를 실질적으로 함유하지 않았고(<0.5ppm), ICP-MS/ICP-OES로 측정시 금속 불순물 역시 실질적으로 함유하지 않았다(<10ppb).Dichlorobis (cyclopentadienyl) zirconium was reacted with (1-dimethylamino) allyl magnesium bromide in THF at low temperature (approximately -30 ° C). A three-necked round bottom flask with magnet or mechanical stirring means and an efficient heating / cooling system for controlling the rate of reaction was used. The mixture was stirred at rt overnight. Next, the crude product was filtered off in high yield and then separated from the reactants. The target product was substantially free of organic solvents as measured by FT-NMR (<0.5 ppm) and substantially free of metallic impurities as measured by ICP-MS / ICP-OES (<10 ppb).

실시예Example 3 3

다음의 표에 열거된 일반식 (EDG-(CR1R2)y'-CR3=CR4-(CR5R6)y")nM+ mL1 (m-n)L2 p의 유기금속 화합물을 상기 실시예 1 및 2에 기재된 방법에 따라 제조하였다. Organometallic compounds of general formula (EDG- (CR 1 R 2 ) y ' -CR 3 = CR 4- (CR 5 R 6 ) y " ) n M + m L 1 (mn) L 2 p listed in the following table Compounds were prepared according to the methods described in Examples 1 and 2 above.

Figure 112007084459359-PAT00003
Figure 112007084459359-PAT00003

AMD = N,N'-디메틸-메틸-아미디네이트AMD = N, N'-dimethyl-methyl-amidinate

PAMD = N,P-디메틸-메틸포스포아미디네이트PAMD = N, P-dimethyl-methylphosphoamidinate

KIM = β-디케티미네이트KIM = β-diketimate

BDK = β-디케토네이트BDK = β-diketonate

DMAE = 디메틸아미노에틸DMAE = dimethylaminoethyl

DMAP = 디메틸아미노프로필DMAP = dimethylaminopropyl

상기 표에서 콤마에 의해 분리된 리간드는 그 화합물 내에 존재하는 각 리간드를 나타낸다.Ligands separated by commas in the table above represent each ligand present in the compound.

실시예Example 4 4

ALD 또는 직접 액체 주사(direct liquid injection) 공정에서의 사용에 적절한 조성물은 실시예 3의 화합물 중 어떤 것을 어떤 유기용매와 혼합하여 제조되었다. 특정 조성물이 다음의 표에 나타나 있다. 유기금속 화합물은 전형적으로 직접 액체 주사를 위해 0.1 M의 농도로 존재하였다.Compositions suitable for use in ALD or direct liquid injection processes have been prepared by mixing any of the compounds of Example 3 with certain organic solvents. Specific compositions are shown in the following table. Organometallic compounds were typically present at concentrations of 0.1 M for direct liquid injection.

Figure 112007084459359-PAT00004
Figure 112007084459359-PAT00004

Claims (10)

다음 일반식을 가지는 유기금속 화합물:Organometallic compounds having the general formula: (EDG-(CR1R2)y'-CR3=CR4-(CR5R6)y")nM+ mL1 (m-n)L2 p (EDG- (CR 1 R 2 ) y ' -CR 3 = CR 4- (CR 5 R 6 ) y " ) n M + m L 1 (mn) L 2 p 상기에서 R1 및 R2는 각각 독립적으로 H, (C1-C6)알킬 및 EDG로부터 선택되고; R3는 H, (C1-C6)알킬, EDG 또는 EDG-(CR1R2)y'이며; R4는 H 또는 (C1-C6)알킬이고; R5 및 R6은 각각 독립적으로 H 및 (C1-C6)알킬로부터 선택되며; EDG는 전자 공여기이고; M은 금속이며; L1은 음이온성 리간드이고; L2는 중성 리간드이며; y'는 0 내지 6이고; y"는 0 내지 6이며; m은 M의 원자가이고; n은 1 내지 7이며; 그리고 p는 0 내지 3이다. Wherein R 1 and R 2 are each independently selected from H, (C 1 -C 6 ) alkyl and EDG; R 3 is H, (C 1 -C 6 ) alkyl, EDG or EDG- (CR 1 R 2 ) y ′ ; R 4 is H or (C 1 -C 6 ) alkyl; R 5 and R 6 are each independently selected from H and (C 1 -C 6 ) alkyl; EDG is an electron donor group; M is a metal; L 1 is an anionic ligand; L 2 is a neutral ligand; y 'is 0 to 6; y "is 0-6; m is the valence of M; n is 1-7; and p is 0-3. 제1항에 있어서, L1은 하이드라이드, 할라이드, 아지드, 알킬, 알케닐, 알키닐, 카보닐, 디알킬아미노알킬, 이미노, 히드라지도, 포스피도, 니트로실, 나이트릴(nitryl), 니트레이트, 니트릴(nitrile), 알콕시, 디알킬아미노알콕시, 실록시, 디케토네이트, 케토이미네이트, 사이클로펜타디에닐, 실릴, 피라졸레이트, 구아니디네이트, 포스포구아니디네이트, 아미디네이트, 포스포아미디네이트, 아미노, 알 킬아미노, 디알킬아미노 및 알콕시알킬디알킬아미노로부터 선택되는 화합물.The compound of claim 1, wherein L 1 is hydride, halide, azide, alkyl, alkenyl, alkynyl, carbonyl, dialkylaminoalkyl, imino, hydrazido, phosphido, nitrosyl, nitrile , Nitrate, nitrile, alkoxy, dialkylaminoalkoxy, siloxy, diketonate, ketoiminate, cyclopentadienyl, silyl, pyrazolate, guanidinate, phosphoguanidenate, ani A compound selected from midinate, phosphoramidineate, amino, alkylamino, dialkylamino and alkoxyalkyldialkylamino. 제1항에 있어서, EDG는 하나 이상의 산소, 인, 황, 질소, 알켄, 알킨 및 아릴기를 포함하는 화합물.The compound of claim 1, wherein the EDG comprises one or more oxygen, phosphorous, sulfur, nitrogen, alkene, alkyne and aryl groups. 제1항에 있어서, M은 2족 내지 16족 금속으로부터 선택되는 화합물.The compound of claim 1, wherein M is selected from Group 2 to Group 16 metals. 증기 침착 반응기 내에 기재를 제공하는 단계; 전구체로서 제1항의 유기금속 화합물을 기체 형태로 반응기로 이송하는 단계; 및 금속을 포함하는 필름을 기재 상에 침착시키는 단계;를 포함하는 필름 침착 방법.Providing a substrate in a vapor deposition reactor; Transferring the organometallic compound of claim 1 as a precursor to the reactor in gaseous form; And depositing a film comprising a metal on the substrate. 제1항의 화합물 및 유기 용매를 포함하는 조성물.A composition comprising the compound of claim 1 and an organic solvent. 반응기 내에 기재를 제공하는 단계; 제6항의 조성물을 직접 액체 주사를 사용하여 반응기로 이송하는 단계; 및 금속을 포함하는 필름을 기재 상에 침착시키는 단계;를 포함하는 필름 침착 방법.Providing a substrate in the reactor; Transferring the composition of claim 6 to a reactor using direct liquid injection; And depositing a film comprising a metal on the substrate. 증기 침착 반응기 내에 기재를 제공하는 단계; 제1 전구체로서 제1항의 유기금속 화합물을 기체 형태로 반응기로 이송하는 단계; 제1 전구체 화합물을 기재 표면 상에 화학 흡착시키는 단계; 화학 흡착되지 않은 제1 전구체 화합물을 반응기로 부터 제거하는 단계; 제2 전구체를 기체 형태로 반응기로 이송하는 단계; 제1 전구체 및 제2 전구체를 반응시켜 기재 상에 필름을 형성하는 단계; 및 미반응된 제2 전구체를 제거하는 단계;를 포함하는 필름 침착 방법.Providing a substrate in a vapor deposition reactor; Transferring the organometallic compound of claim 1 to the reactor in gaseous form as a first precursor; Chemisorbing the first precursor compound onto the substrate surface; Removing the chemisorbed first precursor compound from the reactor; Transferring the second precursor in gaseous form to the reactor; Reacting the first precursor and the second precursor to form a film on the substrate; And removing the unreacted second precursor. 제8항에 있어서, 제2 전구체는 산소, 오존, 물, 과산화물, 알코올, 아산화질소 및 암모니아로부터 선택되는 방법.The method of claim 8, wherein the second precursor is selected from oxygen, ozone, water, peroxides, alcohols, nitrous oxide and ammonia. 제1항의 화합물을 포함하는 증기 침착 반응으로 증기상의 전구체를 이송하기 위한 이송 장치.A transport apparatus for transporting precursors in the vapor phase in a vapor deposition reaction comprising the compound of claim 1.
KR1020070120283A 2007-11-23 2007-11-23 Organometallic compounds KR20090053431A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020070120283A KR20090053431A (en) 2007-11-23 2007-11-23 Organometallic compounds

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070120283A KR20090053431A (en) 2007-11-23 2007-11-23 Organometallic compounds

Publications (1)

Publication Number Publication Date
KR20090053431A true KR20090053431A (en) 2009-05-27

Family

ID=40860939

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070120283A KR20090053431A (en) 2007-11-23 2007-11-23 Organometallic compounds

Country Status (1)

Country Link
KR (1) KR20090053431A (en)

Similar Documents

Publication Publication Date Title
US7531458B2 (en) Organometallic compounds
US7547631B2 (en) Organometallic compounds
US8012536B2 (en) Method of forming metal-containing layer using organometallic compounds
KR101498499B1 (en) precursor compositions and methods
US20080026576A1 (en) Organometallic compounds
WO2011007323A1 (en) Deposition of group iv metal-containing films at high temperature
US8343580B2 (en) Organometallic compounds
CN101121734A (en) Organometallic compounds
CN101182339A (en) Metal-imino complexes suitable for use as vapor deposition precursors
KR20090053423A (en) Organometallic compounds
KR20090053431A (en) Organometallic compounds
KR20090053411A (en) Organometallic compounds

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application