KR20090008240A - Dry etch stop process for elimination electrical shorting in mram device structures - Google Patents

Dry etch stop process for elimination electrical shorting in mram device structures Download PDF

Info

Publication number
KR20090008240A
KR20090008240A KR1020087025349A KR20087025349A KR20090008240A KR 20090008240 A KR20090008240 A KR 20090008240A KR 1020087025349 A KR1020087025349 A KR 1020087025349A KR 20087025349 A KR20087025349 A KR 20087025349A KR 20090008240 A KR20090008240 A KR 20090008240A
Authority
KR
South Korea
Prior art keywords
insulating layer
containing gas
oxygen
layer
substrate
Prior art date
Application number
KR1020087025349A
Other languages
Korean (ko)
Inventor
로버트 디티지오
Original Assignee
테갈 코퍼레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/724,556 external-priority patent/US7645618B2/en
Application filed by 테갈 코퍼레이션 filed Critical 테갈 코퍼레이션
Publication of KR20090008240A publication Critical patent/KR20090008240A/en

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N52/00Hall-effect devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Hall/Mr Elements (AREA)
  • Mram Or Spin Memory Techniques (AREA)
  • Semiconductor Memories (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

The present invention relates generally to semiconductor fabrication and particularly to fabricating magnetic tunnel junction devices. In particular, this invention relates to a method for using the dielectric layer in tunnel junctions as an etch stop layer to eliminate electrical shorting that can result from the patterning process.

Description

MRAM 디바이스 구조체에서 전기적 단락을 제거하기 위한 건식 식각정지 방법{DRY ETCH STOP PROCESS FOR ELIMINATION ELECTRICAL SHORTING IN MRAM DEVICE STRUCTURES}DRY ETCH STOP PROCESS FOR ELIMINATION ELECTRICAL SHORTING IN MRAM DEVICE STRUCTURES

본 발명은 일반적으로 반도체 제조에 관한 것이며, 특히 자기 터널 접합 디바이스(magnetic tunnel junction devices)와 메모리 디바이스에 사용되는 것과 같은 금속-절연체-금속의 층으로된의 박막 스택을 포함하는 디바이스 구조체 제조에 관한 것이다.FIELD OF THE INVENTION The present invention relates generally to semiconductor fabrication, and in particular to device structure fabrication comprising magnetic tunnel junction devices and thin film stacks of metal-insulator-metal layers such as those used in memory devices. will be.

금속-절연체-금속의 적층 필름들은 자기 랜덤 엑세스 메모리(Magnetic Random Access Memories, MRAM)등과 같은 메모리 디바이스의 저장 소자로 이용된다. 상기 MRAM 기술의 상기 메모리 소자는 다층 물질의 패턴화 구조이고, 보통 NiFe, CoFe, PtMn, Ru 등과 같은 서로 다른 물질들의 스택으로 구성되며 Al2O3 또는 MgO 등의 절연체와 같은 물질들을 포함할 수도 있다. 전형적인 스택은 이들 물질로 된 10개 이상의 층을 포함할 수 있는데, 이들 물질 중 일부는 비자성(non-magnetic)이고, 일부는 자성(magnetic)이며, 하나 또는 두개는 절연체인, 열개 또는 그 이상의 물질들의 층들을 포함할 것이다. 본 설명에서, 절연 필름들은 그것들 의 벌크 형태에서 높은 전기적 저항을 나타내는 산화 또는 질화 금속층으로 정의된다. 저장 소자를 제조하기 위하여, 상부 블랭킷 필름들에 상기 물질들을 적층하고, 포토레지스트의 패턴화층을 생성하고, 상기 필름들을 적절한 구조체가 되게 식각할 필요가 있다. Laminate films of metal-insulator-metal are used as storage elements in memory devices such as magnetic random access memories (MRAM) and the like. The memory device of the MRAM technology is a patterned structure of a multi-layered material, usually composed of a stack of different materials such as NiFe, CoFe, PtMn, Ru, and the like, and may include materials such as insulators such as Al 2 O 3 or MgO. have. A typical stack may include ten or more layers of these materials, some of which are non-magnetic, some are magnetic, and one or two are insulators, ten or more. It will include layers of materials. In this description, insulating films are defined as layers of oxide or metal nitride that exhibit high electrical resistance in their bulk form. In order to fabricate the storage device, it is necessary to laminate the materials on the upper blanket films, to create a patterned layer of photoresist, and to etch the films to a suitable structure.

이온 빔 밀링 또는 이온 빔 식각 방법은 자기저항 물질들을 제거하기 위하여 이용되어 왔다. 그러나, 이온 빔 밀링은 물리적 밀링 방법이다. 마스크에 의해 보호받지 못하는 영역들은 이온 타격(bombardment)에 의해 제거된다. 상기 이온 타격은 상기 보호되지 않은 물질을 스퍼터링 하거나 깍아 낸다. 이온 빔 밀링은 저 선택비로 행해지며, 상기 마스크의 에지 부근 또는 MRAM 셀 바디의 경계부근에 가까이 있는 스택 영역들이 쉽게 손상될 수 있다. Ion beam milling or ion beam etching methods have been used to remove magnetoresistive materials. However, ion beam milling is a physical milling method. Areas not protected by the mask are removed by ion bombardment. The ion bombardment sputters or shaves off the unprotected material. Ion beam milling is done at low selectivity, and stack regions near the edge of the mask or near the boundary of the MRAM cell body can easily be damaged.

화학적 식각 기술은 적층된 층들의 일부를 선택적으로 제거하기 위해 이용되어 왔다. 식각 기술들의 예는 건식 식각 기술(dry etching techniques)과 습식 식각 기술(wet etching techniques)을 포함한다. Chemical etching techniques have been used to selectively remove some of the stacked layers. Examples of etching techniques include dry etching techniques and wet etching techniques.

현재의 식각 기술의 결점 중 하나는 MRAM 구조체들의 프로파일들이 얇은 터널 접합 전반에서의 전기적 단락에 민감하다는 것이다. 절연 유전체 터널링층 위의 상부 자기층와 이 터널링층 아래의 하부 자기층 사이의 수직적 분리는 전기적 단락(electrical shorting)을 방지하기에 적절하지 않다.One drawback of current etching techniques is that the profiles of MRAM structures are sensitive to electrical shorts across thin tunnel junctions. Vertical separation between the upper magnetic layer above the insulating dielectric tunneling layer and the lower magnetic layer below this tunneling layer is not suitable to prevent electrical shorting.

본 발명의 실시 예들은, 다른 것들 중에서도, 상부 자기층의 플라즈마 오버식각(overeching) 동안 터널 배리어 층이 정지층(stop layer)으로서 동작하는 자기 터널 접합(MTJ) 디바이스의 제조에 관한 것이다. 결과적인 MTJ 디바이스는 상기 터널 배리어층 전체에 걸쳐 보다 나은 전기적 절연(electrical isolation)을 제공한다. Embodiments of the invention relate to the manufacture of a magnetic tunnel junction (MTJ) device, among others, in which the tunnel barrier layer acts as a stop layer during plasma overeching of the upper magnetic layer. The resulting MTJ device provides better electrical isolation across the tunnel barrier layer.

다른 실시 예에서, 플라즈마 오버식각 동안 사용되는 가스들은 바람직하게는 상기 터널 배리어층에 대해 위에 놓이는 상부 자기층에 고 선택도의 식각을 야기하는 할로겐 함유 종들을 배제한다. 상기 가스에 산소를 주입하는 것은 상기 방법의 재생산성을 향상시킨다.In another embodiment, the gases used during plasma overetch exclude preferably halogen containing species that cause high selectivity etching on the upper magnetic layer overlying the tunnel barrier layer. Injecting oxygen into the gas improves the reproducibility of the method.

또 다른 실시 예에서, 불소-염소 가스 혼합물은 상기 터널 배리어층 위의 상기 자기층을 부분적으로 식각하는데 이용된다.In another embodiment, a fluorine-chlorine gas mixture is used to partially etch the magnetic layer over the tunnel barrier layer.

마지막으로, 또 다른 실시 예는 포토레지스트 마스크를 스트리핑하기 이전 혹은 스트리핑하는 동안 He 및 H2 가스를 이용하여 부식 플라즈마 처리를 하는 것에 관한 것이다. 선택적에 따라서는, 스트리핑 단계 이후 물, He, H2 탈수 베이킹을 이용한 세척(rinsing)이 이용될 수 있다. Finally, another embodiment is directed to performing a corrosive plasma treatment with He and H 2 gases prior to or during stripping the photoresist mask. Optionally, rinsing with water, He, H 2 dehydration baking may be used after the stripping step.

도 1. 자기 터널링 접합(magnetic tunneling junction)을 구비한 통상적인 MRAM 구조체.Figure 1. A typical MRAM structure with magnetic tunneling junctions.

도 2. 자기 터널링 접합을 구비한 단순화된 MRAM 구조체.2. Simplified MRAM structure with magnetic tunneling junction.

도 3. 발명의 MRAM 공정 순서도(sequence).Figure 3. MRAM process sequence of the invention.

도 4. 발명의 MRAM 공정 순서도.4. MRAM process flow chart of the invention.

도 5a. 발명의 MRAM 공정 순서도.Figure 5a. MRAM process flow chart of the invention.

도 5b. 발명의 MRAM 공정 순서도.Figure 5b. MRAM process flow chart of the invention.

도 6. 상부 콘택 패터닝 이후의 MRAM 스택 구조체.6. MRAM stack structure after top contact patterning.

도 7. 반응성(reactive)자기층 식각 단계 이후의 MRAM 스택 구조체.7. The MRAM stack structure after the reactive magnetic layer etching step.

도 8. 반응성 자기층 식각 이후의 MRAM 스택 구조체.8. MRAM stack structure after reactive magnetic layer etching.

도 9. 상기 터널링 유전체층이 피쳐(feature)와 근접하여 브리치(breach) 되지 않고 마스크 피쳐와 근접하지 않은 영역들에서 브리치되는 본 발명의 패터닝 시퀀스의 실시예.9. An embodiment of the patterning sequence of the present invention wherein the tunneling dielectric layer is not breached in proximity to the feature and is breached in regions not in proximity to the mask feature.

도 10. 상기 자기 스택층들이 식각정지 단계(etch stop process)에 선행하는 반응성 식각 단계 동안 의도적으로 경사진 프로파일(profile)로 식각되는 본 발명의 MRAM 패터닝 시퀀스의 실시예.10. An embodiment of the MRAM patterning sequence of the present invention wherein the magnetic stack layers are etched with an intentionally inclined profile during the reactive etch step prior to the etch stop process.

도 11. 50

Figure 112008072128912-PCT00001
NiFe/15
Figure 112008072128912-PCT00002
알루미나/50
Figure 112008072128912-PCT00003
NiFe 스택 구조체의 식각 동안 획득되는 광학적 방사(optical emission) 신호 강도의 도면. 상기 도면의 두개의 피크(peak)는 두 NiFe층의 제거를 나타낸다. 두 피크사이의 시간은 상기 15
Figure 112008072128912-PCT00004
알루미층을 제거하기위해 필요한 시간을 나타낸다. 이 그래프를 만들기 위해 사용된 방법으로부터 얻어지는 NiFe 대 알루미나(NiFe-to-Alumina)의 식각 선택도는 90:1보다 크다. Fig. 50
Figure 112008072128912-PCT00001
NiFe / 15
Figure 112008072128912-PCT00002
Alumina / 50
Figure 112008072128912-PCT00003
Plot of optical emission signal strength obtained during etching of a NiFe stack structure. Two peaks in the figure indicate removal of the two NiFe layers. The time between the two peaks is above 15
Figure 112008072128912-PCT00004
The time required to remove the aluminium layer The etching selectivity of NiFe-to-Alumina obtained from the method used to make this graph is greater than 90: 1.

도 12. CoFe, NiFe, 그리고 알루미나를 위한 식각 스퍼터 속도들 각각의 그래프.12. Graph of each of the etch sputter velocities for CoFe, NiFe, and alumina.

도 13. 반응성 자기층 식각(도 6 참조) 및 식각정지 단계 후의 MRAM 스택 구조체.13. MRAM stack structure after reactive magnetic layer etching (see FIG. 6) and etch stop step.

도 14. 반응성 자기층 식각(도 7 참조) 및 식각정지 단계 후의 MRAM 스택 구조체.14. MRAM stack structure after reactive magnetic layer etching (see FIG. 7) and etch stop step.

도 15. 반응성 자기층 식각(도 8 참조) 및 식각정지 단계 후의 MRAM 스택 구조체.15. MRAM stack structure after reactive magnetic layer etching (see FIG. 8) and etch stop step.

본 발명은 자기 랜덤 억세스 메모리(MRAM) 디바이스에 이용되는 자기 터널 접합(MTJ) 디바이스를 제조하기 위한 패턴화 방법의 발달에 기반한 것이다. 여기에서 더 설명되듯이, 본 발명의 중요한 측면은, 본 발명의 방법에 의해 준비된 MJT 디바이스가, 현재의 기술과 비교하여 볼때, 상기 유전체 터널층과 접하는 상기 자기층들간에 보다 나은 전기적 절연을 할 수 있게 한다는 것이다.The present invention is based on the development of a patterning method for manufacturing a magnetic tunnel junction (MTJ) device for use in a magnetic random access memory (MRAM) device. As will be described further herein, an important aspect of the present invention is that an MJT device prepared by the method of the present invention provides better electrical insulation between the magnetic layers in contact with the dielectric tunnel layer when compared to current technology. To make it possible.

MTJ가 포함된 전형적인 MRAM 구조체가 도 1에서 보여진다. 상기 MRAM 구조체는 기판위에 자기필름(magnetic film), 전도성필름(conductive film), 그리고 절연필름(insulating film)이 있는 복합의 스택이다. 도 1에서, 전형적인 MRAM 구조체의 구체적인 요소들이 보여지며, 이 요소들은 기판(10), 배리어층(12), 하부 콘택층(14), CoFe, Ru, NiFe, IrMn, PtMn 그리고 이와 비슷한 것들로 구성된 다층 자기 구조체(16), 알루미나 또는 MgO와 같은 유전체 터널층(18), 전환 가능한 자기층(20)(NiFe, CoFe, CoNiFe, CoFeB류), 그리고 상부 콘택층(22)(Ta, TaN, Ti, TiN, W류)를 포함하여 구성된다. A typical MRAM structure with MTJ is shown in FIG. The MRAM structure is a composite stack having a magnetic film, a conductive film, and an insulating film on a substrate. In FIG. 1, specific elements of a typical MRAM structure are shown, which consist of a substrate 10, a barrier layer 12, a lower contact layer 14, CoFe, Ru, NiFe, IrMn, PtMn and the like. Multilayer magnetic structure 16, dielectric tunnel layer 18, such as alumina or MgO, switchable magnetic layer 20 (NiFe, CoFe, CoNiFe, CoFeB classes), and upper contact layer 22 (Ta, TaN, Ti) , TiN, W class).

또한 도 1에는 하드 마스크층(24), 반사 방지 코팅(26), 그리고 포토레지스트의 패턴화층(28)이 보여진다. 포토레지스트층(28)은 감광성 물질로, 이 감광성 물질은 상기 포토레지스트 아래의 하부 층들 중 하나 이상을 식각하여 레지스트층에 의해 보호되지 않는 하부 층의 일부가 식각될 수 있도록 하기 위한 마스크로서, 당업자들에 의해 일반적으로 사용된다. 반사 방지 코팅(26)은 이미지 레지스트의 콘트라스트(contrst)를 향상시키기 위하여 복사(radiation)를 흡수하여 광학적으로 불투명한 필름을 생성하는데에 일반적으로 사용된다. ARC 코팅들은 복사가 상부 PR 마스크층으로 반사되는 것을 효율적으로 감소시킬 수 있다. 이것은 상기 포토레지스트 물질의 과노출을 방지할 수 있다. 하드 마스크층(24)은 디바이스 제조시 중간 마스크 전사층(intermediate mask transfer layer)로써 널리 사용된다. 이용될 때, 상기 포토레지스트는 상기 하드 마스크층에, 그리고 가능하게는 상기 하부층들 중 하나 이상에 상기 패턴을 전사시키기 위한 건식 식각 마스크로서 사용되고, 이후 상기 하드 마스크층은, 포토레지스트를 사용하여 정의되지 않은 나머지 하부층들에 상기 패턴을 전사시키기 위한 마스크로서 사용된다. 실리콘 이산화물(dioxide)와 실리콘 질화물(nitride)와 같은 하드 마스크층들은 포토레지스트와 비교하여 내구성을 향상시키기 위하여, 또는 중합체 포토레지스트층들(polymeric phtoresist layers)의 연수점(softening point)이상의 온도에서 공정을 할 수 있도록 하기 위하여 일반적으로 사용된다.Also shown in FIG. 1 is a hard mask layer 24, an antireflective coating 26, and a patterned layer 28 of photoresist. Photoresist layer 28 is a photosensitive material, which is a mask for etching one or more of the underlying layers below the photoresist so that a portion of the underlying layer that is not protected by the resist layer can be etched. Commonly used by them. Antireflective coatings 26 are commonly used to absorb radiation to create optically opaque films to enhance the contrast of the image resist. ARC coatings can effectively reduce the reflection of radiation into the upper PR mask layer. This can prevent overexposure of the photoresist material. The hard mask layer 24 is widely used as an intermediate mask transfer layer in device fabrication. When used, the photoresist is used as a dry etch mask for transferring the pattern to the hard mask layer, and possibly to one or more of the underlying layers, after which the hard mask layer is defined using a photoresist. It is used as a mask for transferring the pattern to the remaining lower layers that are not. Hard mask layers such as silicon dioxide and silicon nitride can be processed to improve durability compared to photoresist or at temperatures above the softening point of polymeric phtoresist layers. It is generally used to make it possible.

자기 스택 구조체는 전형적으로 기판(10)위에 생성된다. 상기 기판(10)은 노출된 표면을 갖는 구조체중 어느 것이나 포함할 수 있다. 구조체들은 실리콘 웨이 퍼, 실리콘-온 인슐레이터(silicon-on insulator,SOI), 실리콘-온 사파이어(silicon-on sapphire, SOS), 알루미늄 타이타늄 카바이드(aluminum titanum carbide, AlTiC)가 도핑된(doped) 반도체들 및 도핑되지 않은(undoped) 반도체들, III-V 또는 III-VI 반도체, 베이스 반도체 기반에 의해 지지되는 실리콘의 에피택셜(epitaxial) 층들, 그리고 다른 반도체 구조체들과 같은 반도체 디바이스의 제조에 사용될 수 있다. 상기 반도체는 실리콘 기반(silicon-based)일 필요는 없다. 상기 반도체는 실리콘-게르마늄, 게르마늄, 또는 갈륨 비화물일 수 있다. 상기 구조체는 또한, 유리 또는 폴리머와 같은 비-반도체일 수도 있다. 상기 기판(10)은 트랜지스터, 다이오드, 커패시터, 그리고 저항기들과 같은 그러한 매립형 전자 디바이스, 또는 자기 다층 스택과 연계하여 사용될 수 있는 다른 어떤 디바이스 또는 회로 요소를 포함 할 수 있다.The magnetic stack structure is typically created on the substrate 10. The substrate 10 may include any structure having an exposed surface. The structures are semiconductors doped with silicon wafers, silicon-on insulators (SOIs), silicon-on sapphire (SOS), aluminum titanum carbide (AlTiC) And undoped semiconductors, III-V or III-VI semiconductors, epitaxial layers of silicon supported by a base semiconductor base, and other semiconductor structures. . The semiconductor need not be silicon-based. The semiconductor may be silicon-germanium, germanium, or gallium arsenide. The structure may also be a non-semiconductor, such as glass or a polymer. The substrate 10 may include such embedded electronic devices such as transistors, diodes, capacitors, and resistors, or any other device or circuit element that may be used in conjunction with a magnetic multilayer stack.

도 1에 보인, MTJ가 포함된 전형적인 다층(multilayer) MRAM 구조체에 있어서, 상기 다층 구조체를 생성하는 특정 층들(예를 들어, 물질들과 그것들의 배열)은 변경될 수 있음을 알 수 있다. MTJ와 MRAM 구조체들이 이 기술분야에 알려져 있는데, 예를 들어, 미국 특허 제6,673,675호(Yates,et al.) "Methods of Fabricating an MRAM Device Using Chemical Mechanical Polishing"; 미국 특허 제6,677,165호(Lu,et al.) "Magnetoresistive Random Access Memory (MRAM) Cell Patterning"; 미국 특허 제6,653,704호(Gurney,et al.) "Magnetic Memory with Tunnel Junction Memory Cells and Phase Transition Material for Controlling Current to the Cells"; 미국 특허 제6,024,885(Pendharkar, et al.)호 "Process for Patterning Magnetic Films"; 미국 특허 제 5,650,958호(Gallagher, et al.) "Magnetic Tunnel Junctions with Controlled Magnetic Response"; 에서 설명된다. 이들 문헌들은 여기에 참고로서 인용하는 것이다.In a typical multilayer MRAM structure including MTJ, shown in FIG. 1, it can be seen that the specific layers (eg, materials and their arrangement) that produce the multilayer structure may vary. MTJ and MRAM structures are known in the art, see, eg, US Pat. No. 6,673,675 (Yates, et al.) "Methods of Fabricating an MRAM Device Using Chemical Mechanical Polishing"; U. S. Patent No. 6,677, 165 to Lu, et al. "Magnetoresistive Random Access Memory (MRAM) Cell Patterning"; Gurney, et al., “Magnetic Memory with Tunnel Junction Memory Cells and Phase Transition Material for Controlling Current to the Cells”; US Pat. No. 6,024,885 to Pendharkar, et al., “Process for Patterning Magnetic Films”; US 5,650,958 (Gallagher, et al.) “Magnetic Tunnel Junctions with Controlled Magnetic Response”; Is explained in. These documents are incorporated herein by reference.

상기 자기필름 스택의 배향(orientation)이 도 1에 보여진 순서와 반대로 될 수 있음이 이해되어야 한다. 즉, 상기 필름 구조체의 방향은 상기 상부 콘택층 및 자기-프리층이 상기 유전체 터널층과 상기 고정된 다층의 아래에 위치하며 반강자성체(antiferromagnetic)층들이 상기 유전체 터널층 위에 위치하는 역전된 순서로 상기 필름 스택이 적층되는 것과 같은 배향으로 될 수 있다. 상기 자기필름 스택은, 상기 자기-프리층이 상기 유전체 터널층의 위에 적층되거나 혹은 상기 유전체 터널층의 아래에 위치하는 배향들에서 다수의 자기 터널 접합들로 구성될 수 있다는 것 또한 이해되어야 한다. It should be understood that the orientation of the magnetic film stack may be reversed to the order shown in FIG. 1. That is, the direction of the film structure is in the reversed order in which the upper contact layer and the self-free layer are located below the dielectric tunnel layer and the fixed multilayer and the antiferromagnetic layers are located above the dielectric tunnel layer. It may be in the same orientation as the film stack is laminated. It should also be understood that the magnetic film stack may be composed of multiple magnetic tunnel junctions in orientations where the self-free layer is stacked over or below the dielectric tunnel layer.

도 2에 보여진 단순화된 일 실시예에서, 상기 MTJ 스택은 기판(10), 하부 콘택층(14), 고정된 하부 자기층(16), 유전체 터널층(18), 전환 가능한(switchable) 상부 자기층(16), 그리고 상부 콘택층(22)으로 구성된다. 상기 스택 구조체는 포토레지스트층(28)으로 패턴되어 있다. 이 단순화된 구조체가 다음 설명의 본 발명의 바람직한 실시예들에서 사용된다.In one simplified embodiment shown in FIG. 2, the MTJ stack comprises a substrate 10, a bottom contact layer 14, a fixed bottom magnetic layer 16, a dielectric tunnel layer 18, a switchable top magnetic layer. Layer 16, and top contact layer 22. The stack structure is patterned with a photoresist layer 28. This simplified structure is used in the preferred embodiments of the present invention in the following description.

본 발명의 식각정지 단계 과정이 도 3,4,5에 제시된다.An etch stop step procedure of the present invention is shown in FIGS. 3, 4 and 5.

도 3은 자기스택이 적층되고(100), PR이 패턴화 되고(102), 하나 또는 둘의 상기 하드마스크층과와 상부 콘택층중 하나 또는 모두가 식각 되고(104), 그리고 상부 자기층(106)의 일부를 제거하기 위해 반응성 식각 공정이 사용되는(106)의 발 명의 공정 순서를 보여준다. 상부 자기층(106)의 반응성 식각 단계 다음에, MTJ 디바이스 구조체는 본 발명의 식각정지 단계(108)에 바로 노출되거나, DI 린스, PR 스트립, 그리고 부식 처리에 기반한 플라즈마로 구성된 부식 처리 단계에 먼저 노출되고, 뒤이어 발명의 식각정지 단계(108)에 노출된다.3 shows that the magnetic stack is stacked (100), the PR is patterned (102), one or both of the hardmask layer and the top contact layer are etched (104), and the top magnetic layer ( The process sequence of the invention of 106 where a reactive etching process is used to remove a portion of 106 is shown. Following the reactive etching step of the upper magnetic layer 106, the MTJ device structure is either directly exposed to the etch stop step 108 of the present invention or first subjected to a corrosion treatment step consisting of DI rinse, PR strip, and plasma based corrosion treatment. Are exposed, followed by the etch stop step 108 of the invention.

상부 자기층의 반응성 부분 식각 단계(106) 바로 다음에 본 발명의 식각정지 단계(108)가 뒤따르는 도 3에 보여진 일 실시예에서, 상기 MTJ 디바이스 구조체의 패터닝이 완료되고 상기 디바이스는 후속 단계(114)로 이동한다. 발명의 식각정지 단계(108)가 상부 자기층의 반응성 부분 식각 단계(106) 바로 다음에 이루어지는 두번째 실시예에서, 상기 디바이스는 부식 방지를 위한 단계에 노출된다. 자기필름을 염소-함유 및 브롬-함유 식각 화학물들에 노출시키는 것은, 상기 디바이스를 진공 상태로부터 제거하고 이어서 상기 식각된 필름을 주변 환경 습기에 노출시키게 되므로 이로 인한 역반응을 야기할 수 있다. 상기 필름의 민감성에 따라, 역 부식 반응을 방지하기 위해 도 3에 보인 것과 같은 다양한 순서들이 개발되어 왔다. In one embodiment shown in FIG. 3, immediately following the reactive partial etching step 106 of the upper magnetic layer, followed by the etch stop step 108 of the present invention, the patterning of the MTJ device structure is completed and the device is subjected to the subsequent step ( Go to 114). In a second embodiment where the etch stop step 108 of the invention follows the reactive partial etch step 106 of the upper magnetic layer, the device is exposed to a step for corrosion protection. Exposing the magnetic film to chlorine-containing and bromine-containing etching chemicals may cause a reverse reaction as the device is removed from the vacuum and subsequently exposed to the ambient moisture of the etched film. Depending on the sensitivity of the film, various orders have been developed, such as shown in Figure 3, to prevent reverse corrosion reactions.

부식 방지 처리들이 이용되며, 이 부식 방지 처리들이 상기 터널층 위의 식각정지 단계(108) 이후 이용되는 본 발명 공정의 일 실시예에서, 상기 부식 처리 순서는 포토레지스트(PR) 스트립/부식 처리 단계(112)와 뒤이은 DI 워터 린스 단계(110)로 구성된다. 부식 방지 처리가 이용되고 이 부식 방지 처리가 상기 터널층의 식각정지 단계(108) 이후 이용되는 본 발명 공정의 두번째 실시예에서, 상기 부식 방지 처리 순서는 포토레지스트 스트립/부식 방지 단계(112)와 뒤이는 DI 워터 린스 단계(110)로 구성된다. In one embodiment of the inventive process wherein anticorrosive treatments are used, which are used after the etch stop step 108 on the tunnel layer, the corrosion treatment sequence is a photoresist (PR) strip / corrosive treatment 112 followed by a DI water rinse step 110. In a second embodiment of the process of the present invention wherein an anticorrosion treatment is used and this corrosion protection treatment is used after the etch stop step 108 of the tunnel layer, the anticorrosion treatment sequence comprises a photoresist strip / corrosion prevention step 112 and This is followed by a DI water rinse step 110.

도 3에 보인 일 실시예에서, 본 발명의 식각정지 단계(108)는 상기 상부 자기층의 반응성 부분 식각 단계(106) 바로 다음에 오지 않고, 부식 방지 처리((110) 및 (112))가 이보다 먼저 실행된다. 본 발명의 식각정지 단계(108)가 상부 자기층의 반응성 부분 식각 단계(106)에 바로 뒤에 오지 않는 도 3에 보인 제1 실시예에서, 상기 MTJ 디바이스 구조체는 DI 워터 린스 단계(110)에 노출되고, 터널링층 위의 식각 저지 단계(108)에 앞서 포토레지스트 스트립/부식 처리 단계(112)가 그 뒤를 따른다. 식각정지 단계(108)가 상부 자기층의 반응성 부분 식각 단계(106)에 바로 뒤따르지 않는 본 발명의 공정의 제2 실시예에서, 상기 디바이스는 포토레지스트 스트립/부식 처리 단계(112)에 노출되고, 식각정지 단계(108)에 앞서 DI 워터 린스 단계가 그 뒤를 따른다.In one embodiment shown in FIG. 3, the etch stop step 108 of the present invention does not immediately follow the reactive partial etch step 106 of the upper magnetic layer, and the anti-corrosion treatment (110) and (112) It will run before this. In the first embodiment shown in FIG. 3 where the etch stop step 108 of the present invention does not immediately follow the reactive partial etch step 106 of the upper magnetic layer, the MTJ device structure is exposed to the DI water rinse step 110. And a photoresist strip / corrosion treatment step 112 followed by an etch stop step 108 above the tunneling layer. In a second embodiment of the process of the invention where the etch stop step 108 does not immediately follow the reactive partial etch step 106 of the upper magnetic layer, the device is exposed to the photoresist strip / corrosion treatment step 112 and The DI water rinse step is followed by the etch stop step 108.

도 4는 자기 스택이 적층되고(100), 상기 PR이 패턴 되고(102),그리고 상기 하드 마스크가 식각되는(103) 발명의 공정 순서를 보인 것이다. 상기 하드 마스크 식각 단계(103) 다음에, MTJ 디바이스 구조체는 포토레지스트 스트립 단계(107)에 노출되거나 상기 상부 콘택층을 제거하기 위한 반응성 식각 단계(105) 및 상기 상부 자기층의 일부를 제거하기 위한 반응성 식각 단계(106)에 노출된다. 상기 하드 마스크 식각 단계(103) 다음에 포토레지스트 스트립 단계(107)가 오는 본 발명 공정의 제1 실시예에서, 상기 포토레지스트 단계(107) 에 뒤이어, 상기 MTJ 디바이스는 상기 상부 콘택층을 제거하기 위한 반응성 식각 단계(105) 및 상기 상부 자기층의 일부를 제거하기 위한 반응성 식각 단계(106)에 노출된다. 상기 상부 콘택층을 제거하기 위한 반응성 식각 단계(105) 및 상기 상부 자기층의 일부를 제거하기 위 한 반응성 식각 단계(106)가 상기 하드 마스크 식각 공정(103)뒤를 따르는 본 발명 공정의 제2 실시예에서, 뒤이어 상기 MTJ 디바이스는 포토레지스트 스트립 단계(107)에 노출된다. 4 illustrates a process sequence of the invention in which a magnetic stack is stacked (100), the PR is patterned (102), and the hard mask is etched (103). Following the hard mask etching step 103, the MTJ device structure is exposed to the photoresist strip step 107 or the reactive etching step 105 for removing the upper contact layer and for removing a portion of the upper magnetic layer. Exposed to reactive etching step 106. In a first embodiment of the inventive process, wherein the hard mask etching step 103 is followed by a photoresist strip step 107, following the photoresist step 107, the MTJ device removes the upper contact layer. And a reactive etching step 106 for removing a portion of the upper magnetic layer. A second implementation of the process of the present invention following the hard mask etching process 103 followed by a reactive etching step 105 for removing the upper contact layer and a reactive etching step 106 for removing a portion of the upper magnetic layer. In an example, the MTJ device is subsequently exposed to photoresist stripping step 107.

포토레지스트 스트립 단계(107), 상기 상부 콘택층을 제거하기 위한 반응성 식각 단계(105), 상기 상부 자기층의 일부를 제거하기 위한 상기 상부 콘택층의 제거 단계(106)가 결합된 단계들에 뒤이어, 상기 MTJ 디바이스는 본 발명의 식각정지 단계(108)에 바로 노출되거나, DI 린스와 플라즈마 기반의 부식 처리 단계(113)로 구성된 부식 처리 순서를 먼저 거치고 발명의 식각정지 단계(108)가 그 뒤를 따른다.The photoresist strip step 107, the reactive etching step 105 for removing the upper contact layer, and the step of removing the upper contact layer 106 for removing a portion of the upper magnetic layer are combined. The MTJ device is either directly exposed to the etch stop step 108 of the present invention, or first undergoes a corrosion treatment sequence consisting of DI rinse and plasma based corrosion treatment step 113 followed by the etch stop step 108 of the invention. Follow.

본 발명의 식각정지 단계(108)가 상기 상부 자기층의 반응성 부분 식각 단계(106) 바로 뒤를 따르거나, 또는 앞서 상기 상부 자기층의 반응성 부분 식각 단계(106)를 거친 포토레지스트 스트립 단계(107)의 뒤를 따르는 도 4에 보인 일 실시예 에서, 상기 MTJ 디바이스 구조체의 패터닝이 완결되고 상기 디바이스는 후속 단계(114)로 이동한다. 본 발명의 식각정지 단계(108)가 상기 상부 자기층의 반응성 부분 식각 단계(106)의 바로 뒤를 따르거나, 앞서 상기 상부 자기층의 반응성 부분 식각 단계(106)를 거친 포토레지스트 스트립 단계(107)의 뒤를 따르는 본 발명의 식각정지 공정의 제2 실시예에서, 상기 디바이스는 부식을 방지하기 위한 공정 순서에 노출된다. 자기필름을 염소-함유 및 브롬-함유 식각 화학들물에 노출시키는 것은, 상기 디바이스를 진공 상태로부터 제거하고 이어서 상기 식각된 필름을 주변 환경 습기에 노출시키게 되므로 이로 인한 역반응을 야기할 수 있다.상기 필 름의 민감성에 따라, 도 4에 보인 것들과 같은 다양한 순서들이 역 부식 반응을 방지하기위하여 개발되어 왔다.Photoresist stripping step 107 of the present invention may be followed by the etch stop step 108 immediately following the reactive partial etch step 106 of the upper magnetic layer, or prior to the reactive partial etch step 106 of the upper magnetic layer. In one embodiment shown in FIG. 4 following, the patterning of the MTJ device structure is completed and the device moves to a subsequent step 114. The photoresist strip step 107 of the present invention may be followed by the etch stop step 108 immediately following the reactive partial etch step 106 of the upper magnetic layer or through the reactive partial etch step 106 of the upper magnetic layer. In a second embodiment of the etch stop process of the present invention following hereinafter, the device is exposed to a process sequence to prevent corrosion. Exposing the magnetic film to chlorine-containing and bromine-containing etch chemistries may cause a reverse reaction as the device is removed from vacuum and subsequently exposed to the ambient moisture of the etched film. Depending on the sensitivity of the film, various sequences, such as those shown in FIG. 4, have been developed to prevent reverse corrosion reactions.

부식 방지 처리가 이용되며, 이 부식 방지 처리가 상기 터널층 위의 식각정지 단계(108) 다음에 이용되는 본 발명 공정의 일 실시예에서, 상기 부식 방지 처리 순서는 플라즈마 기반의 부식 방지 처리 단계(112)와 뒤이은 DI 워터 린스 단계(110)로 구성된다. 부식 방지 처리가 이용되고 이 부식 방지 처리가 상기 터널층의 식각정지 단계(108) 다음에 이용되는 본 발명 공정의 두번째 실시예에서, 상기 부식 방지 처리 순서는 플라즈마 기반의 부식 방지 처리 단계(112)와 뒤이은 DI 워터 린스 단계(110)로 구성된다. In an embodiment of the inventive process wherein an anticorrosive treatment is used, which is then used after the etch stop step 108 on the tunnel layer, the anticorrosion treatment sequence is a plasma based anticorrosion treatment step ( 112 followed by a DI water rinse step 110. In a second embodiment of the process of the present invention wherein an anticorrosion treatment is used and this anticorrosion treatment is used after the etch stop step 108 of the tunnel layer, the anticorrosion treatment sequence is a plasma based anticorrosion treatment step 112. Followed by a DI water rinse step 110.

도 4에 보인 일 실시예에서, 본 발명의 식각정지 단계(108)는 상기 상부 자기층의 반응성 부분 식각(106) 바로 다음에 오지 않고, 부식 방지 처리 단계들((110)과 (113))이 선행된다. 본 발명의 식각정지 단계(108)가 상기 상부 자기층의 반응성 부분 식각 단계(106) 바로 뒤를 따르지 않는 도 4에 보인 제1 실시예에서, 상기 디바이스는 플라즈마 기반의 부식 처리 단계(113)에 노출되며 상기 터널층위의 식각정지 단계(108)에 앞서 DI 워터 린스가 그 뒤를 따른다.In one embodiment shown in FIG. 4, the etch stop step 108 of the present invention does not immediately follow the reactive partial etch 106 of the upper magnetic layer, but rather the corrosion prevention treatment steps (110) and (113). Is preceded by this. In the first embodiment shown in FIG. 4 where the etch stop step 108 of the present invention does not immediately follow the reactive partial etch step 106 of the upper magnetic layer, the device is exposed to a plasma based corrosion treatment step 113. DI water rinse is followed by the etch stop step 108 above the tunnel layer.

도 3 및 도 4에 제시된 후속 단계(114)에 대한 두가지 방식이 도 5a와 도 5b에 보여진다. 도 5a와 도 5b는 본 발명의 식각정지 단계(108)에 의해서 얻어지는 독특한 특성을 구체적으로 명시하는 두 개의 구체적인 방법들에 대해 설명한다.Two approaches to the subsequent step 114 shown in FIGS. 3 and 4 are shown in FIGS. 5A and 5B. 5A and 5B illustrate two specific methods that specifically specify the unique characteristics obtained by the etch stop step 108 of the present invention.

도 5a에서, 후속 공정중 전기적 단락을 방지할 목적으로 상기 MTJ 디바이스 구조체의 측벽을 패시베이션(passivation)하기 위해 스페이서(spacer)가 사용된다. 상기 측벽 스페이서는 도 3 및 도 4에 보인 식각정지 단계(108)에 의해 설명된 것과 같은 식각정지 공정과 관련하여 사용된다. 바람직한 실시예에서, 도 3 및 도 4에 의해 설명된 상기 후속 단계(114)는 스페이서 유전체 적층 단계(130), 스페이서 식각 단계(132), 그리고 공정을 완료하기 위한 하부 자기층/하부 콘택층 식각 단계(134)를 포함하거나, 또는 DI 워터 린스 단계와 플라즈마 기반 부식 방지 처리 단계(142)가 그 뒤를 따르는 하부 자기층/하부 콘택층 식각 단계(134)를 포함하여 구성된다. 대안적으로, 플라즈마 기반의 부식 방지 처리 단계(142)는 상기 디바이스의 후속 단계(150)가 진행되기 전에, 도 5a에 보인것 처럼 상기 DI 워터 린스 보다 먼저 행하여질 수 있다.In FIG. 5A, a spacer is used to passivate sidewalls of the MTJ device structure for the purpose of preventing electrical shorts during subsequent processing. The sidewall spacers are used in connection with an etch stop process as described by the etch stop step 108 shown in FIGS. 3 and 4. In a preferred embodiment, the subsequent step 114 described by FIGS. 3 and 4 is a spacer dielectric deposition step 130, a spacer etch step 132, and a lower magnetic layer / bottom contact layer etch to complete the process. Step 134, or a DI water rinse step and a plasma based anti-corrosion treatment step 142 are followed by a bottom magnetic layer / bottom contact layer etch step 134. Alternatively, the plasma based anti-corrosion treatment step 142 may be performed before the DI water rinse, as shown in FIG. 5A, before the subsequent step 150 of the device proceeds.

도 5b에서, 실리콘 이산화물 또는 실리콘 질화물과 같은 절연 하드 마스크층이 적층되는 단계(120), 포토레지스트가 패턴되는 단계(122), 상기 하드 마스크가 식각되는 단계(124), 상기 포토레지스트가 스트립 되는 단계(126), 그리고 상기 하부 자기층과 하부 콘택층이 식각되는 단계(128)를 포함한 후속 단계(114)의 또 다른 실시 양태를 보인다. 이 방식에서, 상기 포토레지스트 패터닝은 상기 실리콘 이산화물 또는 실리콘 질화물 하드 마스크층이 오리지널 하드 마스크 식각 단계(103), 상부 콘택 식각 단계(105), 반응성 상부 자기층 식각 단계(120), 그리고 식각정지 단계(108)로부터 생성된 수직의 측벽을 넘어서 측면으로 확장되는 게끔 하는 정도로 이루어진다. 상기 수직 측벽을 넘어서는 하드 마스크(120)의 상기 측면 확장은 상기 오리지널 하드 마스크, 상기 상부 콘택, 그리고 상기 상부 자기층의 측벽이 하드 마스크층의 식각(124) 이후에 하드 마스크층(120)으로 덮여진 상태 로 남아있게끔 하는 정도로 이루어져야만 한다. In FIG. 5B, an insulating hard mask layer such as silicon dioxide or silicon nitride is stacked 120, a photoresist is patterned 122, the hard mask is etched 124, and the photoresist is stripped. Another embodiment of a subsequent step 114 is shown, including step 126 and step 128 where the lower magnetic layer and the lower contact layer are etched. In this manner, the photoresist patterning is performed by the silicon dioxide or silicon nitride hard mask layer using an original hard mask etching step 103, an upper contact etching step 105, a reactive upper magnetic layer etching step 120, and an etch stop step. And extend laterally beyond the vertical sidewalls generated from 108. The lateral extension of the hard mask 120 beyond the vertical sidewall is such that the sidewalls of the original hard mask, the top contact, and the top magnetic layer are covered with the hard mask layer 120 after etching 124 of the hard mask layer. It should be done so that it remains true.

상기 MRAM 스택 또는 다른 자기 디바이스 구조체를 포함하여 구성되는 상기 층들은 필름 적층 기술의 당업자들에 의해 이용되는 기술들을 사용하여 적층(100)된다. 상기 필름들은 물리적 증기 적층, 화학적 증기 적층, 원자(atomic)층 적층, 나노층 적층, 원자층 적층, 증발, 그리고 그 밖의 기술들에 의해 적층될 수 있다. 상기 스택의 상기 필름은 이 방법들 중 하나에 의해 한가지 형태로 적층될 수 있으며, 제2 챔버에서 차후에 변경될 수 있다. 예를들어, 알루미늄(Al2O3) 유전체는 알루미늄층을 적층하고 이어서 상기 알루미늄을 알루미나 생성을 위한 산화 공정에 노출함에 의해서 생성될 수 있다. 이와 유사하게, MgO는 마그네슘층을 적층한 후 상기 Mg를 MgO생성을 위한 산화공정에 노출함에 의해 생성될 수 있다.The layers comprising the MRAM stack or other magnetic device structure are stacked 100 using techniques employed by those skilled in the art of film lamination. The films may be deposited by physical vapor deposition, chemical vapor deposition, atomic layer deposition, nanolayer deposition, atomic layer deposition, evaporation, and other techniques. The film of the stack may be laminated in one form by one of these methods and may be later altered in the second chamber. For example, an aluminum (Al 2 O 3 ) dielectric can be produced by stacking an aluminum layer and then exposing the aluminum to an oxidation process for producing alumina. Similarly, MgO can be produced by stacking a magnesium layer and then exposing the Mg to an oxidation process for MgO production.

포토레지스트 적층과 패터닝 단계(102)는 상기 MTJ 또는 MRAM 구조체를 정의하기 위한 패턴을 형성을 위하여 사용된다. 도 2의 단순화된 MRAM 스택 예시에서는 보이지 않지만, 상기 패턴 전사의 정확도를 향상시키기 위하여 상기 포토레지스트와 연계하여 반사방지 코팅이 사용될 수 있다. 추가적으로, 하드 마스크층이 상기 포토레지스트와 상기 상부 콘택층 사이에 포함될 수 있다. 실리콘 이산화물 및 실리콘 질화물등의 하드 마스크층들이 사용될 수 있다. 다른 실시예에서, 전도성의 상부 콘택층의 두께는 하드 마스크층 및 상부 콘택층의 두 목적에 이용할 수 있게 끔 만들어 져야 한다. 도 2는 자기 스택 적층 단계(100) 그리고 뒤이은 포토레지스트 패터닝 단계(102) 이후의 단순화된 MRAM 스택 구조체를 보여준다.Photoresist stacking and patterning step 102 is used to form a pattern for defining the MTJ or MRAM structure. Although not shown in the simplified MRAM stack example of FIG. 2, an antireflective coating can be used in conjunction with the photoresist to improve the accuracy of the pattern transfer. In addition, a hard mask layer may be included between the photoresist and the upper contact layer. Hard mask layers such as silicon dioxide and silicon nitride may be used. In another embodiment, the thickness of the conductive top contact layer should be made available for both purposes of the hard mask layer and the top contact layer. 2 shows a simplified MRAM stack structure after the magnetic stack deposition step 100 and subsequent photoresist patterning step 102.

바람직한 실시예에서, 상기 하드 마스크층 및 상기 상부 콘택층들은 당업자들에 의해 일반적인 기술들을 이용하여 패터닝(103)된다. 실리콘 산화물 하드 마스크를 반응적으로 식각하기 위한 공통적인 공정의 한 예는, CHF4 그리고 Ar의 혼합물이 있다면 그것을 이용하는 것이다. 산화물 식각 공정들은 문헌에서 널리 보여진다. 이와 유사하게, 상기 상부 전도성층을 순응적으로 식각(104,105)하기 위하여 일반적으로 사용되는 공정 화학의 예는 Ar/Cl2의 혼합물을 사용하는 것이다. 즉, 금속 콘택층 식각들은 문헌에 자세하게 공개되어 왔다. 산화물 그리고 질화물 하드 마스크들 및 금속 콘택층들은 오랜 시간동안 사용되어 왔고, 이러한 층들을 제거하기 위해 사용된 기술은 당업자들에게 명백할 것이다. 콘택 식각 이후의 단순화된 MRAM 스택 구조체는 도 6에서 보일 것이다. In a preferred embodiment, the hard mask layer and the top contact layers are patterned 103 by those skilled in the art using common techniques. One common process for reactively etching silicon oxide hard masks is to use a mixture of CHF 4 and Ar if present. Oxide etching processes are widely shown in the literature. Similarly, an example of the process chemistry commonly used to conformally etch 104,105 the upper conductive layer is to use a mixture of Ar / Cl 2 . That is, metal contact layer etchings have been disclosed in detail in the literature. Oxide and nitride hard masks and metal contact layers have been used for a long time, and the technique used to remove these layers will be apparent to those skilled in the art. The simplified MRAM stack structure after contact etching will be shown in FIG. 6.

자기 다층 스택에서 발견되는 상기 자기층들의 제거는 이 기술분야에서 충분히 확립되어 있지 않다. 식각정지 단계(108)와 함께 반응성 상부 자기층 식각 단계(106)에 특히 적합한 공정의 이용은 본 발명의 범주 내 이다. 본 발명 단계(106)는 상기 상부 자기층의 일부를 제거하기 위한 Cl2, BCl3, 그리고 HCl등의 염소-함유 가스 혼합물 및 CF4, SF6, 그리고 CHF3등의 불소-함유 가스 혼합물을 포함하여 구성된다. 이에 대신하여, Cl 그리고 F 원자들을 포함하는 가스 분자도 이용될 수 있다. 염소-함유 가스 대 불소-함유 가스의 비율은 2:1 에서 20:1까지의 범위 안에 있어야 한다. 테갈 코오포레이션(Tegal Corporation)에 의해 제조된 스펙트라®(Spectra®)유도 결합 공정에서 설명되는 상기 반응성 식각 단계(106)를 위한 전 형적인 공정 조건은 : 유도 소스 코일위에 13.56MHz의 400W rf 전력, 기판위에 적용된 450kHz의 20W rf 전력, 40ccm Cl2, 8ccm CF4, 그리고 4mT 공정 압력. 이다. 반응성 자기층 식각 단계(106) 후의 단순화된 MRAM 스택 구조체는 도 7에 보여진다.The removal of the magnetic layers found in the magnetic multilayer stack is not well established in the art. The use of a process that is particularly suitable for the reactive top magnetic layer etching step 106 in conjunction with the etch stop step 108 is within the scope of the present invention. In step 106 of the present invention, a chlorine-containing gas mixture such as Cl 2 , BCl 3 , and HCl and a fluorine-containing gas mixture such as CF 4 , SF 6 , and CHF 3 for removing a portion of the upper magnetic layer are removed. It is configured to include. Alternatively, gas molecules containing Cl and F atoms can also be used. The ratio of chlorine-containing gas to fluorine-containing gas should be in the range from 2: 1 to 20: 1. Typical process conditions for the reactive etching step 106 described in the Spectra® inductive coupling process manufactured by Tegal Corporation are: 400 W rf power of 13.56 MHz on an induction source coil. , 450 kHz 20 W rf power applied on the substrate, 40 ccm Cl 2 , 8 ccm CF 4 , and 4 mT process pressure. to be. The simplified MRAM stack structure after the reactive magnetic layer etching step 106 is shown in FIG.

염소-함유 식각 공정에 불소를 추가로 첨가하는 것은 매끈한 식각 표면(도 8에 도시된 것과 같은)을 생성하며, 반응성 상부 자기층 식각 단계(106) 후 남아있는 매우 얇은 자기 물질 필름들을 통한 Cl종(chlorine species)의 확산을 방지하는 것으로 알려져 왔다. 불소/염소 함유 가스 혼합물의 사용은 나머지 상부 자기층과 하부 유전체 터널층 사이의 계면(interface)의 5-25

Figure 112008072128912-PCT00005
범위 가 되도록 상기 상부 자기층을 제거하게 해 줄 것이다. Further addition of fluorine to the chlorine-containing etch process produces a smooth etch surface (such as shown in FIG. 8) and Cl species through very thin magnetic material films remaining after the reactive top magnetic layer etching step 106. It has been known to prevent the spread of chlorine species. The use of a fluorine / chlorine-containing gas mixture is 5-25 at the interface between the remaining upper magnetic layer and the lower dielectric tunnel layer.
Figure 112008072128912-PCT00005
This will allow the upper magnetic layer to be removed.

본 발명의 상부 자기층 식각 단계(106)의 바람직한 실시예에서, 나머지 상부 자기층은 식각정지 단계(108), DI 워터 린스 단계(110) 또는 PR 스트립/부식 처리 단계(112)등의 후속 공정으로 이동하기에 앞서 상기 피쳐(feature) 부근의 상기 터널링 유전체층을 투과하지 않고, 나머지 상부 자기층(20)와 상기 하부 유전체층(18) 사이의 계면에 최대한 가까이 식각될 것이다. 바람직한 실시예에서, 도 7에 보인바와 같이 상기 반응성 상부 자기층 식각 단계(106)동안 상기 상부 자기층(20)은 균일하게 식각될 것이고 하부 유전체층(18)은 웨이퍼의 어느 곳도 브리치되지 않는다. In a preferred embodiment of the upper magnetic layer etching step 106 of the present invention, the remaining upper magnetic layer is a subsequent process such as an etch stop step 108, a DI water rinse step 110 or a PR strip / corrosion treatment step 112, and the like. Prior to moving to, it will be etched as close as possible to the interface between the remaining top magnetic layer 20 and the bottom dielectric layer 18 without penetrating the tunneling dielectric layer near the feature. In a preferred embodiment, the upper magnetic layer 20 will be uniformly etched during the reactive upper magnetic layer etching step 106 as shown in FIG. 7 and the lower dielectric layer 18 will not be breached anywhere on the wafer.

그러나, 본 발명 공정의 한 실시예에서, 상기 상부 자기층(20)은 완전히 제거되고 하부 유전층(18)은 브리치되지만 상기 패턴된 MTJ 스택 피쳐들에 아주 근접 한 정도는 아니다. 본 발명의 공정의 이 실시예에서, 상부 자기층 식각(106)은 하나 또는 그 이상의 다음 가스들 또는 가스 혼합물들을 포함하는 식각 공정으로 제거된다.: Cl2, CL2/Ar, Cl2/CF4, Cl2/CHF3, Cl2/Ar, BCl3/Cl2, BCl3/Cl2/Ar, BCl3/HBr, BCl3/HBr/Ar, NH3, NH3/CO.However, in one embodiment of the inventive process, the upper magnetic layer 20 is completely removed and the lower dielectric layer 18 is breached but not very close to the patterned MTJ stack features. In this embodiment of the process of the invention, the upper magnetic layer etch 106 is removed in an etch process comprising one or more of the following gases or gas mixtures: Cl 2 , CL 2 / Ar, Cl 2 / CF. 4 , Cl 2 / CHF 3 , Cl 2 / Ar, BCl 3 / Cl 2 , BCl 3 / Cl 2 / Ar, BCl 3 / HBr, BCl 3 / HBr / Ar, NH 3 , NH 3 / CO.

다른 실시예에서, 상기 상부 자기층(20)은 완전히 제거되며, 상기 하부 유전체층(18)도 상기 패턴된 MTJ스택에 매우 근접한 곳에서 경사진 영역 바깥쪽이 제거되고, 상기 하부 자기층(16)의 전체 또는 일부 그리고 상기 하부 콘택층(14)의 전체 또는 일부도 제거된다.(도 10 참조.) 이 실시예의 특이점은 전체 MRAM 구조체가 싱글 마스크로 패턴되었고; 후속 단계(114)가 필요하지 않다는 점이다. 본 발명 공정의 이 실시예에서, 상기 상부 자기층 식각(106)은 다음의 반응성 가스들 또는 가스 혼합물들 중 하나 또는 그 이상을 포함한 식각 공정으로 제거된다. : Cl2, CL2/Ar, Cl2/CF4, Cl2/CHF3, Cl2/Ar, BCl3/Cl2, BCl3/Cl2/Ar, BCl3/HBr, BCl3/HBr/Ar, NH3, NH3/CO.In another embodiment, the upper magnetic layer 20 is completely removed, and the lower dielectric layer 18 is also removed outside the inclined region where it is very close to the patterned MTJ stack, and the lower magnetic layer 16 All or part of and all or part of the lower contact layer 14 are also removed (see FIG. 10). No subsequent step 114 is required. In this embodiment of the process of the invention, the upper magnetic layer etch 106 is removed in an etch process comprising one or more of the following reactive gases or gas mixtures. : Cl 2 , CL 2 / Ar, Cl 2 / CF 4 , Cl 2 / CHF 3 , Cl 2 / Ar, BCl 3 / Cl 2 , BCl 3 / Cl 2 / Ar, BCl 3 / HBr, BCl 3 / HBr / Ar, NH 3 , NH 3 / CO.

나머지 상기 상부 자기층의 일부는 상기 반응성 단계(106)에서 제거되지 않는다. 앞서 언급한 실시예는 아르곤(argon) 및 산화 가스와 같은 비-반응성 가스 혼합물(산소와 같은)이며, 이것에 의해 터널 배리어층의 유전체가 정지층으로 작용한다. 바람직한 실시예에서, 불활성 가스의 흐름(flow)은 전형적으로 10 내지 350sccm의 범위내에 있으며 산소-함유 가스의 흐름은 0.02 내지 0.15sccm의 범위내 에 있다. 상기 산소-함유 가스를 위한 실질적인 흐름(flows)은 불활성 가스의 흐름, 산소-함유 가스의 선택, 그리고 사용되는 플라즈마 시스템 타입에 따라 변할 수 있다. 테갈 코오포레이션에 의해 제조된 스펙트라® 유도 결합 식각 공정 모듈에 사용되는 전형적인 단계(108)는 200mm 지름의 실리콘 기판에 대한 것일때 다음과 같다.: 소스 코일상에 13.56MHz의 100W rf 전력, 기판에 적용되는 450kHz의 20W 전력, 350sccm Ar, 0.08scm O2, 그리고 10mT 공정 압력. 위에 제시된 식각정지를 위한 조건들 및 스퍼터링 단계(108)는 테갈 코오포레이션에 의해 제조된 스펙트라 ICP 공정 모듈에서 NiFe와 알루미나 사이에 ~90:1의 스퍼터 선택도를 생성하는 것으로 밝혀진 조건들의 예시적인 세트를 제공하기 위하여 의도된 것이다.(도 11 참조) Some of the remaining upper magnetic layer is not removed in the reactive step 106. The aforementioned embodiment is a non-reactive gas mixture (such as oxygen) such as argon and oxidizing gas, whereby the dielectric of the tunnel barrier layer acts as a stop layer. In a preferred embodiment, the flow of inert gas is typically in the range of 10 to 350 sccm and the flow of the oxygen-containing gas is in the range of 0.02 to 0.15 sccm. Substantial flows for the oxygen-containing gas may vary depending on the flow of inert gas, the choice of oxygen-containing gas, and the type of plasma system used. A typical step 108 used in a Spectra® Inductively Coupled Etch Process Module manufactured by Tegal Corporation is as follows for a 200 mm diameter silicon substrate: 100 W rf power of 13.56 MHz on a source coil, substrate Applied to 450kHz 20W power, 350sccm Ar, 0.08scm O2, and 10mT process pressure. The conditions for etch stop presented above and the sputtering step 108 are exemplary of the conditions found to produce a sputter selectivity of ˜90: 1 between NiFe and alumina in a Spectra ICP process module manufactured by Tegal Corporation. It is intended to provide a set (see Figure 11).

공정 조건들의 범위와 챔버 구성들은 상기 상부 자기 물질과 상기 유전체 사이에 높은 선택도 결과를 생성하기 위하여 사용될 수 있다. 높은 선택도를 얻기 위해 반드시 고려되어야 하는 두가지 측면은 불활성 가스 대 산소-함유 가스의 비율 제어와 낮은 바이어스 전력 레벨에서의 공정 가동이다. 이 두 측면은 다음 단락에서 보다 자세히 설명될 것이다.A range of process conditions and chamber configurations can be used to produce high selectivity results between the upper magnetic material and the dielectric. Two aspects that must be considered to achieve high selectivity are the ratio control of inert gas to oxygen-containing gas and process operation at low bias power levels. These two aspects will be explained in more detail in the following paragraphs.

바람직한 실시예에서, 상기 식각정지 공정은 상기 상부 자기층(20)과 상기 하부 유전체층(18) 사이에 높은 선택도(>5:1)를 필요로 한다. 상기 상부 자기층(20)는 상기 하부 유전체층(18)이 식각되는 속도보다 적어도 5배 이상 빠른 속도로 식각될 것으로 예상된다. NiFe 과 CoFe간의 그리고 Al2O3 및 MgO와 같은 산화 금 속들 간의 스퍼터링 드레시홀드들(sputtering thresholds)에서의 차이가 크기 때문에 정밀한 NiFe/CoFe 식각 속도 제어가 가능하다. 이러한 현상들을 확인하는 실험들이 테갈 코오포레이션(Petaluma,CA)에 의해 제작된 스펙트라® 공정 묘듈을 이용하여 행하였다.In a preferred embodiment, the etch stop process requires high selectivity (> 5: 1) between the upper magnetic layer 20 and the lower dielectric layer 18. The upper magnetic layer 20 is expected to be etched at a speed that is at least five times faster than the rate at which the lower dielectric layer 18 is etched. Precise NiFe / CoFe etch rate control is possible because of the large difference in sputtering thresholds between NiFe and CoFe and between oxides such as Al 2 O 3 and MgO. Experiments confirming these phenomena were performed using Spectra® process modules manufactured by Tegaluma (CA).

구체적으로, NiFe 및 CoFe 스퍼터 속도들는 단층 테스트 웨이퍼들을 사용하여 측정되었고, 알루미나 식각 속도들은 알루미나/NiFe 테스트 구조체를 사용하여 측정되어 왔다. 상기 테스트 구조체는 위에 NiFe층이 적층된 기판 및 상기 NiFe위의 아주 얇은 알루미나층(~15

Figure 112008072128912-PCT00006
)으로 구성되었다. 측정된 알루미나 식각 속도들은 자기 터널 접합들을 포함하는 스택들에서 찾아볼 수 있는 박막 속성들을 나타냈다.Specifically, NiFe and CoFe sputter rates have been measured using single layer test wafers, and alumina etch rates have been measured using alumina / NiFe test structures. The test structure includes a substrate having a NiFe layer laminated thereon and a very thin alumina layer (~ 15) on the NiFe.
Figure 112008072128912-PCT00006
). The measured alumina etch rates showed thin film properties found in stacks containing magnetic tunnel junctions.

도 12의 그래프에서 명백하듯이, 자기 합금용 스퍼터링의 온셋(onset)과 알루미나용 스퍼터링 온셋 간에는 큰 차이점이 발견된다. 10W보다 크고 25W보다 적은 바이어스 전력 레벨조건에서 알루미나/NiFe 테스트 구조체들에 행해진 각각의 속도 테스트에서 이 차이점이 더 관찰되었다. 이러한 관찰들은, 특정 공정 조건에서, 동일 시간동안 단지 적은 양의 알루미나가 제거되는 반면, 많은 양의 NiFe 및 CoFe가 TMR 스택으로부터 식각될 수 있음을 보여주고 있다.As is evident in the graph of FIG. 12, a large difference is found between the onset of sputtering for magnetic alloys and the sputtering onset for alumina. This difference was further observed in each speed test performed on alumina / NiFe test structures at bias power level conditions greater than 10 W and less than 25 W. These observations show that, under certain process conditions, only a small amount of alumina is removed during the same time, while large amounts of NiFe and CoFe can be etched from the TMR stack.

도 7, 9, 그리고 10에서 보인 것과 같은 반응성 식각 단계(106)과 식각정지 공정(108)의 상기 바람직한 실시예들로 인한 결과 디바이스 프로파일들은 도 13, 14, 15에서 보인다. 이러한 실시예들 각각에서, 반응성 식각 단계(106) 이후에 상부 자기층(20)에 남아있는 잔류 금속층은 상기 하부 유전체층(18)으로부터 제거된다. 낮은 바이어스 비-반응성 식각정지 단계(108)와 반응성 식각 단계(106) 이후에 남아있는 상기 상부 자기층(20)의 제거는 상기 하부 유전체층(18)을 손상시키지 않으면서 다른 알려진 방법들 보다 향상된 전기적 절연을 제공한다. 기하학적 단락(Geometric isolation)은 MTJ 스택이 포함된 구조체의 디바이스 성능을 제한하는 것으로 알려져 온 내재적인 전기적 단락의 위험이 없이 본 발명 공정의 상기 세 실시예 각각에 제공된다. 또한, 신뢰성 있는 디바이스를 생산하는것에 있어서 가장 위험한 단계에서 부식성 화학물들을 사용함으로 인한 위험없이, 상기 상부 자기층(20)과 상기 하부 자기층(16)간에 보다 나은 전기적 단락이 달성된다.The resulting device profiles resulting from the above preferred embodiments of the reactive etching step 106 and the etch stop process 108 as shown in FIGS. 7, 9, and 10 are shown in FIGS. 13, 14, and 15. In each of these embodiments, the remaining metal layer remaining in the upper magnetic layer 20 after the reactive etching step 106 is removed from the lower dielectric layer 18. The removal of the upper magnetic layer 20 remaining after the low bias non-reactive etch stop step 108 and the reactive etch step 106 provides improved electrical performance over other known methods without damaging the lower dielectric layer 18. Provide insulation. Geometrical isolation is provided in each of the three embodiments of the inventive process without the risk of inherent electrical shorts that have been known to limit device performance of structures containing MTJ stacks. In addition, a better electrical short between the upper magnetic layer 20 and the lower magnetic layer 16 is achieved without the risk of using corrosive chemicals in the most dangerous step in producing a reliable device.

위에 제공된 식각정지 단계(108)를 위한 전형적인 공정 조건들은 NiFe 혹은 CoFe와 알루미나 사이에 매우 높은 선택도를 주기 위해 발견되었던 공정을 대표하기 위하여 의도된 것이다. 스펙트라 리액터들 내의 다양한 공정 조건들이 본 발명의 식각정지 단계(108)의 범주에서 사용될 수 있다.Typical process conditions for the etch stop step 108 provided above are intended to represent the process found to give very high selectivity between NiFe or CoFe and alumina. Various process conditions in the spectra reactors can be used in the scope of the etch stop step 108 of the present invention.

염소와 불소 함유 가스의 혼합물을 사용하여 상기 상부 자기층의 벌크를 제거하는 제1 단계와 상기 유전체 터널링층위에서 정지하기 위해 불활성 가스와 산소-함유 가스의 혼합물을 사용하는 제2 단계 중 하나 또는 두 단계의 방식을 이용하는 유사한 공정들은 유도적으로(inductively) 결합된 다른 플라즈마 리액터들, 용량적으로(capacitively) 결합된 플라즈마 리액터들, 전자 사이클로트론 공명(electron cyclotron resonance) 리액터들, 그리고 자기필름들로부터 디바이스를 제조하기 위하여 플라즈마를 만드는데 이용되는 다른 리액터들에서도 수행될 수 있으며, 이러한 공정들은 본 발명의 목적 범주 내 일 것이다. One or two of a first step of removing bulk of the upper magnetic layer using a mixture of chlorine and fluorine containing gas and a second step of using a mixture of inert gas and oxygen-containing gas to stop over the dielectric tunneling layer. Similar processes using a stepwise approach include devices from other inductively coupled plasma reactors, capacitively coupled plasma reactors, electron cyclotron resonance reactors, and magnetic films. It may also be carried out in other reactors used to make a plasma to produce a, such processes will be within the scope of the object of the present invention.

추가적으로, 상기 상부 자기층의 벌크를 제거하기 위하여 상기 유전체층을, 염소/ 불소 함유-가스의 혼합물을 사용하는 초기 단계 없이, 식각정지층으로 사용하는 것 또한 본 발명의 목적 범주 내 일 것이다.In addition, the use of the dielectric layer as an etch stop layer without the initial step of using a mixture of chlorine / fluorine containing-gas to remove the bulk of the upper magnetic layer would also be within the scope of the present invention.

위에 설명된 NiFe와 알루미나 사이에 식각정지 단계(108)를 위한 상기 실시예의 높은 선택도는 아르곤 및 산소 가스 혼합물을 사용하여 관찰된다. 상기 바람직한 실시예의 식각정지 단계(108)에서 NiFe/알루미나의 선택도를 ~90:1로 작동하게 하기 위하여 사용되는 아르곤/산소 가스 혼합물의 산화 요소들 및 상기 작동을 위한 대체가능한 불활성 요소들의 사용은 본 발명의 범주 내 이다. 예를 들어, 헬륨, 네온, 크립톤, 그리고 질소는 아르곤 대신, 혹은 아르곤과 결합하여, 상기 식각정지 단계(108)의 상기 불활성 요소를 제공하기 위하여 사용될 수 있다. 이와 유사하게, 상기 식각정지 단계(108)의 산화 요소를 생산하기 위하여 N2O, NO, CO 그리고 CO2등의 산소 대용물이 산소 대신, 혹은 산소와 결합하여 사용될 수 있다. 이에 대신하여, 본 발명 공정의 범주내에서, 다음 단락에서 논의 되듯이, 산소-함유 가스는 산소-함유 가스의 의도적 주입이외의 다른 방법에 의하여 식각 챔버내의 산소 레벨을 제어함으로써 제거될 수 있다. The high selectivity of this embodiment for the etch stop step 108 between NiFe and alumina described above is observed using an argon and oxygen gas mixture. The use of the oxidizing elements of the argon / oxygen gas mixture used in the etch stop step 108 of the preferred embodiment at ˜90: 1 and the use of replaceable inert elements for the operation It is within the scope of the present invention. For example, helium, neon, krypton, and nitrogen may be used to provide the inert element of the etch stop step 108 instead of or in combination with argon. Similarly, oxygen substitutes such as N 2 O, NO, CO and CO 2 may be used instead of or in combination with oxygen to produce the oxidizing element of the etch stop step 108. Instead, within the scope of the process of the present invention, as discussed in the following paragraphs, the oxygen-containing gas may be removed by controlling the oxygen level in the etching chamber by means other than the intentional injection of the oxygen-containing gas.

플라즈마 스퍼터링 자기층들이 NiFe와 같은 전이(transition)금속들과 Ar과 같은 불활성 스퍼터링 가스들로 구성될 때, 상기 플라즈마 챔버안의 산소 양을 규제하는 것은 상기 하부 알루미나에 대한 식각 선택도에 영향을 줄 수 있는 것으로 증명되어 왔다. 즉, 플라즈마 챔버안으로의 산소 흐름을 제어함으로 인하여, 더 높은 NiFe/알루미나 선택도가 달성될 수 있다. 플라즈마 오버에치 공정의 일 실시예 는 예측가능하고 제어 가능한 방법으로 산소를 플라즈마 챔버에 함께 재-유입시키는 반면, 상기 배경 산소를 상기 식각 공정에 영향을 주지 않는 레벨로 감소시키는 결과를 수반한다. 플라즈마 챔버로 들어가는 상기 배경 산소의 소스들은, 예를 들어: (1) 산소-함유 내부 챔버 파트들의 스퍼터링, (2)대기중의 산소; (3)상기 챔버의 물질들로부터 가스를 제거; 그리고 (4)본 공정 시스템의 다른 공정 모듈들 을 포함한다. When plasma sputtering magnetic layers are composed of transition metals such as NiFe and inert sputtering gases such as Ar, regulating the amount of oxygen in the plasma chamber can affect the etch selectivity for the lower alumina. It has been proven. That is, by controlling the flow of oxygen into the plasma chamber, higher NiFe / alumina selectivity can be achieved. One embodiment of a plasma overetch process involves the result of re-introducing oxygen together into the plasma chamber in a predictable and controllable manner, while reducing the background oxygen to a level that does not affect the etching process. The sources of background oxygen entering the plasma chamber include, for example: (1) sputtering of oxygen-containing inner chamber parts, (2) oxygen in the atmosphere; (3) removing gas from the materials of the chamber; And (4) other process modules of the present process system.

상기 챔버내의 "제어되지 않은" 배경 산소가 줄어들 때, 매우 적은 양(예를 들어, ~0.08 sccm)의 산소를 상기 챔버안으로 재-유입하는 것에 의해 NiFe와 알루미나 사이의 선택도가 최적화될 수 있다. 상기 산소를 재-유입하는 한 테크닉은 상기 챔버에 연결된 두개의 분리된 캐리어 가스 소스들(carrier gas sources)을 사용한다. 제2 소스가 상기 챔버에 병렬식으로(in parallel to) Ar 100% 가 함유된 가스를 공급하는 반면, 제1 소스는 99.9%의 Ar과 0.1%의 O2로 구성된 Ar/O2 가스 혼합물을 상기 플라즈마 챔버에 공급한다. 플라즈마 챔버로 산소가 재-유입될 때, 챔버의 기저 압력은 ~0.001mT 또는 그이하로 낮추는 것 바람직하다. 추가적으로, 내부 챔버 파트들의 표면 스퍼터링은 최소화되거나 제어되어야만 한다. 예를 들어, 윈도우 스퍼터링을 최소화하기 위하여 전도성 소스 전력은 낮아야(100-200W) 한다. 상기 챔버안의 과도한 양의 산소는 상기 금속성 자기필름의 식각 속도를 느리게 할 수 있고 상기 자기층들과 상기 유전체층들간의 선택도의 감소를 초래할 수 있다.When the "uncontrolled" background oxygen in the chamber is reduced, the selectivity between NiFe and alumina can be optimized by re-introducing a very small amount of oxygen (eg, ~ 0.08 sccm) into the chamber. . One technique for re-introducing the oxygen uses two separate carrier gas sources connected to the chamber. The second source supplies a gas containing 100% Ar in parallel to the chamber, while the first source supplies an Ar / O 2 gas mixture consisting of 99.9% Ar and 0.1% O 2 . It is supplied to the plasma chamber. When oxygen is re-introduced into the plasma chamber, it is desirable to lower the base pressure of the chamber to -0.001 mT or less. In addition, surface sputtering of the inner chamber parts should be minimized or controlled. For example, the conductive source power should be low (100-200 W) to minimize window sputtering. Excessive amounts of oxygen in the chamber can slow down the etch rate of the metallic magnetic film and result in a decrease in selectivity between the magnetic layers and the dielectric layers.

위와 대체 가능한 제2 기술에서, 산소는 산소의 소스와 상기 공정 챔버를 분 리하는 구멍(orifice)을 통하여 상기 공정 챔버로 유입된다. 상기 구멍은 상기 산소 함유 가스의 흐름이, 불활성 가스와 혼합되었을 때, 상기 상부 자기필름과 상기 터널링 유전체 사이의 스퍼터링 선택도를 향상시키게끔 하는 정도의 사이즈이다. In the above and the second alternative technique, oxygen is introduced into the process chamber through an orifice separating the process chamber and the source of oxygen. The aperture is such that the flow of the oxygen containing gas, when mixed with an inert gas, improves the sputtering selectivity between the upper magnetic film and the tunneling dielectric.

상기 유전체층위에 상기 자기 물질을 선택적으로 식각하기 위한 필요 조건들을 제공하기 위하여, 제어된 농도의 산소를 불활성 가스안으로 주입하기 위한 다른 방법들 또한 본 발명의 범위 내 이다. 그러한 실시예에서, 상기 플라즈마 리액터안의 산소-함유 물질들의 내부 표면들의 스퍼터링은 산소의 공급원으로써 사용가능 하다. 이 실시예에서, 아르곤과 같은 불활성 가스는, 상기 자기 물질과 상기 터널링 유전체층들 사이에서의 선택적 제거를 달성할 수 있도록, 식각되고 있는 상기 상부 자기층의 표면에 불활성 가스와 산소-함유 종들의 혼합물들을 생산할 수 있을 양으로 질량 유량 제어기(mass flow controller) 또는 니들 밸브(needle valve)등의 종래 수단들을 사용하여 주입될 수 있다. 상기 공정 조건들은 상기 자기 물질이 5

Figure 112008072128912-PCT00007
/min 보다 빠른 속도로 제거되며 상기 유전체층은 1
Figure 112008072128912-PCT00008
/min보다 느린 속도로 제거될 수 있도록 조정될 것이다.Other methods for injecting a controlled concentration of oxygen into an inert gas are also within the scope of the present invention to provide the requirements for selectively etching the magnetic material over the dielectric layer. In such an embodiment, sputtering of the inner surfaces of the oxygen-containing materials in the plasma reactor is usable as a source of oxygen. In this embodiment, an inert gas such as argon is a mixture of inert gas and oxygen-containing species on the surface of the upper magnetic layer that is being etched to achieve selective removal between the magnetic material and the tunneling dielectric layers. The amount can be injected using conventional means such as a mass flow controller or a needle valve. The process conditions indicate that the magnetic material is 5
Figure 112008072128912-PCT00007
removed at a rate faster than / min and the dielectric layer is 1
Figure 112008072128912-PCT00008
It will be adjusted to be removed at a slower speed than / min.

이 발명의 다른 실시예에서, 배경 가스 누출을 제어하는 것에 의해 산소-함유 가스의 농도가 결정된다. 플라즈마 기반의 반도체 제조 공정은 전형적으로 0.1에서 1000 milliTorr의 범위내에서 행해진다. 이러한 대기압 미만의 조건에서, 산소는 상기 공정 챔버의 불완전한 봉인을 통하여, 통기성 물질들을 통하여, 그리고 상기 공정 챔버의 부분적인 아웃개싱(outgassing)으로부터 비의도적으로 주입될 수 있다. 누출 속도는 종래의 플라즈마 공정 장비에서 쉽게 측정될 수 있다.In another embodiment of this invention, the concentration of the oxygen-containing gas is determined by controlling the background gas leakage. Plasma-based semiconductor fabrication processes are typically performed in the range of 0.1 to 1000 milliTorr. At conditions below this atmospheric pressure, oxygen can be inadvertently injected through incomplete sealing of the process chamber, through breathable materials, and from partial outgassing of the process chamber. Leak rate can be easily measured in conventional plasma processing equipment.

이 실시예에서, 아르곤과 같은 불활성 가스는, 상기 자기 물질과 상기 터널링 유전체층들 중의 선택적 제거를 위하여, 식각되고 있는 상기 상부 자기층의 표면에 불활성 가스와 산소-함유 종들의 혼합물들을 생산할 수 있을 양만큼, 질량 유량 제어기 또는 니들 밸브등의 종래 방법들을 사용하여 주입될 수 있을 것이다. 불활성 가스와 산소-함유 종들의 필요한 혼합물을 상기 자기 물질을 5

Figure 112008072128912-PCT00009
/min보다 빠른 속도로 제거하고, 상기 유전체층이 1
Figure 112008072128912-PCT00010
/min 보다 느린 속도로 제거할 수 있는 정도로 얻기 위해, 종래의 수단들을 통해 제어된 불활성 가스 흐름의 주입과 연계하여, 대기로부터 상기 산소-함유 누출을 제어하는 방식은 본 발명의 범주 내에 든다.In this embodiment, an inert gas such as argon is capable of producing mixtures of inert gas and oxygen-containing species on the surface of the upper magnetic layer being etched for selective removal of the magnetic material and the tunneling dielectric layers. As such, it may be injected using conventional methods such as a mass flow controller or a needle valve. The necessary mixture of inert gas and oxygen-containing species
Figure 112008072128912-PCT00009
removed at a rate faster than / min, and the dielectric layer is 1
Figure 112008072128912-PCT00010
In order to be able to remove at a rate slower than / min, the manner of controlling the oxygen-containing leak from the atmosphere, in conjunction with the injection of a controlled inert gas stream via conventional means, is within the scope of the present invention.

상기 포토레지스트를 제거하고 부식을 막기위한 공정, 즉, 도 3의 (112), 도 4의 (113), 도 5a의 (142), 그리고 도 5b의 (126) 및 (142) 는 자기필름 구조체들에 적용될 수 있다. 레지스트 제거와 상기 MRAM 필름 스택의 상기 할로겐-함유 식각 화학물로의 노출로 인해 야기될 수 있는 부식 방지를 위해 적합한 할로겐-함유 가스 혼합물의 사용은 본 발명의 범주 내 이다. 바람직한 실시예에서, 상기 자기필름 스택은 수소-함유 플라즈마에 노출되며, 이것은 상기 포토레지스트를 제거하기 위한 것이고, 대기 환경에 노출됨에 따른 부식을 방지해줄 공정에 자기층을 노출하기 위한 것이다. 수소는 헬륨, 네온, 아르곤, 또는 질소와 같은 불활성 가스 와 수소의 혼합물의 형태로 상기 공정 챔버에 주입된다.The process for removing the photoresist and preventing corrosion, i.e., 112 of FIG. 3, 113 of FIG. 4, 142 of FIG. 5A, and 126 and 142 of FIG. Can be applied. The use of suitable halogen-containing gas mixtures for the removal of resist and the corrosion protection that may be caused by exposure of the MRAM film stack to the halogen-containing etching chemicals is within the scope of the present invention. In a preferred embodiment, the magnetic film stack is exposed to a hydrogen-containing plasma, which is intended to remove the photoresist and to expose the magnetic layer to a process that will prevent corrosion as it is exposed to the atmospheric environment. Hydrogen is injected into the process chamber in the form of a mixture of hydrogen and an inert gas such as helium, neon, argon, or nitrogen.

Claims (81)

자기 접합 메모리 디바이스 제작을 위한 방법으로서,A method for fabricating a magnetic junction memory device, (a) 기판을 제공하는 단계;(a) providing a substrate; (b) 상기 기판 위에 절연층을 형성하는 단계;(b) forming an insulating layer on the substrate; (c) 상기 절연층 위에 상부 금속층을 형성하는 단계; 그리고(c) forming an upper metal layer on the insulating layer; And (d) 하부 절연층과 관련하여 상기 상부 금속층을 선택적으로 제거하는 단계를 포함하고, 여기서 상기 선택적 제거 단계는 비-반응성 가스 환경에서 발생하며 상기 상부 금속층과 상기 절연층 스퍼터 드레시홀드 사이의 바이어스 전력으로 상기 기판에 바이어스를 인가하는 단계를 포함하여 구성되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.(d) selectively removing the upper metal layer with respect to a lower insulating layer, wherein the selective removing step occurs in a non-reactive gas environment and bias power between the upper metal layer and the insulating layer sputter threshold. And applying a bias to the substrate. 제1항에 있어서,The method of claim 1, 상기 비-반응성 가스는 Ar, He, Ne, Kr, N₂, 또는 Xe 및 이들의 조합으로 이루어진 군인 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.And wherein said non-reactive gas is comprised of Ar, He, Ne, Kr, N2, or Xe and combinations thereof. 제1항에 있어서, The method of claim 1, 상기 절연층 아래에 하부 금속층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.And forming a lower metal layer under said insulating layer. 제1항에 있어서,The method of claim 1, 플라즈마는 비-반응성 가스를 이용하여 생성되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.And wherein the plasma is generated using a non-reactive gas. 제1항에 있어서,The method of claim 1, 상기 절연층은 알루미늄 산화물, 마그네슘 산화물, 또는 다른 절연 산화물을 포함하여 구성되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.And wherein said insulating layer comprises aluminum oxide, magnesium oxide, or other insulating oxide. 제1항에 있어서,The method of claim 1, 상부 금속층은 자기층, MRAM 스택 구조체의 일부, 또는 NiFe, CoFe, CoNiFe, 그리고 CoFeB의 하나 또는 그 이상의 층들을 포함하여 구성되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. And wherein the upper metal layer comprises a magnetic layer, a portion of an MRAM stack structure, or one or more layers of NiFe, CoFe, CoNiFe, and CoFeB. 자기 접합 메모리 디바이스 제작을 위한 방법으로서,A method for fabricating a magnetic junction memory device, (a) 기판을 제공하는 단계;(a) providing a substrate; (b) 상기 기판 위에 절연층을 형성하는 단계;(b) forming an insulating layer on the substrate; (c) 상기 절연층 위에 상부 층을 형성하는 단계; 그리고(c) forming an upper layer over the insulating layer; And (d) 하부 절연층과 관련하여 상기 상부 금속층을 선택적으로 제거하는 단계를 포함하고, 여기서 상기 선택적 제거 단계는 비-반응성 가스 및 산소-함유 가스의 <1% 의 혼합물을 사용하는 물리적 스퍼링 단계를 포함하여 구성되는 것을 특징 으로 하는 자기 접합 메모리 디바이스 제작 방법.(d) selectively removing the upper metal layer with respect to a lower insulating layer, wherein the selective removing step comprises a physical spurting step using a mixture of <1% of a non-reactive gas and an oxygen-containing gas. Method of manufacturing a magnetic junction memory device comprising a. 제7항에 있어서,The method of claim 7, wherein 상기 비-반응성 가스는 Ar, He, Ne, Kr, N₂, 또는 Xe 및 이들의 조합으로 이루어진 군인 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.And wherein said non-reactive gas is comprised of Ar, He, Ne, Kr, N2, or Xe and combinations thereof. 제7항에 있어서,The method of claim 7, wherein 상기 산소-함유 가스는 O, O2, N20, NO, 공기, CO 및 이들의 조합으로 이루어진 군인 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.And the oxygen-containing gas is made of O, O 2 , N 2 O, NO, air, CO and combinations thereof. 제7항에 있어서,The method of claim 7, wherein 상기 혼합물은 99.9% Ar 그리고 0.1% O2 인 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.And the mixture is 99.9% Ar and 0.1% O 2 . 제7항에 있어서,The method of claim 7, wherein 비-반응성 가스와 산소-함유 가스의 혼합물은 제1 흐름 제어기를 통하여 유입되고, 비-반응성 가스는 제2 흐름 제어기를 통하여 유입되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.A mixture of non-reactive gas and oxygen-containing gas is introduced through a first flow controller and non-reactive gas is introduced through a second flow controller. 제7항에 있어서,The method of claim 7, wherein 제1 흐름 제어기는 80 sccm의 아르곤 및 0.08 ccm의 O2를 제공하고, 제2 흐름 제어기는 270ccm의 아르곤을 제공하는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. Wherein the first flow controller provides 80 sccm of argon and 0.08 ccm of O 2 and the second flow controller provides 270ccm of argon. 제7항에 있어서,The method of claim 7, wherein 상기 비-반응성 가스는 10 에서 350 sccm의 범위이고 상기 산소-함유 가스는 0.02에서 0.15 sccm의 범위인 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. And wherein said non-reactive gas is in the range of 10 to 350 sccm and said oxygen-containing gas is in the range of 0.02 to 0.15 sccm. 제7항에 있어서,The method of claim 7, wherein 산소-함유 가스는 산소-함유 고체의 고체 소스의 스퍼터링으로부터 상기 공정으로 유입되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.Oxygen-containing gas is introduced into the process from the sputtering of a solid source of oxygen-containing solids. 제14항에 있어서,The method of claim 14, 상기 고체 소스는 알루미나 또는 석영을 포함하여 구성되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.And said solid source comprises alumina or quartz. 제7항에 있어서,The method of claim 7, wherein 산소-함유 가스는 주변으로부터의 누출 제어로부터 유입되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.Wherein the oxygen-containing gas flows from leak control from the environment. 제7항에 있어서,The method of claim 7, wherein 상기 절연층 아래의 하부 자기층을 형성하는 단계를 더 포함하여 구성되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. And forming a lower magnetic layer under the insulating layer. 제7항에 있어서,The method of claim 7, wherein 플라즈마는 상기 비-반응성 가스와 함께 생성되는것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.And a plasma is generated with the non-reactive gas. 제7항에 있어서,The method of claim 7, wherein 상기 절연층은 알루미늄 산화물, 마그네슘 산화물, 또는 다른 절연 산화물을 포함하여 구성되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. And wherein said insulating layer comprises aluminum oxide, magnesium oxide, or other insulating oxide. 제7항에 있어서,The method of claim 7, wherein 상부 자기층은 자기층, MRAM 스택 구조체의 일부, 또는 NiFe, CoFe, CoNiFe, 그리고 CoFeB의 하나 또는 그 이상의 층을 포함하여 구성되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.And wherein the upper magnetic layer comprises a magnetic layer, part of an MRAM stack structure, or one or more layers of NiFe, CoFe, CoNiFe, and CoFeB. 자기 접합 메모리 디바이스 제작을 위한 방법으로서,A method for fabricating a magnetic junction memory device, (a) 기판을 제공하는 단계;(a) providing a substrate; (b) 상기 기판 위에 절연층을 형성하는 단계;(b) forming an insulating layer on the substrate; (c) 상기 절연층 위에 상부 금속층을 형성하는 단계; 그리고(c) forming an upper metal layer on the insulating layer; And (d) 하부 절연층과 관련하여 상기 상부 금속층을 선택적으로 제거하는 단계를 포함하고, 여기서 상기 선택적 제거 단계는:(d) selectively removing the upper metal layer with respect to a lower insulating layer, wherein the selective removing step comprises: i) 상기 상부 금속층과 상기 절연층의 스퍼터 드레시홀드 사이의 바이 어스 전력으로 상기 기판에 바이어스를 인가하는 단계; 그리고i) applying a bias to the substrate with a bias power between the upper metal layer and the sputter threshold of the insulating layer; And ii) 비-반응성 가스와, <1% 의 산소-함유가스의 혼합물을 이용한 물리 적 스퍼터링 단계.ii) physical sputtering with a mixture of non-reactive gas and <1% oxygen-containing gas. 를 포함하여 구성되는것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.Method of manufacturing a magnetic junction memory device, characterized in that comprising a. 제21항에 있어서,The method of claim 21, 상기 비-반응성 가스는 Ar, He, Ne, Kr, N₂, 또는 Xe 및 이들의 조합으로 이루어진 군인 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. And wherein said non-reactive gas is comprised of Ar, He, Ne, Kr, N2, or Xe and combinations thereof. 제21항에 있어서,The method of claim 21, 상기 산소-함유 가스는 O, O₂,N2O, NO, 공기, CO 및 이들의 조합으로 이루 어진 군인 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.And the oxygen-containing gas is a soldier consisting of O, O 2, N 2 O, NO, air, CO, and combinations thereof. 제21항에 있어서,The method of claim 21, 상기 혼합물은 99.9% Ar 그리고 0.1% O₂인 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.And the mixture is 99.9% Ar and 0.1% O2. 제21항에 있어서,The method of claim 21, 비-반응성 가스와 산소-함유 가스의 혼합물은 제1 흐름 제어기를 통하여 유입되고, 비-반응성 가스는 제2 흐름 제어기를 통하여 유입되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.A mixture of non-reactive gas and oxygen-containing gas is introduced through a first flow controller and non-reactive gas is introduced through a second flow controller. 제21항에 있어서,The method of claim 21, 제1 흐름 제어기는 80 sccm의 아르곤 및 0.08 ccm의 O₂를 제공하고, 제2 흐름 제어기는 270ccm의 아르곤을 제공하는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. Wherein the first flow controller provides 80 sccm of argon and 0.08 ccm of O 2, and the second flow controller provides 270ccm of argon. 제21항에 있어서,The method of claim 21, 상기 비-반응성 가스는 10 에서 350 sccm의 범위이고 상기 산소-함유 가스는 0.02에서 0.15 sccm의 범위인 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.And wherein said non-reactive gas is in the range of 10 to 350 sccm and said oxygen-containing gas is in the range of 0.02 to 0.15 sccm. 제21항에 있어서,The method of claim 21, 산소-함유 가스는 고체 소스가 산소-함유 고체를 스퍼터링함으로써 상기 공정에 유입되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.Wherein the oxygen-containing gas is introduced into the process by sputtering an oxygen-containing solid. 제28항에 있어서,The method of claim 28, 상기 고체 소스는 알루미나 또는 석영을 포함하여 구성되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.And said solid source comprises alumina or quartz. 제21항에 있어서,The method of claim 21, 산소-함유 가스는 주변으로부터의 누출 제어로부터 유입되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.Wherein the oxygen-containing gas flows from leak control from the environment. 제21항에 있어서,The method of claim 21, 상기 절연층 아래의 하부 자기층을 형성하는 단계를 더 포함하여 구성되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.And forming a lower magnetic layer under the insulating layer. 제21항에 있어서,The method of claim 21, 플라즈마는 상기 비-반응성 가스와 함께 생성되는것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.And a plasma is generated with the non-reactive gas. 제21항에 있어서,The method of claim 21, 상기 절연층은 알루미늄 산화물, 마그네슘 산화물, 또는 다른 절연 산화물을 포함하여 구성되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.And wherein said insulating layer comprises aluminum oxide, magnesium oxide, or other insulating oxide. 제21항에 있어서,The method of claim 21, 상부 자기층은 자기층, MRAM 스택 구조체의 일부, 또는 NiFe, CoFe, CoNiFe, 그리고 CoFeB의 하나 또는 그 이상의 층을 포함하여 구성되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.And wherein the upper magnetic layer comprises a magnetic layer, part of an MRAM stack structure, or one or more layers of NiFe, CoFe, CoNiFe, and CoFeB. 디바이스 제작을 위한 방법으로서,As a method for manufacturing a device, (a) 기판을 제공하는 단계;(a) providing a substrate; (b) 상기 기판 위에 절연층을 형성하는 단계;(b) forming an insulating layer on the substrate; (c) 상기 절연층 위에 상부 금속층을 형성하는 단계; 그리고(c) forming an upper metal layer on the insulating layer; And (d) 하부 절연층과 관련하여 상기 상부 금속층을 선택적으로 제거하는 단계를 포함하고, 여기서 상기 선택적 제거 단계는 비-반응성 가스 환경에서 발생하고, 상기 상부 금속층과 상기 절연층 스퍼터 드레시홀드 사이의 바이어스 전력으로 상기 기판에 바이어스를 인가하는 단계을 포함하여 구성되는 것을 특징으로 하는 디바이스 제작 방법. (d) selectively removing the upper metal layer with respect to a lower insulating layer, wherein the selective removing step occurs in a non-reactive gaseous environment and biases between the upper metal layer and the insulating layer sputter threshold. And applying a bias to the substrate with electrical power. 제35항에 있어서,36. The method of claim 35 wherein 상기 절연츠은 절연 산화물을 포함하여 구성되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.And wherein said insulating ts comprises insulating oxides. 디바이스 제작을 위한 방법으로서,As a method for manufacturing a device, (a) 기판을 제공하는 단계;(a) providing a substrate; (b) 상기 기판 위에 절연층을 형성하는 단계;(b) forming an insulating layer on the substrate; (c) 상기 절연층 위에 상부 층을 형성하는 단계; 그리고(c) forming an upper layer over the insulating layer; And (d) 하부 절연층과 관련하여 상기 상부 금속층을 선택적으로 제거하는 단계를 포함하고, 여기서 상기 선택적 제거 단계는 불활성 가스 및 산소-함유 가스의 <1% 의 혼합물을 사용하는 물리적 스퍼터링 단계을 포함하여 구성되는 것을 특징으로 하는 디바이스 제작 방법.(d) selectively removing the upper metal layer with respect to a lower insulating layer, wherein the selective removing step comprises a physical sputtering step using a mixture of <1% of an inert gas and an oxygen-containing gas Device manufacturing method characterized in that. 디바이스 제작을 위한 방법으로서,As a method for manufacturing a device, (a) 기판을 제공하는 단계;(a) providing a substrate; (b) 상기 기판 위에 절연층을 형성하는 단계;(b) forming an insulating layer on the substrate; (c) 상기 절연층 위에 상부 층을 형성하는 단계; 그리고(c) forming an upper layer over the insulating layer; And (d) 하부 절연층과 관련하여 상기 상부 금속층을 선택적으로 제거하는 단계를 포함하고, 여기서 상기 선택적 제거 단계는:(d) selectively removing the upper metal layer with respect to a lower insulating layer, wherein the selective removing step comprises: i) 상기 상부 금속층과 상기 절연층의 스퍼터 드레시홀드 사이의 바이 어스 전력으로 상기 기판에 바이어스를 인가하는 단계; 그리고i) applying a bias to the substrate with a bias power between the upper metal layer and the sputter threshold of the insulating layer; And ii) 비-반응성 가스와 ,<1%의 산소-함유가스의 혼합물을 이용한 물리ii) Physics using a mixture of non-reactive gases and <1% oxygen-containing gas 적 스퍼터링 단계.         Enemy sputtering stage. 를 포함하여 구성되는것을 특징으로 하는 디바이스 제작 방법.Device manufacturing method characterized in that it comprises a. 자기 접합 메모리 디바이스 제작을 위한 방법으로서,A method for fabricating a magnetic junction memory device, (a) 기판을 제공하는 단계;(a) providing a substrate; (b) 상기 기판 위에 절연층을 형성하는 단계;(b) forming an insulating layer on the substrate; (c) 상기 절연층 위에 상부 층을 형성하는 단계; 그리고(c) forming an upper layer over the insulating layer; And (d) 하부 절연층과 관련하여 상기 상부 금속층을 선택적으로 제거하는 단계를 포함하고, 여기서 상기 선택적 제거 단계는 비-반응성 가스 환경에서 발생하며 상기 상부 금속층과 상기 절연층의 스퍼터 드레시홀드 사이의 바이어스 전력으로을 기판에 바이어스를 인가하는 것을 포함하여 구성되는것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.(d) selectively removing the upper metal layer with respect to a lower insulating layer, wherein the selective removing step occurs in a non-reactive gas environment and biases between the upper metal layer and the sputter threshold of the insulating layer. And applying a bias to the substrate as a power source. 제39항에 있어서,The method of claim 39, 상기 염소-함유 가스는 Cl2, BCl3, HCl, 원자 염소-함유 가스 또는 이것들의 조합을 포함하는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.Wherein said chlorine-containing gas comprises Cl 2 , BCl 3 , HCl, atomic chlorine-containing gas, or a combination thereof. 제39항에 있어서,The method of claim 39, 상기 불소-함유 가스는 CF4, SF6, CHF3, 원자(atomic) 불소-함유 가스, 또는 이것들의 조합을 포함하는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.And said fluorine-containing gas comprises CF 4 , SF 6 , CHF 3 , atomic fluorine-containing gas, or a combination thereof. 제39항에 있어서,The method of claim 39, 염소-함유 가스 대 불소-함유 가스는 2:1 에서 20:1의 범위 내에 있는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.Chlorine-containing gas to fluorine-containing gas is in the range of 2: 1 to 20: 1. 제39항에 있어서,The method of claim 39, 상기 비-반응성 가스는 Ar, He, Ne, Kr, N2, 또는 Xe 또는 이것들의 조합을 포함하여 구성되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. And wherein said non-reactive gas comprises Ar, He, Ne, Kr, N 2 , or Xe or a combination thereof. 제39항에 있어서,The method of claim 39, 상기 절연층 아래에 하부 금속층을 생성하는 단계를 더 포함하는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. And forming a bottom metal layer under the insulating layer. 제39항에 있어서,The method of claim 39, 플라즈마가 비-반응성 가스와 함께 생성되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. A plasma is produced with a non-reactive gas. 제39항에 있어서,The method of claim 39, 상기 절연층은 알루미늄 산화물, 마그네슘 산화물, 또는 다른 절연 산화물을 포함하여 구성되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. And wherein said insulating layer comprises aluminum oxide, magnesium oxide, or other insulating oxide. 제39항에 있어서,The method of claim 39, 상부 금속층은 자기층, MRAM 스택 구조체의 일부, 또는 NiFe, CoFe, CoNiFe, 그리고 CoFeB중 하나 또는 그 이상의 층들을 포함하여 구성되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.Wherein the upper metal layer comprises a magnetic layer, a portion of an MRAM stack structure, or one or more layers of NiFe, CoFe, CoNiFe, and CoFeB. 디바이스 제작을 위한 방법으로서,As a method for manufacturing a device, (a) 기판을 제공하는 단계;(a) providing a substrate; (b) 상기 기판 위에 절연층을 형성하는 단계;(b) forming an insulating layer on the substrate; (c) 상기 절연층 위에 상부 층을 형성하는 단계; 그리고(c) forming an upper layer over the insulating layer; And (d) 하부 절연층과 관련하여 상기 상부 금속층을 선택적으로 제거하는 단계를 포함하고, 여기서 상기 선택적 제거 단계는:(d) selectively removing the upper metal layer with respect to a lower insulating layer, wherein the selective removing step comprises: i) 상기 상부 금속층과 상기 절연층의 스퍼터 드레시홀드 사이의 바이어스 전력으로 상기 기판에 바이어스를 인가하는 단계; 그리고i) applying a bias to the substrate with a bias power between the upper metal layer and the sputter threshold of the insulating layer; And ii) 비-반응성 가스와, <1%의 산소-함유가스의 혼합물을 이용한 물리 적 스퍼터링 단계.ii) physical sputtering with a mixture of non-reactive gas and <1% oxygen-containing gas. 를 포함하여 구성되는것을 특징으로 하는 디바이스 제작 방법.Device manufacturing method characterized in that it comprises a. 제48항에 있어서,The method of claim 48, 상기 염소-함유 가스는 Cl2, BCl3, HCL, 원자 염소-함유 가스, 또는 이것들의 다른 조합을 포함하여 구성되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. Wherein said chlorine-containing gas comprises Cl 2 , BCl 3 , HCL, atomic chlorine-containing gas, or other combinations thereof. 제48항에 있어서,The method of claim 48, 상기 불소-함유 가스는 CF4, SF6, CHF3, 원자 불소-함유 가스 또는 이것들의 다른 조합을 포함하여 구성되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. And said fluorine-containing gas comprises CF 4 , SF 6 , CHF 3 , atomic fluorine-containing gas or other combinations thereof. 제48항에 있어서,The method of claim 48, 염소-함유 가스 대 불소-함유 가스의 비율은 2:1 내지 20:1의 범위 내 인 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. The ratio of chlorine-containing gas to fluorine-containing gas is in the range of 2: 1 to 20: 1. 제48항에 있어서,The method of claim 48, 상기 비-반응성 가스는 Ar, He, Ne, Kr, N2, 또는 Xe, 또는 이것들의 다른 조합 인 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. And wherein said non-reactive gas is Ar, He, Ne, Kr, N 2 , or Xe, or another combination thereof. 제48항에 있어서,The method of claim 48, 상기 산소-함유 가스는 O, O2, N2O, NO, 공기, CO 또는 이것들의 다른 조합인 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. And said oxygen-containing gas is O, O 2 , N 2 O, NO, air, CO or any other combination thereof. 제48항에 있어서,The method of claim 48, 상기 혼합물은 99.9% Ar 그리고 0.1% O2 인것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. And the mixture is 99.9% Ar and 0.1% O 2 . 제48항에 있어서,The method of claim 48, 비-반응성 가스와 산소-함유 가스의 혼합물은 제1 흐름 제어기를 통하여 주입되고, 비-반응성 가스는 제2 흐름 제거기를 통하여 주입되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. Wherein the mixture of non-reactive gas and oxygen-containing gas is injected through a first flow controller, and the non-reactive gas is injected through a second flow remover. 제48항에 있어서,The method of claim 48, 상기 제1 흐름 제어기는 아르곤 80ccm과 02 0.08sccm을 제공하고 상기 제2 흐름 제어기는 아르곤 270sccm을 제공하는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. Wherein said first flow controller provides argon 80 ccm and 0 2 0.08 sccm and said second flow controller provides argon 270 sccm. 제48항에 있어서,The method of claim 48, 상기 비-반응성 가스는 10 내지 350sccm의 범위 내 이고, 상기 산소-함유 가스는 0.02 내지 0.15sccm의 범위인 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. And wherein said non-reactive gas is in the range of 10 to 350 sccm, and said oxygen-containing gas is in the range of 0.02 to 0.15 sccm. 제48항에 있어서,The method of claim 48, 산소-함유 가스가 산소-함유 고체의 고체 공급원의 스퍼터링으로 부터 상기 공정으로 주입되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. 10. A method of fabricating a magnetic junction memory device, characterized in that an oxygen-containing gas is injected into the process from sputtering a solid source of oxygen-containing solids. 제58항에 있어서,The method of claim 58, 상기 고체 공급원은 알루미나 혹은 석영(quartz)을 포함하여 구성되는 것을 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. And said solid source comprises alumina or quartz. 제48항에 있어서,The method of claim 48, 산소-함유 가스가 대기로부터의 누출 제어로부터 주입되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. Wherein the oxygen-containing gas is injected from leak control from the atmosphere. 제48항에 있어서,The method of claim 48, 상기 절연층 아래의 하부 금속층을 생성하는 단계를 더 포함하여 구성되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. And producing a bottom metal layer under said insulating layer. 제48항에 있어서,The method of claim 48, 플라즈마는 비-반응성 가스와 함께 생성되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. Wherein the plasma is generated with a non-reactive gas. 제48항에 있어서,The method of claim 48, 상기 절연층은 알루미늄 산화물, 마그네슘 산화물, 또는 다른 절연 산화물을 포함하여 구성되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. And wherein said insulating layer comprises aluminum oxide, magnesium oxide, or other insulating oxide. 제48항에 있어서,The method of claim 48, 상부 금속층은 자기층, MRAM 스택 구조체의 일부, 또는 NiFe, CoFe, CoNiFe, 그리고 CoFeB의 하나 이상의 층들을 포함하여 구성되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. Wherein the upper metal layer comprises a magnetic layer, part of an MRAM stack structure, or one or more layers of NiFe, CoFe, CoNiFe, and CoFeB. 자기 접합 메모리 디바이스 제작을 위한 방법으로서,A method for fabricating a magnetic junction memory device, (a) 기판을 제공하는 단계;(a) providing a substrate; (b) 상기 기판 위에 절연층을 형성하는 단계;(b) forming an insulating layer on the substrate; (c) 상기 절연층 위에 상부 층을 형성하는 단계; 그리고(c) forming an upper layer over the insulating layer; And (d) 불소-그리고 염소-함유 가스 혼합물을 사용하여 상기 상부 금속층의 일 부를 제거하는 단계; 그리고(d) removing a portion of the upper metal layer using a fluorine- and chlorine-containing gas mixture; And (E) 상기 하부 절연층과 관련하여 나머지 상부 금속층을 선택적으로 제거하는 단계를 포함하고, 여기서 상기 선택적 제거 단계는(E) selectively removing the remaining upper metal layer with respect to the lower insulating layer, wherein the selective removing step i) 상기 상부 금속층과 상기 절연층의 스퍼터 드레시홀드 사이의 바이 어스 전력으로 상기 기판에 바이어스를 인가하는 단계; 그리고i) applying a bias to the substrate with a bias power between the upper metal layer and the sputter threshold of the insulating layer; And ii) 비-반응성 가스와 <1%의 산소-함유 가스의 혼합물을 사용한 물리 적 스퍼터 공정단계를 포함하여 구성되는 것을 특징으로 하는 디바이스 제작 방법.ii) a physical sputter process step using a mixture of non-reactive gas and <1% oxygen-containing gas. 제65항에 있어서,The method of claim 65, 상기 불소-함유 가스는 Cl2, BCL3, HCL, 원자 염소-함유 가스, 또는 이것들의 다른 조합을 포함하여 구성되는 것을 특징으로 하는 디바이스 제작 방법. Wherein said fluorine-containing gas comprises Cl 2 , BCL 3 , HCL, atomic chlorine-containing gas, or other combinations thereof. 제65항에 있어서,The method of claim 65, 상기 불소-함유 가스는 CF4, SF6, CHF3, 원자 불소-함유 가스, 또는 이것들의 다른 조합을 포함하여 구성되는 것을 특징으로 하는 디바이스 제작 방법. And said fluorine-containing gas comprises CF 4 , SF 6 , CHF 3 , atomic fluorine-containing gas, or other combinations thereof. 제65항에 있어서,The method of claim 65, 염소-함유 가스 대 불소-함유 가스의 비율은 2:1 내지 20:1의 범위 내 인 것 을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. The ratio of chlorine-containing gas to fluorine-containing gas is in the range of 2: 1 to 20: 1. 제65항에 있어서,The method of claim 65, 상기 비-반응성 가스는 Ar, He, Ne, Kr, N2, 또는 Xe, 또는 이것들의 다른 조합 인 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.And wherein said non-reactive gas is Ar, He, Ne, Kr, N 2 , or Xe, or another combination thereof. 제65항에 있어서,The method of claim 65, 상기 산소-함유 가스는 O, O2, N2O, NO, 공기, CO 또는 이것들의 다른 조합인 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. And said oxygen-containing gas is O, O 2 , N 2 O, NO, air, CO or any other combination thereof. 제65항에 있어서,The method of claim 65, 상기 혼합물은 99.9% Ar 그리고 0.1% O2 인것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. And the mixture is 99.9% Ar and 0.1% O 2 . 제65항에 있어서,The method of claim 65, 비-반응성 가스와 산소-함유 가스의 혼합물은 제1 흐름 제어기를 통하여 주입되고, 비-반응성 가스는 제2 흐름 제거기를 통하여 주입되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법.Wherein the mixture of non-reactive gas and oxygen-containing gas is injected through a first flow controller, and the non-reactive gas is injected through a second flow remover. 제65항에 있어서,The method of claim 65, 상기 제1 흐름 제어기는 아르곤 80ccm과 02 0.08sccm을 제공하고 상기 제2 흐름 제어기는 아르곤 270sccm을 제공하는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. Wherein said first flow controller provides argon 80 ccm and 0 2 0.08 sccm and said second flow controller provides argon 270 sccm. 제65항에 있어서,The method of claim 65, 상기 비-반응성 가스는 10 내지 350sccm의 범위 내 이고, 상기 산소-함유 가스는 0.02 내지 0.15sccm의 범위인 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. And wherein said non-reactive gas is in the range of 10 to 350 sccm, and said oxygen-containing gas is in the range of 0.02 to 0.15 sccm. 제65항에 있어서,The method of claim 65, 산소-함유 가스가 산소-함유 고체의 고체 공급원의 스퍼터링으로 부터 상기 공정으로 주입되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. 10. A method of fabricating a magnetic junction memory device, characterized in that an oxygen-containing gas is injected into the process from sputtering a solid source of oxygen-containing solids. 제65항에 있어서,The method of claim 65, 상기 고체 공급원은 알루미나 혹은 석영(quartz)을 포함하여 구성되는 것을 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. And said solid source comprises alumina or quartz. 제65항에 있어서,The method of claim 65, 산소-함유 가스가 대기로부터의 누출 제어로부터 주입되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. Wherein the oxygen-containing gas is injected from leak control from the atmosphere. 제65항에 있어서,The method of claim 65, 상기 절연층 아래의 하부 금속층을 생성하는 단계를 더 포함하여 구성되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. And producing a bottom metal layer under said insulating layer. 제65항에 있어서,The method of claim 65, 플라즈마는 비-반응성 가스와 함께 생성되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. Wherein the plasma is generated with a non-reactive gas. 제65항에 있어서,The method of claim 65, 상기 절연층은 알루미늄 산화물, 마그네슘 산화물, 또는 다른 절연 산화물을 포함하여 구성되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. And wherein said insulating layer comprises aluminum oxide, magnesium oxide, or other insulating oxide. 제65항에 있어서,The method of claim 65, 상부 금속층은 자기층, MRAM 스택 구조체의 일부, 또는 NiFe, CoFe, CoNiFe, 그리고 CoFeB의 하나 이상의 층들을 포함하여 구성되는 것을 특징으로 하는 자기 접합 메모리 디바이스 제작 방법. Wherein the upper metal layer comprises a magnetic layer, part of an MRAM stack structure, or one or more layers of NiFe, CoFe, CoNiFe, and CoFeB.
KR1020087025349A 2006-03-16 2007-03-16 Dry etch stop process for elimination electrical shorting in mram device structures KR20090008240A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US78315706P 2006-03-16 2006-03-16
US60/783,157 2006-03-16
US11/724,556 US7645618B2 (en) 2004-09-09 2007-03-14 Dry etch stop process for eliminating electrical shorting in MRAM device structures
US11/724,556 2007-03-14

Publications (1)

Publication Number Publication Date
KR20090008240A true KR20090008240A (en) 2009-01-21

Family

ID=38522964

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087025349A KR20090008240A (en) 2006-03-16 2007-03-16 Dry etch stop process for elimination electrical shorting in mram device structures

Country Status (4)

Country Link
EP (1) EP1999781A2 (en)
JP (1) JP5085637B2 (en)
KR (1) KR20090008240A (en)
WO (1) WO2007109117A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3572549A1 (en) 2018-05-24 2019-11-27 Richemont International S.A. Jewellery item

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100304504A1 (en) * 2009-05-27 2010-12-02 Canon Anelva Corporation Process and apparatus for fabricating magnetic device
WO2013161769A1 (en) * 2012-04-26 2013-10-31 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
JP5918108B2 (en) * 2012-11-16 2016-05-18 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
JP6160903B2 (en) * 2013-03-13 2017-07-12 株式会社東芝 Magnetic storage element and nonvolatile storage device
JP6134611B2 (en) * 2013-08-29 2017-05-24 株式会社アルバック Method for manufacturing magnetoresistive element
CN111146336A (en) * 2018-11-02 2020-05-12 江苏鲁汶仪器有限公司 Single-isolation-layer magnetic tunnel junction etching method
CN111162164B (en) * 2018-11-08 2023-06-13 江苏鲁汶仪器股份有限公司 Manufacturing method of semiconductor device

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU485283B2 (en) * 1971-05-18 1974-10-03 Warner-Lambert Company Method of making a razorblade
DE19728472A1 (en) * 1997-07-03 1999-01-07 Siemens Ag Structuring process
US5980686A (en) * 1998-04-15 1999-11-09 Applied Komatsu Technology, Inc. System and method for gas distribution in a dry etch process
US6114719A (en) * 1998-05-29 2000-09-05 International Business Machines Corporation Magnetic tunnel junction memory cell with in-stack biasing of the free ferromagnetic layer and memory array using the cell
US6139702A (en) * 1999-03-05 2000-10-31 United Microelectronics Corp. Seasoning process for etcher
US6326637B1 (en) * 1999-10-18 2001-12-04 International Business Machines Corporation Antiferromagnetically exchange-coupled structure for magnetic tunnel junction device
US6281538B1 (en) * 2000-03-22 2001-08-28 Motorola, Inc. Multi-layer tunneling device with a graded stoichiometry insulating layer
US6531404B1 (en) * 2000-08-04 2003-03-11 Applied Materials Inc. Method of etching titanium nitride
JP4809991B2 (en) * 2001-04-17 2011-11-09 キヤノン株式会社 Processing method of tunnel magnetoresistive element
JP2003324187A (en) * 2002-05-01 2003-11-14 Sony Corp Method for manufacturing magnetic memory device and magnetic memory device
US20040242005A1 (en) * 2003-04-14 2004-12-02 Chentsau Ying Method of etching metal layers
JP4111274B2 (en) * 2003-07-24 2008-07-02 キヤノンアネルバ株式会社 Magnetic material dry etching method
US6984529B2 (en) * 2003-09-10 2006-01-10 Infineon Technologies Ag Fabrication process for a magnetic tunnel junction device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3572549A1 (en) 2018-05-24 2019-11-27 Richemont International S.A. Jewellery item

Also Published As

Publication number Publication date
JP2009530825A (en) 2009-08-27
EP1999781A2 (en) 2008-12-10
WO2007109117A3 (en) 2007-12-13
JP5085637B2 (en) 2012-11-28
WO2007109117A2 (en) 2007-09-27

Similar Documents

Publication Publication Date Title
US7955870B2 (en) Dry etch stop process for eliminating electrical shorting in MRAM device structures
US7645618B2 (en) Dry etch stop process for eliminating electrical shorting in MRAM device structures
KR102578718B1 (en) Hard mask for patterning magnetic tunnel junctions
JP4579611B2 (en) Dry etching method
US8975088B2 (en) MRAM etching processes
US6893893B2 (en) Method of preventing short circuits in magnetic film stacks
US6933239B2 (en) Method for removing conductive residue
US10276504B2 (en) Preclean and deposition methodology for superconductor interconnects
JP5085637B2 (en) Dry etch stop process to eliminate electrical shorts in MRAM device structure
US7169623B2 (en) System and method for processing a wafer including stop-on-aluminum processing
US11367832B2 (en) Method of making magnetoresistive random access memory device
KR102299219B1 (en) Spacer Assist Ion Beam Etching of Spin Torque Magnetic Random Access Memory
US6911346B2 (en) Method of etching a magnetic material
US6458648B1 (en) Method for in-situ removal of side walls in MOM capacitor formation
US9449842B2 (en) Plasma etching method
JP7171914B2 (en) Preclean and dielectric deposition methods for superconductor interconnect fabrication
CN101449361A (en) Dry etch stop process for eliminating electrical shorting in mram device structures
Labelle et al. Metal stack etching using a helical resonator plasma

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid