KR20080089745A - Method for fabricating semiconductor device - Google Patents

Method for fabricating semiconductor device Download PDF

Info

Publication number
KR20080089745A
KR20080089745A KR1020070032285A KR20070032285A KR20080089745A KR 20080089745 A KR20080089745 A KR 20080089745A KR 1020070032285 A KR1020070032285 A KR 1020070032285A KR 20070032285 A KR20070032285 A KR 20070032285A KR 20080089745 A KR20080089745 A KR 20080089745A
Authority
KR
South Korea
Prior art keywords
layer
tungsten
forming
semiconductor device
manufacturing
Prior art date
Application number
KR1020070032285A
Other languages
Korean (ko)
Inventor
성민규
조흥재
임관용
김태윤
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020070032285A priority Critical patent/KR20080089745A/en
Publication of KR20080089745A publication Critical patent/KR20080089745A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

A method for manufacturing a semiconductor device is provided to improve device characteristics and to increase a yield by forming a tungsten layer having low resistivity and a uniform surface. A polysilicon layer(103) is formed on a substrate(101). A tungsten layer(105A) is formed on the polysilicon layer. A planarization process is performed to planarize the tungsten layer. A mask pattern is formed on the tungsten layer. A first etch process is performed to etch the tungsten layer. A second etch process is performed to etch the polysilicon layer. The tungsten layer is formed by using a CVD(Chemical Vapor Deposition) method or an ALD(Atomic Layer Deposition) method.

Description

반도체 소자의 제조방법{METHOD FOR FABRICATING SEMICONDUCTOR DEVICE}Manufacturing method of semiconductor device {METHOD FOR FABRICATING SEMICONDUCTOR DEVICE}

도 1은 듀얼폴리게이트를 나타내는 단면도,1 is a cross-sectional view showing a dual poly gate,

도 2는 듀얼폴리게이트의 인버젼 캐패시턴스를 나타내는 그래프,2 is a graph showing inversion capacitance of a dual polygate;

도 3은 종래 기술에 따른 듀얼 폴리 게이트를 갖는 반도체 소자를 나타내는 단면도,3 is a cross-sectional view showing a semiconductor device having a dual poly gate according to the prior art;

도 4는 배리어메탈의 종류에 따른 면저항을 비교하기 위한 그래프,4 is a graph for comparing the sheet resistance according to the type of barrier metal,

도 5는 종래 기술에 따른 텅스텐 증착 후 균일도를 나타내는 TEM사진,5 is a TEM photograph showing uniformity after tungsten deposition according to the prior art;

도 6a 내지 도 6d는 본 발명의 바람직한 실시예에 따른 반도체 소자의 제조방법을 설명하기 위한 공정 단면도,6A through 6D are cross-sectional views illustrating a method of manufacturing a semiconductor device in accordance with an embodiment of the present invention;

도 7a 내지 도 7f는 본 발명의 바람직한 실시예에 따른 듀얼폴리게이트를 갖는 반도체 소자의 제조방법을 설명하기 위한 공정 단면도.7A to 7F are cross-sectional views illustrating a method of manufacturing a semiconductor device having a dual poly gate according to a preferred embodiment of the present invention.

* 도면의 주요 부분에 대한 부호의 설명* Explanation of symbols for the main parts of the drawings

101 : 기판101: substrate

102 : 게이트절연막102: gate insulating film

103A : 폴리실리콘전극103A: Polysilicon Electrode

104A : 배리어메탈104A: Barrier Metal

105B : 텅스텐전극105B: Tungsten Electrode

106 : 마스크패턴106: mask pattern

본 발명은 반도체 제조 기술에 관한 것으로, 특히 저저항텅스텐을 게이트전극으로 갖는 반도체 소자 및 그의 제조방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to semiconductor manufacturing technology, and more particularly, to a semiconductor device having low resistance tungsten as a gate electrode and a method of manufacturing the same.

반도체 소자의 고집적화에 따라 실리콘 웨이퍼(Silicon Wafer)를 이용한 CMOS소자 공정시 게이트간의 간격(Pitch;피치)이 감소하게 되었다. 한편, 종래 CMOS소자는 NMOS와 PMOS소자의 각 게이트전극으로 n형 불순물이 도핑된 폴리실리콘막을 사용하였다. 이때, NMOS소자는 서피스 채널(Surface Channel)특성을 갖는데 반해 PMOS소자는 베리드 채널(Buried Channel)특성을 갖는다. 그러나, PMOS소자의 베리드 채널특성으로 인해 게이트 전극의 폭(반치폭)이 100nm이하로 좁아질 경우 단채널효과(Short Channel Effect)가 나타나는 문제점이 있다.As the semiconductor devices are highly integrated, the pitch between gates is reduced in the process of processing a CMOS device using a silicon wafer. In the conventional CMOS device, a polysilicon film doped with n-type impurities is used as each gate electrode of the NMOS and PMOS devices. In this case, the NMOS device has a surface channel characteristic, whereas the PMOS device has a buried channel characteristic. However, when the width (half width) of the gate electrode is narrowed to 100 nm or less due to the buried channel characteristic of the PMOS device, there is a problem in that a short channel effect appears.

이로 인해, 좁은 게이트 채널 길이를 갖는 CMOS소자 공정시 PMOS소자의 게이트전극을 P형 불순물이 도핑된 폴리실리콘막으로 형성하여 PMOS소자를 서피스채널 특성을 갖도록 하는 이중 게이트(Dual Gate) 구조가 제안되었다. 이러한, 이중 게이트 구조는 단채널효과를 줄이는 효과가 있다.Accordingly, a dual gate structure has been proposed in which a gate electrode of a PMOS device is formed of a polysilicon layer doped with P-type impurities in a CMOS device process having a narrow gate channel length, so that the PMOS device has surface channel characteristics. . Such a double gate structure has an effect of reducing the short channel effect.

도 1은 일반적인 듀얼 폴리 게이트를 설명하기 위한 단면도이다.1 is a cross-sectional view illustrating a general dual poly gate.

도 1을 참조하면, NMOS와 PMOS가 정의된 반도체 기판(11) 상에 게이트절연막(12)을 형성하고, 게이트절연막(12) 상에 각각 NMOS에는 인(P)이 도핑된 N형 폴리실리콘막(13b), PMOS에는 보론이 도핑된 P형 폴리실리콘막(13a)을 형성하였다. 이어서, 각 폴리실리콘막(13a, 13b) 상에는 메탈전극(WSix, 14)을 형성하였다.Referring to FIG. 1, a gate insulating film 12 is formed on a semiconductor substrate 11 in which NMOS and PMOS are defined, and an N-type polysilicon film doped with phosphorus (P) in each NMOS on the gate insulating film 12. (13b), P-type polysilicon film 13a doped with boron was formed in PMOS. Subsequently, metal electrodes (Xix) 14 were formed on each of the polysilicon films 13a and 13b.

상기와 같은 듀얼 폴리 게이트는 단채널효과를 줄이는 효과가 있지만 채널 영역으로의 보론 침투에 의한 문턱전압 이동 및 변동(Fluctuation)현상이 나타나고, 게이트절연막(12)과 폴리실리콘막(13a, 13b) 계면에서 폴리실리콘 공핍(Poly Depletion)현상에 의한 소자 특성 열화가 나타나는 문제점이 있다.Although the dual poly gate has the effect of reducing the short channel effect, the threshold voltage shift and fluctuation due to boron penetration into the channel region appear, and the interface between the gate insulating layer 12 and the polysilicon layers 13a and 13b is observed. There is a problem in that deterioration of device characteristics due to poly silicon depletion occurs.

채널영역으로의 보론 침투에 의한 현상은 게이트절연막(12)의 표면을 질화(Nitridation)시킴으로써 줄일 수 있으나, 메탈전극 쪽으로 보론이 아웃 디퓨전(Out Diffusion)됨으로써 나타나는 폴리실리콘 공핍현상을 방지할 수 없다.The phenomenon due to boron penetration into the channel region can be reduced by nitriding the surface of the gate insulating film 12, but the polysilicon depletion phenomenon caused by the out diffusion of boron toward the metal electrode cannot be prevented.

도 2는 듀얼 폴리 게이트의 인버젼 캐패시턴스를 비교한 그래프이다.2 is a graph comparing inversion capacitances of dual poly gates.

도 2를 참조하면, NMOS의 N형 폴리실리콘전극과 PMOS의 P형 폴리실리콘전극의 인버젼 캐패시턴스(Inversion Capacitance)를 비교할 수 있다. 그래프를 살펴보면, PMOS의 경우 보론이 메탈전극으로 아웃 디퓨전 됨으로써 폴리실리콘 공핍현상으로 인해 PMOS의 캐패시턴스값이 NMOS의 캐패시턴스값보다 작다. 이는 게이트절연막의 캐패시턴스동등두께(Capacitive Equivalent Thickness;CET)가 증가함을 의미하는데, 게이트간의 간격이 100nm이하의 반도체 소자의 경우 문턱 전압 변화값이 커지게 되어 소자 특성을 저하시키는 문제점이 발생하게 된다.Referring to FIG. 2, an inversion capacitance of an N-type polysilicon electrode of an NMOS and a P-type polysilicon electrode of a PMOS may be compared. Looking at the graph, in the case of PMOS, since the boron is out-diffused to the metal electrode, the capacitance value of the PMOS is smaller than the capacitance value of the NMOS due to the polysilicon depletion phenomenon. This means that the capacitive equivalent thickness (CET) of the gate insulating film is increased. In the case of semiconductor devices having a gate-to-gate spacing of 100 nm or less, the threshold voltage change value becomes large, resulting in deterioration of device characteristics. .

더불어, 반도체 소자의 고속 소자 특성을 확보하기 위해 메탈전극으로 텅스텐실리사이드대신 텅스텐을 사용하고 있다. 그러나, 폴리실리콘전극 상에 메탈전극으로 텅스텐이 바로 형성될 경우 후속 열공정시 실리콘화합물화(Silicidation)로 인해 부피 팽창이 되어, 스트레스(Stress)반응이 일어나기 때문에 텅스텐과 폴리실리콘전극 사이에 디퓨전 배리어(Diffusion Barrier)막이 형성된다. In addition, tungsten is used instead of tungsten silicide to secure high-speed device characteristics of semiconductor devices. However, when tungsten is directly formed as a metal electrode on the polysilicon electrode, a volume expansion occurs due to siliconization during a subsequent thermal process, and a stress reaction occurs, thereby causing a diffusion barrier between the tungsten and the polysilicon electrode. Diffusion Barrier) film is formed.

도 3은 종래 기술에 따른 듀얼 폴리 게이트를 갖는 반도체 소자를 비교하기 위한 단면도와 그래프이다. 설명의 편의를 돕기 위해 도 3에서는 반도체 소자의 PMOS영역만 도시하였다.3 is a cross-sectional view and a graph for comparing a semiconductor device having a dual poly gate according to the prior art. For convenience of description, only the PMOS region of the semiconductor device is illustrated in FIG. 3.

도 3에 도시된 바와 같이, PMOS의 반도체 기판(21) 상에 게이트산화막(22), P형 폴리실리콘(23), Ti(24)와 WN(25)의 적층인 배리어메탈 및 텅스텐(26)이 적층된 듀얼 폴리 게이트가 형성된다.As shown in Fig. 3, a barrier metal and tungsten 26, which are a stack of a gate oxide film 22, a P-type polysilicon 23, Ti 24 and? N 25, on a semiconductor substrate 21 of a PMOS. This stacked dual poly gate is formed.

위와 같이, 배리어메탈을 적용하면 게이트 저항 감소 및 PMOS의 폴리공핍율이 개선되는 효과가 있다. As described above, applying the barrier metal reduces the gate resistance and improves the polydepletion rate of the PMOS.

그러나, 텅스텐을 형성하는 통상적인 방법으로 PVD(Physical Vapor Deposition) 방법을 이용하여 증착할 경우 하부에 배리어메탈로 사용된 WN이 Ti 상부에 증착되어 결정성을 갖기 때문에 텅스텐의 그레인(Grain)크기가 작게 형성되어 시트(sheet) 저항값이 증가되는 문제점이 있다. 이러한, 텅스텐의 시트저항 값의 증가 폭은 게이트 선폭이 증가함에 따라 급격하게 커지기 때문에 100㎚미만의 미세 선폭을 요구하는 향후 디바이스 적용이 불가능한 문제점이 있다.However, in the conventional method of forming tungsten, the grain size of tungsten is deposited because PVN (Physical Vapor Deposition) method is deposited on top of Ti as WN is used as the barrier metal. There is a problem that is formed small to increase the sheet resistance value. Since the increased width of the tungsten sheet resistance increases rapidly as the gate line width increases, there is a problem in that future devices that require a fine line width of less than 100 nm cannot be applied.

즉, 도 4에 도시된 바와 같이, 배리어메탈을 WSix와 WN의 적층구조로 형성 한 것과 비교하여 Ti와 WN의 적층구조를 배리어메탈로 형성하는 경우에 시트저항이 적어도 3배이상 증가한 것을 알 수 있다. 이때, WSix와 WN가 적층된 배리어메탈은 시트 저항은 낮지만 게이트 계면 저항이 증가하고 게이트산화막의 신뢰성이 열화가 되는 문제점이 있기 때문에 사용하지 않는다.That is, as shown in FIG. 4, it can be seen that the sheet resistance increased by at least three times when the barrier structure of Ti and WN was formed of the barrier metal, compared with the barrier metal of the WSix and WN laminated structure. have. At this time, the barrier metal in which Xix and XN are stacked is not used because of low sheet resistance but a problem in that the gate interface resistance is increased and the reliability of the gate oxide film is deteriorated.

위와 같은 문제점을 해결하기 위해 텅스텐을 CVD(Chemical Vapor Deposition) 또는 ALD(Atomic Layer Deposition)로 형성하면 텅스텐의 비저항 값은 낮아지지만, PVD를 사용하여 형성하는 것과 비교하여 증착두께의 균일도가 저하되는 문제점이 있다(도 5 참조).In order to solve the above problems, when tungsten is formed by chemical vapor deposition (CVD) or atomic layer deposition (ALD), the specific resistance of tungsten is lowered, but the uniformity of the deposition thickness is lowered compared to that formed using PVD. There is (see FIG. 5).

텅스텐 증착두께의 균일도가 저하되면 후속 게이트패턴을 형성하기 위한 식각시 텅스텐의 비균일한 표면이 하부 폴리실리콘 및 게이트산화막에 그대로 전사되어 게이트산화막 식각시 과도식각(Over Etch)에 의해 기판이 손상되어 소자의 특성 저하 및 수율이 저하되는 문제점이 있다.When the uniformity of the thickness of the deposited tungsten decreases, the non-uniform surface of tungsten is transferred to the lower polysilicon and the gate oxide layer as it is formed during the etching to form the subsequent gate pattern, and the substrate is damaged by the over etching during the gate oxide layer etching. There is a problem of deterioration in characteristics and yield of the device.

본 발명은 상기한 종래 기술의 문제점을 해결하기 위해 제안된 것으로, 저항이 낮은 텅스텐 형성시 텅스텐의 표면이 균일하지 못해 게이트패턴 형성시 기판이 어택을 받아 소자의 특성 저하 및 수율이 저하되는 것을 방지하기 위한 반도체 소자의 제조방법을 제공하는데 그 목적이 있다.The present invention has been proposed to solve the above problems of the prior art, the surface of the tungsten is not uniform during the formation of the low resistance tungsten prevents the substrate from being attacked when forming the gate pattern to lower the characteristics and yield of the device It is an object of the present invention to provide a method for manufacturing a semiconductor device.

상기 목적을 달성하기 위한 본 발명에 의한 반도체 소자의 제조방법은 기판 상부에 폴리실리콘층을 형성하는 단계, 상기 폴리실리콘층 상에 텅스텐층을 형성하는 단계, 상기 텅스텐층을 평탄화시키는 단계, 상기 텅스텐층 상에 마스크패턴을 형성하는 단계, 상기 텅스텐층을 식각하는 단계, 상기 폴리실리콘층을 식각하는 단계를 포함하는 것을 특징으로 한다.The method of manufacturing a semiconductor device according to the present invention for achieving the above object comprises the steps of forming a polysilicon layer on the substrate, forming a tungsten layer on the polysilicon layer, planarizing the tungsten layer, the tungsten Forming a mask pattern on the layer, etching the tungsten layer, and etching the polysilicon layer.

또한, 본 발명에 의한 듀얼 폴리 게이트를 갖는 반도체 소자의 제조방법은 NMOS영역과 PMOS영역을 갖는 기판 상부에 상기 NMOS영역에는 N형 폴리실리콘층을 상기 PMOS영역에는 P형 폴리실리콘층을 각각 형성하는 단계, 상기 N형 및 P형 폴리실리콘층 상에 배리어메탈층을 형성하는 단계, 상기 배리어메탈층 상에 텅스텐층을 형성하는 단계, 상기 텅스텐층을 평탄화시키는 단계, 상기 텅스텐층 상에 마스크패턴을 형성하는 단계, 상기 텅스텐층을 식각하는 단계, 상기 N형 및 P형 폴리실리콘층을 식각하는 단계를 포함하는 것을 특징으로 한다.In addition, the method of manufacturing a semiconductor device having a dual poly gate according to the present invention is to form an N-type polysilicon layer in the NMOS region and a P-type polysilicon layer in the PMOS region, respectively, on the substrate having the NMOS region and the PMOS region. Forming a barrier metal layer on the N-type and P-type polysilicon layers; forming a tungsten layer on the barrier metal layer; planarizing the tungsten layer; and forming a mask pattern on the tungsten layer. Forming, etching the tungsten layer, and etching the N-type and P-type polysilicon layer.

특히, 평탄화 공정은 화학적기계적연마(Chemical Mechanical Polishing) 공정으로 실시할 수 있다.In particular, the planarization process may be carried out by a chemical mechanical polishing process.

이하, 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자가 본 발명의 기술적 사상을 용이하게 실시할 수 있을 정도로 상세히 설명하기 위하여, 본 발명의 가장 바람직한 실시예를 첨부 도면을 참조하여 설명하기로 한다.Hereinafter, the most preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings so that those skilled in the art can easily implement the technical idea of the present invention. .

도 6a 내지 도 6d는 본 발명의 바람직한 실시예에 따른 반도체 소자의 제조방법을 설명하기 위한 공정 단면도이다.6A to 6D are cross-sectional views illustrating a method of manufacturing a semiconductor device in accordance with a preferred embodiment of the present invention.

도 6a에 도시된 바와 같이, 기판(101) 상에 게이트절연막(102)을 형성한다. 여기서, 기판(101)은 DRAM공정이 진행되는 반도체 기판일 수 있고, 게이트절연막(102)은 산화막일 수 있다.As shown in FIG. 6A, a gate insulating film 102 is formed on the substrate 101. Herein, the substrate 101 may be a semiconductor substrate in which a DRAM process is performed, and the gate insulating layer 102 may be an oxide layer.

이어서, 게이트절연막(102) 상에 폴리실리콘층(103)을 형성한다. 여기서, 폴리실리콘층(103)은 P형 폴리실리콘일 수 있다. Next, a polysilicon layer 103 is formed on the gate insulating film 102. Here, the polysilicon layer 103 may be P-type polysilicon.

이어서, 폴리실리콘층(103) 상에 배리어메탈층(BM:Barrier Metal)(104)을 형성한다. 배리어메탈층(104)은 확산방지(Diffusion Barrier) 역할을 하기 위한 것으로, Ti(티타늄)와 WN(텅스텐질화막)의 적층구조일 수 있다. 즉, 금속층으로 형성되는 텅스텐과 폴리실리콘이 직접 접촉하여 후속 열공정 시 실리사이드 반응이 일어나 부피 팽창에 의한 스트레스 반응이 일어나는 것을 방지하여 스트레스에 의한 게이트의 Rc(콘택저항)을 낮출 수 있다. 또한, 폴리실리콘층(103)이 도핑된 폴리실리콘일 경우 불순물이 상부층으로 아웃디퓨전(Out Diffusion)되는 것을 방지할 수 있다. Next, a barrier metal layer (BM) 104 is formed on the polysilicon layer 103. The barrier metal layer 104 serves as a diffusion barrier and may have a stacked structure of Ti (titanium) and WN (tungsten nitride). That is, the tungsten formed of the metal layer and polysilicon are in direct contact with each other, so that a silicide reaction occurs in a subsequent thermal process, thereby preventing a stress reaction due to volume expansion, thereby lowering the Rc (contact resistance) of the gate due to stress. In addition, when the polysilicon layer 103 is doped polysilicon, impurities may be prevented from being out-diffused to the upper layer.

이어서, 배리어메탈층(104) 상에 텅스텐층(105)을 형성한다. 여기서, 텅스텐층(105)은 텅스텐 자체의 비저항값을 낮추기 위해 CVD(Chemical Vapor Deposition) 또는 ALD(Atomic Layer Deposition)으로 형성할 수 있다. 즉, CVD 또는 ALD으로 B2H6, WF6 및 NH3 처리(Treatment)에 의한 시드층(Seed-Layer, 비정질상태)을 형성하여 큰 그레인(Large Grain)의 벌크 텅스텐(Bulk W)을 성장시킴으로써 하부의 배리어물질의 종류와 상관없이 텅스텐의 시트저항 값(Rs)을 낮출 수가 있다. 이러한 텅스텐을 낮은 저항 텅스텐(LRW:Low Resistivity Tungsten)이라고 한다. Next, a tungsten layer 105 is formed on the barrier metal layer 104. Here, the tungsten layer 105 may be formed by chemical vapor deposition (CVD) or atomic layer deposition (ALD) in order to lower the specific resistance of tungsten itself. That is, a large grain of bulk tungsten is grown by forming a seed layer (amorphous state) by B 2 H 6 , WF 6 and NH 3 treatment by CVD or ALD. By doing so, the sheet resistance value (Rs) of tungsten can be lowered regardless of the type of barrier material underneath. Such tungsten is called low resistance tungsten (LRsten).

도 6b에 도시된 바와 같이, 텅스텐층(105)을 평탄화한다. 여기서, 평탄화는 화학적기계적연마(Chemical Mechanical Polishing) 공정으로 실시할 수 있다.As shown in FIG. 6B, the tungsten layer 105 is planarized. Here, the planarization may be carried out by a chemical mechanical polishing process.

평탄화를 실시함으로써 텅스텐층(105)은 표면에 균일한 두께를 갖는 텅스텐층(105A)이 된다. By planarization, the tungsten layer 105 becomes a tungsten layer 105A having a uniform thickness on the surface.

도 6c에 도시된 바와 같이, 텅스텐층(105A) 상에 마스크패턴(106)을 형성한다. 여기서, 마스크패턴(106)은 텅스텐층(105A) 상에 감광막을 코팅하고 노광 및 현상으로 게이트패턴 영역이 정의되도록 패터닝하여 형성할 수 있다.As shown in FIG. 6C, a mask pattern 106 is formed on the tungsten layer 105A. The mask pattern 106 may be formed by coating a photoresist on the tungsten layer 105A and patterning the gate pattern region to be defined by exposure and development.

도 6d에 도시된 바와 같이, 마스크패턴(106)으로 텅스텐층(105A)을 식각한다. 여기서, 텅스텐층(105A)은 WF6를 소스가스로 형성하였기 때문에 불소(F)계가스를 이용하여 식각할 수 있다. As shown in FIG. 6D, the tungsten layer 105A is etched using the mask pattern 106. The tungsten layer 105A is etched using fluorine (F) -based gas because the tungsten layer 105A is formed of? F 6 as the source gas.

낮은 저항 텅스텐(LRW)을 형성하기 위해 벌크 텅스텐으로 성장시켜 표면이 불균일한 텅스텐층(105)을 도 6b에서 평탄화 공정을 통해 텅스텐층(105A)을 균일한 표면으로 바꿈으로써 패터닝시 균일한 두께로 식각이 가능하다. 즉, 텅스텐층(105A)의 균일한 표면이 하부 배리어메탈층(104)에 전사되어 배리어메탈층(104) 역시 균일한 표면두께를 갖는다.The tungsten layer 105 having an uneven surface by growing with bulk tungsten to form a low resistive tungsten (LRW) is changed to a uniform thickness during patterning by changing the tungsten layer 105A to a uniform surface through the planarization process in FIG. 6B. Etching is possible. That is, the uniform surface of the tungsten layer 105A is transferred to the lower barrier metal layer 104 so that the barrier metal layer 104 also has a uniform surface thickness.

이하, 식각이 완료된 텅스텐층(105A)을 '텅스텐전극(105B)'이라고 한다.Hereinafter, the tungsten layer 105A on which the etching is completed is referred to as 'tungsten electrode 105B'.

이어서, 배리어메탈층(104)을 식각한다. 여기서, 배리어메탈층(104)은 염소(Cl)계가스를 사용하여 식각할 수 있다.Next, the barrier metal layer 104 is etched. Here, the barrier metal layer 104 may be etched using chlorine (Cl) -based gas.

식각이 완료된 배리어메탈층(104)을 '배리어메탈(104A)'이라고 한다.The barrier metal layer 104 on which the etching is completed is referred to as 'barrier metal 104A'.

이어서, 폴리실리콘층(103) 및 게이트절연막(102)을 식각한다. 텅스텐전극(105B) 형성 전에 평탄화된 텅스텐층(105A)을 미리 형성하였기 때문에 균일한 표면이 하부층에 전사되어 폴리실리콘층(103) 및 게이트절연막(102) 역시 균일한 표면을 갖고 식각이 진행될 수 있다. 따라서, 게이트절연막(102)까지 식각이 완료된 후에 잔류물을 제거하기 위한 과도식각 진행시 기판(101)이 어택(Attack)을 받아서 소자 특성 저하 및 수율이 저하되는 문제점을 방지할 수 있다.Next, the polysilicon layer 103 and the gate insulating film 102 are etched. Since the planarized tungsten layer 105A is formed in advance before the formation of the tungsten electrode 105B, a uniform surface is transferred to the lower layer so that the polysilicon layer 103 and the gate insulating layer 102 may have an even surface and may be etched. . Therefore, when the etching process is completed to remove the residue after the etching to the gate insulating film 102, the substrate 101 is attacked to prevent the problem of deterioration of device characteristics and yield.

식각이 완료되는 시점에서 마스크패턴(106)은 모두 제거되거나, 산소스트립으로 제거할 수 있다.When the etching is completed, all of the mask patterns 106 may be removed or removed using an oxygen strip.

게이트패턴은 게이트절연막(102A), 폴리실리콘전극(103A), 배리어메탈(104A) 및 텅스텐전극(105B)이 적층된 구조 형성된다. The gate pattern is formed by stacking a gate insulating film 102A, a polysilicon electrode 103A, a barrier metal 104A, and a tungsten electrode 105B.

도 7a 내지 도 7f는 본 발명의 바람직한 실시예에 따른 듀얼폴리게이트를 갖는 반도체 소자의 제조방법을 설명하기 위한 공정 단면도이다.7A to 7F are cross-sectional views illustrating a method of manufacturing a semiconductor device having a dual poly gate according to a preferred embodiment of the present invention.

도 7a에 도시된 바와 같이, NMOS영역과 PMOS영역을 갖는 반도체 기판(201) 상에 게이트절연막(202)을 형성한다. 여기서, 게이트절연막(202)은 산화막일 수 있다.As shown in FIG. 7A, a gate insulating film 202 is formed on a semiconductor substrate 201 having an NMOS region and a PMOS region. The gate insulating film 202 may be an oxide film.

이어서, 게이트절연막(202) 상에 폴리실리콘층(203)을 형성한다. 여기서, 폴리실리콘층(203)은 언도프트 폴리실리콘(Undoped Poly Silicon) 또는 N형 폴리실리콘일 수 있다.Next, a polysilicon layer 203 is formed on the gate insulating film 202. Here, the polysilicon layer 203 may be undoped polysilicon or N-type polysilicon.

이어서, PMOS영역의 폴리실리콘층(203) 상에 제1감광막패턴(204)을 형성한다. 여기서, 제1감광막패턴(204)은 폴리실리콘층(203) 상에 감광막을 코팅하고 노광 및 현상으로 NMOS영역의 폴리실리콘층(203)이 오픈되도록 패터닝하여 형성할 수 있다.Subsequently, a first photoresist pattern 204 is formed on the polysilicon layer 203 in the PMOS region. The first photoresist layer pattern 204 may be formed by coating a photoresist layer on the polysilicon layer 203 and patterning the polysilicon layer 203 of the NMOS region to open by exposure and development.

이어서, NMOS영역의 폴리실리콘층(203) 상에 N형 불순물을 이온주입하여 N형 폴리실리콘층(203A)을 형성한다. 여기서, N형 불순물은 인(Ph) 또는 비소(As)일 수 있다.Subsequently, an N-type impurity is ion-implanted on the polysilicon layer 203 of the NMOS region to form an N-type polysilicon layer 203A. Here, the N-type impurity may be phosphorus (Ph) or arsenic (As).

도 7b에 도시된 바와 같이, 제1감광막패턴(204)을 제거한다. 여기서, 제1감광막패턴(204)은 산소 스트립으로 제거할 수 있다.As shown in FIG. 7B, the first photoresist pattern 204 is removed. Here, the first photoresist pattern 204 may be removed with an oxygen strip.

이어서, N형 폴리실리콘층(203A) 상에 제2감광막패턴(205)을 형성한다. 여기서, 제2감광막패턴(205)은 N형 폴리실리콘층(203A) 및 폴리실리콘층(203) 상에 감광막을 코팅한 후 노광 및 현상으로 PMOS영역의 폴리실리콘층(203)이 오픈되도록 패터닝하여 형성할 수 있다.Subsequently, a second photosensitive film pattern 205 is formed on the N-type polysilicon layer 203A. Here, the second photoresist pattern 205 is coated on the N-type polysilicon layer 203A and the polysilicon layer 203, and then patterned so that the polysilicon layer 203 of the PMOS region is opened by exposure and development. Can be formed.

이어서, PMOS영역의 폴리실리콘층(203) 상에 P형 불순물을 이온주입하여 P형 폴리실리콘층(203B)을 형성한다. 여기서, P형 불순물은 보론(B)일 수 있다.Subsequently, P-type impurities are ion-implanted on the polysilicon layer 203 in the PMOS region to form the P-type polysilicon layer 203B. Here, the P-type impurity may be boron (B).

도 7c에 도시된 바와 같이, 제2감광막패턴(205)을 제거한다. 제2감광막패턴(205)은 제1감광막패턴(204)과 동일하게 산소 스트립으로 제거할 수 있다.As shown in FIG. 7C, the second photoresist pattern 205 is removed. The second photoresist pattern 205 may be removed using an oxygen strip in the same manner as the first photoresist pattern 204.

이어서, N형 및 P형 폴리실리콘층(203A, 203B) 상에 배리어메탈층(206)을 형성한다. 배리어메탈층(206)은 확산방지(Diffusion Barrier) 역할을 하기 위한 것으로, Ti(티타늄)와 WN(텅스텐질화막)의 적층구조일 수 있다. 즉, 텅스텐과 폴리실 리콘이 직접 접촉하여 후속 열공정 시 실리사이드 반응이 일어나 부피 팽창에 의한 스트레스 반응이 일어나는 것을 방지하여 스트레스에 의한 게이트의 Rc(콘택저항) 값을 낮출 수 있다. 또한, N형 및 P형 폴리실리콘층(203A, 203B)에 도핑된 불순물이 상부층으로 아웃디퓨전(Out Diffusion)되는 것을 방지할 수 있다.Next, the barrier metal layer 206 is formed on the N-type and P-type polysilicon layers 203A and 203B. The barrier metal layer 206 may serve as a diffusion barrier and may have a stacked structure of Ti (titanium) and WN (tungsten nitride). That is, tungsten and polysilicon are in direct contact with each other to prevent silicide reactions in the subsequent thermal process, thereby preventing stress reaction due to volume expansion, thereby lowering the Rc (contact resistance) value of the gate due to stress. In addition, impurities doped into the N-type and P-type polysilicon layers 203A and 203B may be prevented from being out-diffused to the upper layer.

이어서, 배리어메탈층(206) 상에 텅스텐층(207)을 형성한다. 여기서, 텅스텐층(207)은 텅스텐 자체의 비저항값을 낮추기 위해 CVD 또는 ALD으로 형성한다. 즉, CVD 또는 ALD으로 B2H6, WF6 및 NH3 처리(Treatment)에 의한 시드층(Seed-Layer, 비정질상태)을 형성하여 큰 그레인(Large Grain)의 벌크 텅스텐(Bulk W)을 성장시킴으로써 하부의 배리어물질의 종류와 상관없이 텅스텐의 시트저항 값을 낮출 수가 있다. 이러한 텅스텐을 낮은 저항 텅스텐(LRW:Low Resistivity Tungsten)이라고 한다. Next, a tungsten layer 207 is formed on the barrier metal layer 206. Here, the tungsten layer 207 is formed of CVD or ALD to lower the specific resistance of tungsten itself. That is, a large grain of bulk tungsten is grown by forming a seed layer (amorphous state) by B 2 H 6 , WF 6 and NH 3 treatment by CVD or ALD. By doing so, the sheet resistance value of tungsten can be lowered regardless of the kind of lower barrier material. Such tungsten is called low resistance tungsten (LRsten).

도 7d에 도시된 바와 같이, 텅스텐층(207)을 평탄화한다. 여기서, 평탄화는 화학적기계적연마(Chemical Mechanical Polishing) 공정으로 실시할 수 있다.As shown in FIG. 7D, the tungsten layer 207 is planarized. Here, the planarization may be carried out by a chemical mechanical polishing process.

평탄화를 실시함으로써 텅스텐층(207)은 표면에 균일한 두께를 갖는 텅스텐층(207A)이 된다. By planarization, the tungsten layer 207 becomes a tungsten layer 207A having a uniform thickness on the surface.

도 7e에 도시된 바와 같이, 텅스텐층(207A) 상에 마스크패턴(208)을 형성한다. 여기서, 마스크패턴(208)은 텅스텐층(207A) 상에 감광막을 코팅하고 노광 및 현상으로 게이트패턴 영역이 정의되도록 패터닝하여 형성할 수 있다.As shown in FIG. 7E, a mask pattern 208 is formed on the tungsten layer 207A. The mask pattern 208 may be formed by coating a photoresist on the tungsten layer 207A and patterning the gate pattern region to be defined by exposure and development.

도 7f에 도시된 바와 같이, 마스크패턴(208)으로 텅스텐층(207A)을 식각한 다. 여기서, 텅스텐층(207A)은 WF6를 소스가스로 형성하였기 때문에 불소(F)계가스를 이용하여 식각할 수 있다. As shown in FIG. 7F, the tungsten layer 207A is etched with the mask pattern 208. Here, the tungsten layer 207A can be etched using fluorine (F) -based gas because the 텅스텐 F 6 is formed of the source gas.

낮은 저항 텅스텐(LRW)을 형성하기 위해 벌크 텅스텐으로 성장시켜 표면이 불균일한 텅스텐층(207)을 도 7d에서 평탄화 공정을 통해 텅스텐층(207A)을 균일한 표면으로 바꿈으로써 패터닝시 균일한 두께로 식각이 가능하다. 즉, 텅스텐층(207A)의 균일한 표면이 하부 배리어메탈층(206)에 전사되어 배리어메탈층(206) 역시 균일한 표면두께를 갖는다.The tungsten layer 207 having a non-uniform surface by growing with bulk tungsten to form a low resistance tungsten (LRW) is changed to a uniform thickness during patterning by changing the tungsten layer 207A to a uniform surface through the planarization process in FIG. 7D. Etching is possible. That is, the uniform surface of the tungsten layer 207A is transferred to the lower barrier metal layer 206 so that the barrier metal layer 206 also has a uniform surface thickness.

이하, 식각이 완료된 텅스텐층(207A)을 '텅스텐전극(207B)'이라고 한다.Hereinafter, the tungsten layer 207A on which the etching is completed is referred to as 'tungsten electrode 207B'.

이어서, 배리어메탈층(206)을 식각한다. 여기서, 배리어메탈층(206)은 염소(Cl)계가스를 사용하여 식각할 수 있다.Next, the barrier metal layer 206 is etched. Here, the barrier metal layer 206 may be etched using chlorine (Cl) -based gas.

식각이 완료된 배리어메탈층(206)을 '배리어메탈(206A)'이라고 한다.The barrier metal layer 206 which has been etched is referred to as 'barrier metal 206A'.

이어서, N형 및 P형 폴리실리콘층(203A, 203B) 및 게이트절연막(202)을 식각한다. 텅스텐전극(207B) 형성 전에 평탄화된 텅스텐층(207A)을 미리 형성하였기 때문에 균일한 표면이 하부층에 전사되어 N형 및 P형 폴리실리콘층(203A, 203B) 및 게이트절연막(202) 역시 균일한 표면을 갖고 식각이 진행될 수 있다. 따라서, 게이트절연막(202)까지 식각이 완료된 후에 잔류물을 제거하기 위한 과도식각 진행시 기판(201)이 어택(Attack)을 받아서 소자 특성 저하 및 수율이 저하되는 문제점을 방지할 수 있다.Subsequently, the N-type and P-type polysilicon layers 203A and 203B and the gate insulating film 202 are etched. Since the planarized tungsten layer 207A was formed in advance before the formation of the tungsten electrode 207B, a uniform surface was transferred to the lower layer so that the N-type and P-type polysilicon layers 203A, 203B and the gate insulating film 202 were also uniform. The etching can proceed with. Therefore, when the etching process is completed to remove the residue after the etching to the gate insulating film 202, the substrate 201 is attacked to prevent the problem of deterioration of device characteristics and yield.

식각이 완료되는 시점에서 마스크패턴(208)은 모두 제거되거나, 산소스트립 으로 제거할 수 있다.At the time of completion of etching, the mask pattern 208 may be removed or removed using an oxygen strip.

따라서, NMOS의 게이트패턴은 게이트절연막(202A), N형 폴리실리콘전극(203C), 배리어메탈(206A) 및 텅스텐전극(207B)이 적층된 구조 형성되고, PMOS의 게이트패턴은 게이트절연막(202A), P형 폴리실리콘전극(203D), 배리어메탈(206A) 및 텅스텐전극(207B)이 적층된 구조 형성될 수 있다.Accordingly, the gate pattern of the NMOS is formed by stacking the gate insulating film 202A, the N-type polysilicon electrode 203C, the barrier metal 206A, and the tungsten electrode 207B, and the gate pattern of the PMOS is formed by the gate insulating film 202A. , A P-type polysilicon electrode 203D, a barrier metal 206A, and a tungsten electrode 207B may be stacked.

본 발명은 CVD 또는 ALD공정으로 벌크 텅스텐을 형성함으로써 비저항 값이 낮은 텅스텐층(105)을 형성하고, 이로 인해 표면이 불균일한 텅스텐층(105)을 평탄화 공정을 통해 균일한 표면의 텅스텐층(105A)으로 바꿈으로써 게이트패턴 식각시 텅스텐층(105A)의 균일한 표면이 전사되어 폴리실리콘층(103) 및 게이트절연막(102)까지 식각이 완료된 후에 잔류물을 제거하기 위한 과도식각 진행시 기판(101)이 어택(Attack)을 받아서 소자 특성 저하 및 수율이 저하되는 문제점을 방지할 수 있는 장점이 있다.The present invention forms a tungsten layer 105 having a low resistivity value by forming bulk tungsten by CVD or ALD process, thereby tungsten layer 105A having a uniform surface by planarizing the tungsten layer 105 having an uneven surface. When the gate pattern is etched, the uniform surface of the tungsten layer 105A is transferred to the polysilicon layer 103 and the gate insulating layer 102 to complete the etching process. ) Has an advantage of preventing the problem of deterioration of device characteristics and yield due to attack.

본 발명의 기술 사상은 상기 바람직한 실시예에 따라 구체적으로 기술되었으나, 상기한 실시예는 그 설명을 위한 것이며 그 제한을 위한 것이 아님을 주의하여야 한다. 또한, 본 발명의 기술 분야의 통상의 전문가라면 본 발명의 기술 사상의 범위 내에서 다양한 실시예가 가능함을 이해할 수 있을 것이다.Although the technical idea of the present invention has been described in detail according to the above preferred embodiment, it should be noted that the above-described embodiment is for the purpose of description and not of limitation. In addition, those skilled in the art will understand that various embodiments are possible within the scope of the technical idea of the present invention.

상술한 본 발명은 비저항이 낮으면서도 표면이 균일한 텅스텐을 형성함으로 써 게이트패턴 형성시 기판이 어택되는 것을 방지함으로써 디바이스 특성 개선 및 수율을 향상시킬 수 있는 효과가 있다. The present invention described above has the effect of improving the device characteristics and yield by preventing the substrate is attacked when forming the gate pattern by forming a tungsten uniform surface while having a low specific resistance.

Claims (16)

기판 상부에 폴리실리콘층을 형성하는 단계;Forming a polysilicon layer on the substrate; 상기 폴리실리콘층 상에 텅스텐층을 형성하는 단계;Forming a tungsten layer on the polysilicon layer; 상기 텅스텐층을 평탄화시키는 단계;Planarizing the tungsten layer; 상기 텅스텐층 상에 마스크패턴을 형성하는 단계;Forming a mask pattern on the tungsten layer; 상기 텅스텐층을 식각하는 단계; 및Etching the tungsten layer; And 상기 폴리실리콘층을 식각하는 단계Etching the polysilicon layer 를 포함하는 반도체 소자의 제조방법.Method of manufacturing a semiconductor device comprising a. 제1항에 있어서,The method of claim 1, 상기 텅스텐층은 CVD(Chemical Vapor Deposition) 또는 ALD(Atomic Layer Deposition)방법으로 형성하는 것을 특징으로 하는 반도체 소자의 제조방법.The tungsten layer is a method of manufacturing a semiconductor device, characterized in that formed by CVD (Chemical Vapor Deposition) or ALD (Atomic Layer Deposition) method. 제2항에 있어서,The method of claim 2, 상기 텅스텐층은 B2H6, WF6 및 NH3 처리에 의한 시드층(Seed Layer)을 형성하여 성장시켜서 형성하는 것을 특징으로 하는 반도체 소자의 제조방법.The tungsten layer is formed by forming and growing a seed layer by B 2 H 6 , WF 6 and NH 3 treatment. 제1항에 있어서,The method of claim 1, 상기 텅스텐층을 평탄화시키는 단계는,The planarizing of the tungsten layer may include 화학적기계적연마(Chemical Mechanical Polishing) 공정으로 진행하는 것을 특징으로 하는 반도체 소자의 제조방법.A method of manufacturing a semiconductor device, characterized in that it proceeds by chemical mechanical polishing (Chemical Mechanical Polishing) process. 제1항에 있어서,The method of claim 1, 상기 텅스텐층을 식각하는 단계는,Etching the tungsten layer, 불소계 가스를 사용하여 실시하는 것을 특징으로 하는 반도체 소자의 제조방법.A method of manufacturing a semiconductor device, characterized in that the fluorine-based gas is used. 제1항에 있어서,The method of claim 1, 상기 텅스텐층을 형성하는 단계 전에,Before forming the tungsten layer, 배리어메탈층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 제조방법.A method of manufacturing a semiconductor device, further comprising the step of forming a barrier metal layer. 제6항에 있어서,The method of claim 6, 상기 배리어메탈층은 Ti와 WN의 적층구조인 것을 특징으로 하는 반도체 소자의 제조방법.The barrier metal layer is a semiconductor device manufacturing method characterized in that the laminated structure of Ti and WN. NMOS영역과 PMOS영역을 갖는 기판 상부에 상기 NMOS영역에는 N형 폴리실리콘층을 상기 PMOS영역에는 P형 폴리실리콘층을 각각 형성하는 단계;Forming an N-type polysilicon layer in the NMOS region and a P-type polysilicon layer in the PMOS region, respectively, on the substrate having an NMOS region and a PMOS region; 상기 N형 및 P형 폴리실리콘층 상에 배리어메탈층을 형성하는 단계;Forming a barrier metal layer on the N-type and P-type polysilicon layers; 상기 배리어메탈층 상에 텅스텐층을 형성하는 단계;Forming a tungsten layer on the barrier metal layer; 상기 텅스텐층을 평탄화시키는 단계;Planarizing the tungsten layer; 상기 텅스텐층 상에 마스크패턴을 형성하는 단계;Forming a mask pattern on the tungsten layer; 상기 텅스텐층을 식각하는 단계; 및Etching the tungsten layer; And 상기 N형 및 P형 폴리실리콘층을 식각하는 단계Etching the N-type and P-type polysilicon layers 를 포함하는 듀얼 폴리 게이트를 갖는 반도체 소자의 제조방법.Method of manufacturing a semiconductor device having a dual poly gate comprising a. 제8항에 있어서,The method of claim 8, 상기 텅스텐층은 CVD(Chemical Vapor Deposition) 또는 ALD(Atomic Layer Deposition)방법으로 형성하는 것을 특징으로 하는 듀얼 폴리 게이트를 갖는 반도체 소자의 제조방법.The tungsten layer is a semiconductor device manufacturing method having a dual poly gate, characterized in that formed by CVD (Chemical Vapor Deposition) or ALD (Atomic Layer Deposition) method. 제9항에 있어서,The method of claim 9, 상기 텅스텐층은 B2H6, WF6 및 NH3 처리에 의한 시드층(Seed Layer)을 형성하여 성장시켜서 형성하는 것을 특징으로 하는 듀얼 폴리 게이트를 갖는 반도체 소자의 제조방법.The method of producing a semiconductor device having a dual gate poly that the tungsten layer is characterized in that it is formed by growing and forming a seed layer (Seed Layer) due to the B 2 H 6, WF 6 and NH 3 treatment. 제8항에 있어서,The method of claim 8, 상기 텅스텐층을 평탄화시키는 단계는,The planarizing of the tungsten layer may include 화학적기계적연마공정으로 진행하는 것을 특징으로 하는 반도체 소자의 제조방법.A method for manufacturing a semiconductor device, characterized in that it proceeds with a chemical mechanical polishing process. 제8항에 있어서,The method of claim 8, 상기 텅스텐층을 식각하는 단계는,Etching the tungsten layer, 불소계 가스를 사용하여 실시하는 것을 특징으로 하는 듀얼 폴리 게이트를 갖는 반도체 소자의 제조방법.A method for manufacturing a semiconductor device having a dual poly gate, characterized in that the fluorine-based gas is used. 제8항에 있어서,The method of claim 8, 상기 식각정지층을 형성하는 단계 전에,Before forming the etch stop layer, 배리어메탈층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 듀얼 폴리 게이트를 갖는 반도체 소자의 제조방법.A method of manufacturing a semiconductor device having a dual poly gate, further comprising forming a barrier metal layer. 제13항에 있어서,The method of claim 13, 상기 배리어메탈층은 Ti와 WN의 적층구조인 것을 특징으로 하는 듀얼 폴리 게이트를 갖는 반도체 소자의 제조방법.The barrier metal layer is a semiconductor device manufacturing method having a dual poly gate, characterized in that the laminated structure of Ti and WN. 제8항에 있어서,The method of claim 8, 상기 N형 및 P형 폴리실리콘층을 형성하는 단계는,Forming the N-type and P-type polysilicon layers, NMOS영역과 PMOS영역을 갖는 기판 상부에 게이트절연막을 형성하는 단계;Forming a gate insulating film over the substrate having an NMOS region and a PMOS region; 상기 게이트절연막 상에 폴리실리콘층을 형성하는 단계;Forming a polysilicon layer on the gate insulating film; 상기 PMOS영역의 폴리실리콘층 상에 제1감광막패턴을 형성하는 단계;Forming a first photoresist pattern on the polysilicon layer of the PMOS region; 상기 NMOS영역의 폴리실리콘층에 N형 불순물을 이온주입하는 단계;Implanting N-type impurities into the polysilicon layer of the NMOS region; 상기 제1감광막패턴을 제거하는 단계;Removing the first photoresist pattern; 상기 NMOS영역의 폴리실리콘층 상에 제2감광막패턴을 형성하는 단계;Forming a second photoresist pattern on the polysilicon layer of the NMOS region; 상기 PMOS영역의 폴리실리콘층 상에 P형 불순물을 이온주입하는 단계; 및Implanting P-type impurities into the polysilicon layer of the PMOS region; And 상기 제2감광막패턴을 제거하는 단계Removing the second photoresist pattern 를 포함하는 것을 특징으로 하는 듀얼 폴리 게이트를 갖는 반도체 소자의 제조방법.Method of manufacturing a semiconductor device having a dual poly gate, characterized in that it comprises a. 제15항에 있어서,The method of claim 15, 상기 N형 불순물은 인(Ph) 또는 비소(As)이고, 상기 P형 불순물은 보론(B)인 것을 특징으로 하는 듀얼 폴리 게이트를 갖는 반도체 소자의 제조방법.The N-type impurity is phosphorus (Ph) or arsenic (As), and the P-type impurity is boron (B).
KR1020070032285A 2007-04-02 2007-04-02 Method for fabricating semiconductor device KR20080089745A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020070032285A KR20080089745A (en) 2007-04-02 2007-04-02 Method for fabricating semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070032285A KR20080089745A (en) 2007-04-02 2007-04-02 Method for fabricating semiconductor device

Publications (1)

Publication Number Publication Date
KR20080089745A true KR20080089745A (en) 2008-10-08

Family

ID=40151187

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070032285A KR20080089745A (en) 2007-04-02 2007-04-02 Method for fabricating semiconductor device

Country Status (1)

Country Link
KR (1) KR20080089745A (en)

Similar Documents

Publication Publication Date Title
KR100618895B1 (en) Semiconductor device having polymetal gate electrode and method for manufacturing the saem
US7560379B2 (en) Semiconductive device fabricated using a raised layer to silicide the gate
JP5090173B2 (en) Method of manufacturing a semiconductor device having a high dielectric constant gate dielectric layer and a silicide gate electrode
US8440560B2 (en) Method for fabricating tungsten line and method for fabricating gate of semiconductor device using the same
US8008178B2 (en) Method for fabricating semiconductor device with an intermediate stack structure
KR19990027358A (en) Manufacturing method of semiconductor device
US20140061806A1 (en) Semiconductor device and method for fabricating the same
JP4239188B2 (en) Method for manufacturing MOSFET element
JP2009026997A (en) Semiconductor device, and manufacturing method thereof
KR100942961B1 (en) Method for fabricating semiconductor device with columnar polysilicon gate electrode
KR100456314B1 (en) Method for forming gate electrode in semiconductor deivce
KR100940264B1 (en) Method for manufacturing dual gate in semiconductor device
JP2006156807A (en) Semiconductor device and its manufacturing method
JP2009117621A (en) Semiconductor device and manufacturing method thereof
US7709349B2 (en) Semiconductor device manufactured using a gate silicidation involving a disposable chemical/mechanical polishing stop layer
KR20080109218A (en) Method for fabricating semiconductor device with dual metal gate
US20080224208A1 (en) Semiconductor device and method for fabricating the same
TWI509702B (en) Metal gate transistor and method for fabricating the same
KR100863519B1 (en) Semiconductor device and method for fabricating the same
KR101062835B1 (en) Method for manufacturing gate electrode of semiconductor device using double hard mask
KR20080089745A (en) Method for fabricating semiconductor device
US20090085131A1 (en) Semiconductor device and manufacturing method thereof
JP2009253003A (en) Method of manufacturing field-effect transistor
KR20050067451A (en) Semiconductor device and fabricating method thereof
KR20080008797A (en) Method of fabricating in semiconductor device

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination