KR20070122049A - Forming method of fine pattern using double exposure process - Google Patents

Forming method of fine pattern using double exposure process Download PDF

Info

Publication number
KR20070122049A
KR20070122049A KR1020060057022A KR20060057022A KR20070122049A KR 20070122049 A KR20070122049 A KR 20070122049A KR 1020060057022 A KR1020060057022 A KR 1020060057022A KR 20060057022 A KR20060057022 A KR 20060057022A KR 20070122049 A KR20070122049 A KR 20070122049A
Authority
KR
South Korea
Prior art keywords
photoresist
pattern
photoresist pattern
layer
etched
Prior art date
Application number
KR1020060057022A
Other languages
Korean (ko)
Inventor
임창문
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020060057022A priority Critical patent/KR20070122049A/en
Publication of KR20070122049A publication Critical patent/KR20070122049A/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature

Abstract

A method for forming a fine pattern using a dual exposure process is provided to form a fine pattern not more than a resolution limit of exposure equipment even if an etch process is performed only once in a double patterning process by forming a thin insoluble layer on a first photoresist pattern and by performing a second lithography process. After first photoresist is coated on a layer(110) to be etched, a lithography process is performed to form a first photoresist pattern. A material capable of being crosslinkable with photoresist polymer by acid is coated on the front surface of the first photoresist. The resultant structure is baked and developed to form a second photoresist pattern(126). After second photoresist is coated on the front surface of the resultant structure, a lithography process is performed to form a third photoresist pattern between the second photoresist patterns. The layer to be etched is etched to form an etch layer pattern by using the second and third photoresist patterns as an etch mask. The line width of the second and the third photoresist patterns can be the same.

Description

이중 노광 공정을 이용한 미세 패턴 형성방법{Forming method of fine pattern using double exposure process}Forming method of fine pattern using double exposure process}

도 1a 내지 도 1g는 종래의 이중 노광 공정을 이용한 패턴 형성방법을 나타낸 공정 단면도이다.1A to 1G are cross-sectional views illustrating a method of forming a pattern using a conventional double exposure process.

도 2a 내지 도 2i는 본 발명의 이중 노광 공정을 이용한 패턴 형성방법을 나타낸 공정 단면도이다.2A to 2I are cross-sectional views illustrating a pattern forming method using the double exposure process of the present invention.

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for the main parts of the drawings>

100: 반도체 기판 10, 110: 피식각층100: semiconductor substrate 10, 110: etched layer

12: 제1 피식각층 패턴 14, 114: 제2 피식각층 패턴12: first etched layer pattern 14, 114: second etched layer pattern

20, 120: 제1 포토레지스트 패턴 30, 130: 제2 포토레지스트 층20, 120: first photoresist pattern 30, 130: second photoresist layer

32, 126: 제2 포토레지스트 패턴 116: 포토레지스트 층32, 126: second photoresist pattern 116: photoresist layer

118: 제1 노광 마스크 122: RELACS 물질118: first exposure mask 122: RELACS material

124: 가교 영역 128: 제2 노광 마스크124: crosslinked region 128: second exposure mask

132: 제3 포토레지스트 패턴 140: 핫 플레이트132: third photoresist pattern 140: hot plate

본 발명은 이중 노광 공정을 이용한 미세 패턴 형성방법에 관한 것으로, 더욱 상세하게는 1차 포토레지스트 패턴 위에 얇은 불용층을 형성한 후 두 번째 리소그라피 공정을 진행함으로써 이중 패터닝 방법에서 식각 공정을 한 번만 수행하여도 노광 장비의 한계 해상도 이하의 미세 패턴을 형성할 수 있는 방법에 관한 것이다.The present invention relates to a method of forming a fine pattern using a double exposure process, and more particularly, by forming a thin insoluble layer on the first photoresist pattern and then performing a second lithography process to perform the etching process only once in the double patterning method. Even if the fine pattern below the limit resolution of the exposure equipment can be formed.

리소그라피 공정은, 하기 식에서 나타내는 바와 같이 이용하는 노광 장비에서 사용하는 광원의 파장 (λ)과 개구수 (Numerical Aperture; NA)에 따라 그 해상도 (R)가 정해진다.In the lithography process, the resolution (R) is determined according to the wavelength (lambda) and numerical aperture (NA) of the light source used by the exposure equipment used, as shown by the following formula.

R = k1·λ/NAR = k1λ / NA

상기 식에서 k1은 공정 상수를 의미하는데, 이는 0.25라는 물리적인 한계를 가지므로 그 이하의 공정은 통상적인 방법으로는 불가능하다.In the above formula, k1 means a process constant, which has a physical limit of 0.25, so a process below it is not possible with conventional methods.

이에 따라 두 번의 리소그라피와 에치 공정을 통해 해상 한계를 확장하는 이중 노광 공정을 사용하고 있는데, 이하, 종래의 이중 노광 공정을 이용한 패턴 형성방법에 대하여 도 1a 내지 도 1g를 참조하여 설명하기로 한다.Accordingly, a double exposure process that extends the resolution limit through two lithography and etch processes is used. Hereinafter, a pattern forming method using a conventional double exposure process will be described with reference to FIGS. 1A to 1G.

도 1a 내지 도 1g의 과정은 예를 들어 50nm의 패턴을 형성하기 위하여 100nm 노광 마스크를 두 번 사용하는 이중 노광 공정을 도시하고 있다.The process of FIGS. 1A-1G illustrates a double exposure process using, for example, a 100 nm exposure mask twice to form a 50 nm pattern.

우선, 피식각층 (10) 상부에 포토레지스트 층을 도포한 다음 소정의 리소그라피 공정을 수행하여 제1 포토레지스트 패턴 (20)을 형성하고 (도 1a 참조), 형성된 제1 포토레지스트 패턴 (20)을 식각 마스크로 이용하여 제1 피식각층을 식각하여 패턴 (12)을 형성한다 (도 1b 참조).First, a photoresist layer is applied on the etched layer 10, and then, a predetermined lithography process is performed to form the first photoresist pattern 20 (see FIG. 1A), and the formed first photoresist pattern 20 is formed. The first etching layer is etched using the etching mask to form the pattern 12 (see FIG. 1B).

다음, 제1 포토레지스트 패턴 (20)을 제거하고 (도 1c 참조), 제1 피식각층 패턴 (12) 전면에 제2 포토레지스트층 (30)을 도포한다 (도 1d 참조).Next, the first photoresist pattern 20 is removed (see FIG. 1C), and the second photoresist layer 30 is applied to the entire surface of the first etched layer pattern 12 (see FIG. 1D).

여기에 소정의 리소그라피 공정을 수행하여 제2 포토레지스트 패턴 (32)을 형성 (도 1e 참조)하고, 형성된 제2 포토레지스트 패턴 (32)을 식각 마스크로 이용하여 제1 피식각층 패턴 (12)을 식각하여 상기 제1 피식각층 패턴 (12)보다 작은 선폭을 갖는 제2 피식각층 패턴 (14)을 형성한 다음 (도 1f 참조), 제2 포토레지스트 패턴 (32)을 제거한다 (도 1g 참조).The second photoresist pattern 32 is formed (see FIG. 1E) by performing a predetermined lithography process, and the first etched layer pattern 12 is formed using the formed second photoresist pattern 32 as an etching mask. Etching forms a second etched pattern 14 having a line width smaller than the first etched layer pattern 12 (see FIG. 1F), and then removes the second photoresist pattern 32 (see FIG. 1G). .

이중 노광을 이용한 이중 패터닝 공정은 리소그라피 장비의 해상 한계 이하의 미세 패턴을 형성하기 위하여 이용되는 방법으로, 통상적으로 두 번의 리소그라피 공정과 두 번의 식각 공정을 수반한다. 식각 공정 없이 단순히 두 번의 리소그라피 공정을 진행하는 경우에는 노광된 빛 에너지가 포토레지스트에 집적되어 해상 한계 이하의 미세 패턴을 형성할 수 없다.The double patterning process using double exposure is a method used to form fine patterns below the resolution limit of lithography equipment, and typically involves two lithography processes and two etching processes. In the case of simply performing two lithography processes without an etching process, the exposed light energy may be integrated in the photoresist to form a fine pattern below the resolution limit.

즉, 상기와 같은 이중 노광 공정은 노광 장비의 해상 한계 이하의 미세 패턴을 형성할 수 있지만, 식각 공정이 두 번이나 수반되는 단점이 있다. 식각 공정이 두 번 수행될 경우, 별도의 장비가 필요하고, 공정 단가가 높아지며 공정 소요 시간도 증가한다. 또한 두 번의 식각을 위해서는 한 층 이상의 하드마스크 층이 더 도포되어야 하므로 증착 장비도 더 필요하게 되므로 여러 면에서 비경제적이다.That is, the double exposure process as described above may form a fine pattern below the resolution limit of the exposure equipment, but the disadvantage is that the etching process is accompanied twice. If the etching process is performed twice, separate equipment is required, process costs are increased, and the process time is increased. In addition, since two or more layers of hard masks need to be applied for two etchings, additional deposition equipment is required.

본 발명의 목적은 이중 패터닝 공정에서 식각 공정을 한 번만 수행하여도 노광 장비의 한계 해상도 이하의 미세 패턴을 형성할 수 있는 방법을 제공하는 것이 다.An object of the present invention is to provide a method that can form a fine pattern of less than the limit resolution of the exposure equipment even if only one etching process in the double patterning process.

상기 목적을 달성하기 위하여, 본 발명에서는 1차로 형성된 포토레지스트 패턴 위에 얇은 불용층을 형성한 후 두 번째 리소그라피 공정을 진행함으로써 이중 패터닝 방법에서 식각 공정을 한 번만 수행하여도 노광 장비의 한계 해상도 이하의 미세 패턴을 형성할 수 있는 방법을 제공한다.In order to achieve the above object, in the present invention, by forming a thin insoluble layer on the first formed photoresist pattern and then proceeding a second lithography process, even if the etching process is performed only once in the double patterning method, it is less than the limit resolution of the exposure apparatus. Provided are methods for forming a fine pattern.

본 발명에서는 피식각층 상부에 제1 포토레지스트를 도포한 후 리소그라피 공정을 진행하여 제1 포토레지스트 패턴을 형성하는 단계와;In the present invention, after applying the first photoresist on the etched layer to form a first photoresist pattern by performing a lithography process;

상기 제1 포토레지스트 패턴 전면에, 산에 의해 포토레지스트 중합체와 가교 가능한 물질을 도포하는 단계와;Applying a material crosslinkable with the photoresist polymer by an acid on the entire surface of the first photoresist pattern;

상기 결과물을 베이크 한 후 현상하여 제2 포토레지스트 패턴을 형성하는 단계와;Baking the resultant to develop a second photoresist pattern;

상기 결과물 전면에 제2 포토레지스트를 도포한 후 리소그라피 공정을 진행하여 상기 제2 포토레지스트 패턴 사이에 제3 포토레지스트 패턴을 형성하는 단계와;Forming a third photoresist pattern between the second photoresist pattern by applying a second photoresist to the entire surface of the resultant and then performing a lithography process;

상기 제2 포토레지스트 패턴 및 제3 포토레지스트 패턴을 식각 마스크로 상기 피식각층을 식각하여 피식각층 패턴을 형성하는 단계를 포함하는 반도체 소자 제조방법을 제공한다.And etching the etched layer using the second photoresist pattern and the third photoresist pattern as an etch mask to form an etched layer pattern.

상기 산에 의해 포토레지스트 중합체와 가교 가능한 물질로는 RELACS® 물질 을 사용할 수 있다.RELACS ® material may be used as the material capable of crosslinking with the photoresist polymer by the acid.

상기 공정에서 제2 포토레지스트 패턴과 제3 포토레지스트 패턴 사이의 스페이스 폭이 동일하도록 형성한다.In the process, the space width between the second photoresist pattern and the third photoresist pattern is formed to be the same.

이때 제2 포토레지스트 라인 폭과 제3 포토레지스트 라인 폭이 동일한 경우에는 첫번째 리소그라피 공정에서 사용한 것과 동일한 노광 마스크를 이동 (shift) 하여 두번째 리소그라피 공정에도 사용할 수 있으며, 제2 포토레지스트 라인 폭과 제3 포토레지스트 라인 폭이 동일하지 않을 경우에는 첫번째 노광과 두번째 노광시 서로 다른 노광 마스크를 사용하여 제2 포토레지스트 패턴과 제3 포토레지스트 패턴 사이의 스페이스 폭이 동일하도록 조절한다.In this case, when the second photoresist line width and the third photoresist line width are the same, the same exposure mask used in the first lithography process may be shifted and used for the second lithography process, and the second photoresist line width and the third photoresist line width may be used. If the photoresist line widths are not the same, different exposure masks are used in the first and second exposures to adjust the space width between the second photoresist pattern and the third photoresist pattern to be the same.

이하, 본 발명의 이중 노광 공정을 이용한 패턴 형성방법에 대하여 도 2a 내지 도 2i를 참조하여 설명한다.Hereinafter, the pattern formation method using the double exposure process of this invention is demonstrated with reference to FIGS. 2A-2I.

우선, 반도체 기판 (100) 상부에 피식각층 (110) 및 제1 포토레지스트층 (116)을 순차적으로 형성한 다음 (도 2a 참조), 제1 노광 마스크 (118)를 이용하여 1차 노광한다 (도 2b 참조). 1차 노광후 현상하여 제1 포토레지스트 패턴 (120)을 형성하는데, 이때 제1 포토레지스트 패턴 (120) 가장자리에는 노광에 의해 발생된 여분의 산이 존재하게 된다 (도 2c 참조).First, the etching target layer 110 and the first photoresist layer 116 are sequentially formed on the semiconductor substrate 100 (see FIG. 2A), and then subjected to primary exposure using the first exposure mask 118 ( 2b). The first postresist pattern 120 is developed to form a first photoresist pattern 120, at which edge of the first photoresist pattern 120 exists an excess acid generated by exposure (see FIG. 2C).

상기 제1 포토레지스트 패턴 (120) 전면에 RELACS® 물질 (122)을 도포한 다음 (도 2d 참조), 핫 플레이트 (140)를 이용하여 베이크 하면 제1 포토레지스트 패턴 (120) 가장자리에 존재하는 산에 의해 RELACS® 물질과 포토레지스트 중합체 사 이에 가교 결합이 형성된다 (도 2e 참조).After applying the RELACS ® material 122 to the entire surface of the first photoresist pattern 120 (see FIG. 2D), and baking using the hot plate 140, an acid present at the edge of the first photoresist pattern 120 may be formed. the RELACS ® material and a photoresist polymer used in the crosslinking is formed by (see Fig. 2e).

RELACS (Resolution Enhancement Lithography Assisted by Chemical Shrink) 물질이란 클라리언트 (Clariant)사에서 라이선스를 가지고 상품화하고 있는 물질로서, 주로 콘택홀의 크기를 축소시키는 공정에 사용되고 있다 (Laura J. Peters, "Resist Join the Sub-λ Revolution", Semiconductor International, Sep. 1999; Toshiyuki Toyoshima, "0.1㎛ Level contact hole pattern formation with KrF lithography by Resist Enhancement Lithography Assisted by Chemical Shrink", IEEE, 1998).RELACS (Resolution Enhancement Lithography Assisted by Chemical Shrink) is a commercially available material licensed by Clariant Inc. and is mainly used to reduce the size of contact holes (Laura J. Peters, "Resist Join the Sub- λ Revolution, Semiconductor International, Sep. 1999; Toshiyuki Toyoshima, “0.1 μm Level contact hole pattern formation with KrF lithography by Resist Enhancement Lithography Assisted by Chemical Shrink”, IEEE, 1998).

다음, 상기 RELACS® 물질 (122)을 제거하면 제1 포토레지스트 패턴 (120) 가장자리에 가교 결합에 의한 불용층 (124)이 형성된 제2 포토레지스트 패턴 (126)이 형성된다 (도 2f 참조).Next, the RELACS ® material 122 is removed to form a second photoresist pattern 126 having an insoluble layer 124 formed by crosslinking at the edge of the first photoresist pattern 120 (see FIG. 2F).

즉, 1차로 형성된 포토레지스트 패턴 위에 RELACS® 물질을 도포한 후 가열하면 포토레지스트 패턴 가장자리에서 RELACS® 물질과 포토레지스트 중합체 사이에 가교 결합이 형성되어 패턴의 선폭이 증가하여 콘택홀의 크기가 축소하게 되는데, 본 발명에서는 제1 포토레지스트 패턴 위에 제2 노광 공정에 영향을 받지 않는 불용층을 형성할 목적으로 RELACS® 물질을 이용한다.In other words, when the RELACS ® material is applied on the first formed photoresist pattern and heated, a crosslink is formed between the RELACS ® material and the photoresist polymer at the edge of the photoresist pattern, thereby increasing the line width of the pattern and reducing the size of the contact hole. In the present invention, a RELACS ® material is used for the purpose of forming an insoluble layer on the first photoresist pattern that is not affected by the second exposure process.

제2 포토레지스트 패턴 (126) 전면에 제2 포토레지스트층 (130)을 도포한 다음 제2 노광 마스크 (128)를 이용하여 노광 공정을 수행하되, 제2 포토레지스트 패 턴과 겹치지 않도록 조절한다 (도 2g 참조).After the second photoresist layer 130 is coated on the entire surface of the second photoresist pattern 126, the exposure process is performed using the second exposure mask 128, but is adjusted so as not to overlap the second photoresist pattern ( 2g).

상기 결과물을 현상하면 제2 포토레지스트 패턴 (126)과 제3 포토레지스트 패턴 (132)이 교대로 형성된다 (도 2h 참조). 이렇게 형성된 제2 포토레지스트 패턴 (126)과 제3 포토레지스트 패턴 (132)을 식각 마스크로 피식각층 (110)을 식각하여 피식각층 패턴 (114)을 얻는다 (도 2i 참조).When the resultant is developed, the second photoresist pattern 126 and the third photoresist pattern 132 are alternately formed (see FIG. 2H). The etched layer 110 is etched using the second photoresist pattern 126 and the third photoresist pattern 132 thus formed as an etch mask (see FIG. 2I).

전술한 바와 같이, 본 발명에서는 상기 제2 포토레지스트 패턴 (126)에 형성되어 있는 불용층 (124)이 제2 노광 공정 및 현상 공정에 의한 영향을 받지 않으므로 1차 노광 공정 후 식각 공정 없이도 바로 2차 노광 공정을 수행할 수 있게 된다.As described above, since the insoluble layer 124 formed on the second photoresist pattern 126 is not affected by the second exposure process and the development process, immediately after the first exposure process, no etching process is performed. The differential exposure process can be performed.

본 발명의 바람직한 실시예는 예시의 목적을 위한 것으로, 당업자라면 첨부된 특허청구범위의 기술적 사상과 범위를 통해 다양한 수정, 변경, 대체 및 부가가 가능할 것이며, 이러한 수정 변경 등은 이하의 특허청구범위에 속하는 것으로 보아야 할 것이다.Preferred embodiments of the present invention are for the purpose of illustration, and those skilled in the art will be able to make various modifications, changes, substitutions and additions through the spirit and scope of the appended claims, and such modifications may be made by the following claims. Should be seen as belonging to.

이상에서 살펴본 바와 같이, 본 발명에서는 1차 포토레지스트 패턴 위에 얇은 불용층을 형성한 후 두 번째 리소그라피 공정을 진행함으로써 이중 패터닝 방법에서 식각 공정을 한 번만 수행하여도 노광 장비의 한계 해상도 이하의 미세 패턴을 형성할 수 있는 효율적인 방법을 제공한다.As described above, in the present invention, a thin insoluble layer is formed on the first photoresist pattern, and then a second lithography process is performed to perform the second lithography process, even if the etching process is performed only once in the double patterning method. It provides an efficient way to form a.

Claims (4)

피식각층 상부에 제1 포토레지스트를 도포한 후 리소그라피 공정을 진행하여 제1 포토레지스트 패턴을 형성하는 단계와;Applying a first photoresist on the etched layer and then performing a lithography process to form a first photoresist pattern; 상기 제1 포토레지스트 패턴 전면에, 산에 의해 포토레지스트 중합체와 가교 가능한 물질을 도포하는 단계와;Applying a material crosslinkable with the photoresist polymer by an acid on the entire surface of the first photoresist pattern; 상기 결과물을 베이크 한 후 현상하여 제2 포토레지스트 패턴을 형성하는 단계와;Baking the resultant to develop a second photoresist pattern; 상기 결과물 전면에 제2 포토레지스트를 도포한 후 리소그라피 공정을 진행하여 상기 제2 포토레지스트 패턴 사이에 제3 포토레지스트 패턴을 형성하는 단계와;Forming a third photoresist pattern between the second photoresist pattern by applying a second photoresist to the entire surface of the resultant and then performing a lithography process; 상기 제2 포토레지스트 패턴 및 제3 포토레지스트 패턴을 식각 마스크로 상기 피식각층을 식각하여 피식각층 패턴을 형성하는 단계를 포함하는 반도체 소자 제조방법.And etching the etched layer using the second photoresist pattern and the third photoresist pattern as an etch mask to form an etched layer pattern. 제1항에 있어서,The method of claim 1, 상기 산에 의해 포토레지스트 중합체와 가교 가능한 물질은 RELACS® 물질인 반도체 소자 제조방법.The material capable of crosslinking with the photoresist polymer by the acid is a RELACS ® material. 제1항에 있어서,The method of claim 1, 상기 제2 포토레지스트 패턴과 제3 포토레지스트 패턴 사이의 스페이스 폭이 동일한 것을 특징으로 하는 반도체 소자 제조방법.And a space width between the second photoresist pattern and the third photoresist pattern is the same. 제1항에 있어서,The method of claim 1, 상기 제2 포토레지스트 패턴의 라인 폭과 제3 포토레지스트 패턴의 라인 폭이 동일한 것을 특징으로 하는 반도체 소자 제조방법.The line width of the second photoresist pattern and the line width of the third photoresist pattern is the same.
KR1020060057022A 2006-06-23 2006-06-23 Forming method of fine pattern using double exposure process KR20070122049A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020060057022A KR20070122049A (en) 2006-06-23 2006-06-23 Forming method of fine pattern using double exposure process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060057022A KR20070122049A (en) 2006-06-23 2006-06-23 Forming method of fine pattern using double exposure process

Publications (1)

Publication Number Publication Date
KR20070122049A true KR20070122049A (en) 2007-12-28

Family

ID=39139102

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060057022A KR20070122049A (en) 2006-06-23 2006-06-23 Forming method of fine pattern using double exposure process

Country Status (1)

Country Link
KR (1) KR20070122049A (en)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009126490A1 (en) * 2008-04-11 2009-10-15 Sandisk 3D Llc Double patterning method
WO2009126491A1 (en) * 2008-04-11 2009-10-15 Sandisk 3D Llc Double patterning method
US7759201B2 (en) 2007-12-17 2010-07-20 Sandisk 3D Llc Method for fabricating pitch-doubling pillar structures
US7786015B2 (en) 2008-04-28 2010-08-31 Sandisk 3D Llc Method for fabricating self-aligned complementary pillar structures and wiring
WO2010110987A3 (en) * 2009-03-23 2011-01-06 Micron Technlology, Inc. Methods of forming patterns on substrates
WO2011052954A3 (en) * 2009-10-27 2011-09-22 주식회사 동진쎄미켐 Composition for cleaning photoresist pattern and forming protective film
US8026178B2 (en) 2010-01-12 2011-09-27 Sandisk 3D Llc Patterning method for high density pillar structures
CN102201350A (en) * 2010-03-22 2011-09-28 中芯国际集成电路制造(上海)有限公司 Manufacture method for semiconductor device
US8846517B2 (en) 2012-07-06 2014-09-30 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US8901700B2 (en) 2008-05-05 2014-12-02 Micron Technology, Inc. Semiconductor structures
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US9153458B2 (en) 2011-05-05 2015-10-06 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US9653315B2 (en) 2008-12-04 2017-05-16 Micron Technology, Inc. Methods of fabricating substrates
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
CN114035407A (en) * 2021-11-01 2022-02-11 中国科学院微电子研究所 Electron beam exposure method and device for forming inverted T-shaped structure and electronic equipment

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10607844B2 (en) 2006-07-10 2020-03-31 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US11335563B2 (en) 2006-07-10 2022-05-17 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US11935756B2 (en) 2006-07-10 2024-03-19 Lodestar Licensing Group Llc Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US9761457B2 (en) 2006-07-10 2017-09-12 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US9305782B2 (en) 2006-07-10 2016-04-05 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7759201B2 (en) 2007-12-17 2010-07-20 Sandisk 3D Llc Method for fabricating pitch-doubling pillar structures
US8178286B2 (en) 2008-04-11 2012-05-15 Sandisk 3D Llc Double patterning method
US7713818B2 (en) 2008-04-11 2010-05-11 Sandisk 3D, Llc Double patterning method
WO2009126490A1 (en) * 2008-04-11 2009-10-15 Sandisk 3D Llc Double patterning method
US7981592B2 (en) 2008-04-11 2011-07-19 Sandisk 3D Llc Double patterning method
WO2009126491A1 (en) * 2008-04-11 2009-10-15 Sandisk 3D Llc Double patterning method
US7786015B2 (en) 2008-04-28 2010-08-31 Sandisk 3D Llc Method for fabricating self-aligned complementary pillar structures and wiring
US8901700B2 (en) 2008-05-05 2014-12-02 Micron Technology, Inc. Semiconductor structures
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
US9653315B2 (en) 2008-12-04 2017-05-16 Micron Technology, Inc. Methods of fabricating substrates
WO2010110987A3 (en) * 2009-03-23 2011-01-06 Micron Technlology, Inc. Methods of forming patterns on substrates
CN102362334A (en) * 2009-03-23 2012-02-22 美光科技公司 Methods of forming patterns on substrates
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
WO2011052954A3 (en) * 2009-10-27 2011-09-22 주식회사 동진쎄미켐 Composition for cleaning photoresist pattern and forming protective film
US8329512B2 (en) 2010-01-12 2012-12-11 Sandisk 3D Llc Patterning method for high density pillar structures
US8241969B2 (en) 2010-01-12 2012-08-14 Sandisk 3D Llc Patterning method for high density pillar structures
US8026178B2 (en) 2010-01-12 2011-09-27 Sandisk 3D Llc Patterning method for high density pillar structures
CN102201350A (en) * 2010-03-22 2011-09-28 中芯国际集成电路制造(上海)有限公司 Manufacture method for semiconductor device
US9153458B2 (en) 2011-05-05 2015-10-06 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US8846517B2 (en) 2012-07-06 2014-09-30 Micron Technology, Inc. Methods of forming a pattern on a substrate
CN114035407A (en) * 2021-11-01 2022-02-11 中国科学院微电子研究所 Electron beam exposure method and device for forming inverted T-shaped structure and electronic equipment

Similar Documents

Publication Publication Date Title
KR20070122049A (en) Forming method of fine pattern using double exposure process
KR100912990B1 (en) Method of forming a micro pattern in a semiconductor device
JP2012108369A (en) Pattern formation method
KR100895406B1 (en) Method for forming semiconductor device
KR20120126442A (en) Method for forming pattern of Semiconductor Device
US20060257749A1 (en) Method for reducing critical dimension
KR20110112727A (en) Method of fabricating a pattern in semiconductor device using double patterning technology
JP2009016789A (en) Method for forming fine pattern of semiconductor element
KR100796509B1 (en) Method of manufacturing semiconductor device
TWI623020B (en) Method for patterning incorporating misalignment error protection
KR20090040614A (en) Method for fabricating halftone phase shift mask
US6534223B1 (en) Method of forming a circuitry fabrication mask having a subtractive alternating phase shift region
CN106610563B (en) Mask and double patterning method
KR20090086821A (en) Method for forming semiconductor device
US8728721B2 (en) Methods of processing substrates
KR20140096750A (en) Exposing method and method of forming a pattern using the exposing method
KR20120025761A (en) Method for forming overlay vernier in semiconductor device
KR100948480B1 (en) Method of forming micro pattern for semiconductor device
KR101034540B1 (en) Method for manufacturing Phase Shift MASK
KR20080099924A (en) Photomask having assist pattern and the method for fabricating the same
KR100660280B1 (en) Method for forming poly-silicon gate electrode
US20160196968A1 (en) Patterning method
KR100755149B1 (en) Method for forming mask pattern of semiconductor device
KR100919344B1 (en) Method of forming a micro pattern in a semiconductor device
KR20130079077A (en) Selective bias compensation for patterning steps in cmos processes

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application