KR20070116458A - Method of forming semiconductor device - Google Patents

Method of forming semiconductor device Download PDF

Info

Publication number
KR20070116458A
KR20070116458A KR1020060050512A KR20060050512A KR20070116458A KR 20070116458 A KR20070116458 A KR 20070116458A KR 1020060050512 A KR1020060050512 A KR 1020060050512A KR 20060050512 A KR20060050512 A KR 20060050512A KR 20070116458 A KR20070116458 A KR 20070116458A
Authority
KR
South Korea
Prior art keywords
region
forming
metal
silicide
silicon
Prior art date
Application number
KR1020060050512A
Other languages
Korean (ko)
Inventor
김대용
윤종호
김현수
정은지
이은옥
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020060050512A priority Critical patent/KR20070116458A/en
Publication of KR20070116458A publication Critical patent/KR20070116458A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82385Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

A method for forming a semiconductor device is provided to simplify processes and reduce a cost by forming silicide after forming a silicon pattern having the same thickness of a PMOS and an NMOS gate electrode. A first region and a second region are defined on a semiconductor substrate. Silicon patterns are formed on the first and the second regions respectively. A first metal layer is formed on the silicon patterns. A first metal silicide(130) is formed on the first and the second regions by performing a first silicide process. A second metal layer is formed on the first metal silicide within the first region. A second metal silicide(200) is formed on the first region by a second silicide process.

Description

반도체 소자의 형성방법{METHOD OF FORMING SEMICONDUCTOR DEVICE }Method of Forming Semiconductor Device {METHOD OF FORMING SEMICONDUCTOR DEVICE}

도 1a 내지 1e는 종래 기술에 따른 반도체 소자의 형성방법을 설명하기 위한 단면도이다.1A to 1E are cross-sectional views illustrating a method of forming a semiconductor device according to the prior art.

도 2a 내지 2e는 본 발명의 실시 예에 따른 반도체 소자의 형성방법을 설명하기 위한 단면도이다.2A through 2E are cross-sectional views illustrating a method of forming a semiconductor device in accordance with an embodiment of the present invention.

*도면의 주요부분에 대한 부호의 설명** Description of the symbols for the main parts of the drawings *

110: 실리콘 패턴 115: 층간 절연막110: silicon pattern 115: interlayer insulating film

120: 제 1 금속막 130: 제 1 금속 실리사이드120: first metal film 130: first metal silicide

140: 포토 레지스트 패턴 150: 무전해 도금 금속막140: photoresist pattern 150: electroless plating metal film

200: 제 2 금속 실리사이드200: second metal silicide

본 발명은 반도체 소자의 형성방법에 관한 것으로, 더욱 상세하게는 엔모스 및 피모스 트랜지스터를 갖는 반도체 소자의 형성방법에 관한 것이다.The present invention relates to a method of forming a semiconductor device, and more particularly, to a method of forming a semiconductor device having an NMOS and a PMOS transistor.

트랜지스터의 게이트 전극이 폴리 실리콘으로 형성되는 경우, 폴리 실리콘 공핍(polysilicon depletion) 및 붕소 침투 현상이 발생한다.When the gate electrode of the transistor is formed of polysilicon, polysilicon depletion and boron penetration occur.

폴리 실리콘 대신 금속물질로 게이트 전극이 형성되는 경우, 폴리 실리콘 공핍 및 붕소 침투 현상이 해결될 수 있지만, 금속 이온에 의한 게이트 절연막의 열화를 유발하며 일함수가 고정되어 있어 문턱전압을 조절하기 어려운 단점이 있다. 이에 대한 해결책으로 게이트 전극의 폴리 실리콘을 실리사이드화하는 공정이 제시되고 있다.When the gate electrode is formed of a metal material instead of polysilicon, the polysilicon depletion and boron penetration can be solved, but it is difficult to control the threshold voltage because it causes deterioration of the gate insulating layer due to metal ions and the work function is fixed. There is this. As a solution to this, a process of silicideing polysilicon of the gate electrode is proposed.

도 1a 내지 1e는 종래 기술에 따른 반도체 소자의 형성방법을 설명하기 위한 단면도이다.1A to 1E are cross-sectional views illustrating a method of forming a semiconductor device according to the prior art.

도 1a를 참조하면, 제 1 영역(Ⅰ)과 제 2 영역(Ⅱ)을 포함하는 반도체 기판(10)이 준비된다. 상기 제 1 영역(Ⅰ)과 제 2 영역(Ⅱ)은 피모스 영역과 엔모스 영역일 수 있다. 상기 반도체 기판(10) 상에 게이트 절연막(15)과 폴리 실리콘막(20)이 형성된다. 상기 게이트 절연막(15) 상부에 폴리 실리콘이 형성된 후, 제 1 영역(Ⅰ) 상에 포토 레지스트 패턴(미도시)을 형성하고, 화학 건식 식각(chemical dry etching) 공정에 의하여 제 2 영역(Ⅱ)의 폴리 실리콘의 일부분을 제거한다. 제 1 영역(Ⅰ)과 제 2 영역(Ⅱ)에 두께의 차이가 나는 폴리 실리콘막(20)이 형성된다. Referring to FIG. 1A, a semiconductor substrate 10 including a first region I and a second region II is prepared. The first region I and the second region II may be a PMOS region and an NMOS region. A gate insulating film 15 and a polysilicon film 20 are formed on the semiconductor substrate 10. After the polysilicon is formed on the gate insulating layer 15, a photoresist pattern (not shown) is formed on the first region (I), and the second region (II) is formed by a chemical dry etching process. Remove part of the polysilicon. A polysilicon film 20 having a difference in thickness is formed in the first region I and the second region II.

상기 폴리 실리콘막(20)의 상부에 실리콘 게르마늄막(22)이 형성된다. 상기 실리콘 게르마늄막(22)은 상기 폴리 실리콘막(20)의 두께의 차이 때문에 단차가 형성된다. 상기 실리콘 게르마늄막(22)에 평탄화 공정이 진행된다. 상기 실리콘 게르마늄막(22)의 상부에 게이트 패터닝을 위한 마스크막(24)이 형성된다. 상기 마스크막(24)은 실리콘 산화막 또는 실리콘 질화막일 수 있다.A silicon germanium film 22 is formed on the polysilicon film 20. Steps are formed in the silicon germanium film 22 due to the difference in thickness of the polysilicon film 20. A planarization process is performed on the silicon germanium layer 22. A mask layer 24 for gate patterning is formed on the silicon germanium layer 22. The mask layer 24 may be a silicon oxide layer or a silicon nitride layer.

도 1b를 참조하면, 제 1 영역(Ⅰ)과 제 2 영역(Ⅱ)에 각각 게이트 패턴이 형성된다. 상기 게이트 패턴의 측벽에 스페이서(26a, 26b)가 형성되어 있고, 이온 주입 공정에 의하여 소오스/드레인 영역(12a,12b,13a,13b)이 형성된다.Referring to FIG. 1B, gate patterns are formed in the first region I and the second region II, respectively. Spacers 26a and 26b are formed on sidewalls of the gate pattern, and source / drain regions 12a, 12b, 13a, and 13b are formed by an ion implantation process.

도 1c를 참조하면, 상기 게이트 패턴을 덮는 층간 절연막(30)이 형성된다. 상기 층간 절연막(30)에 평탄화 공정을 진행하여 실리콘 게르마늄막(22a, 22b)의 상부 표면이 노출된다.Referring to FIG. 1C, an interlayer insulating layer 30 covering the gate pattern is formed. The planarization process is performed on the interlayer insulating film 30 to expose the upper surfaces of the silicon germanium films 22a and 22b.

도 1d를 참조하면, 상기 실리콘 게르마늄막(22a, 22b)이 제거된다. 실리콘 게르마늄막(22a, 22b)의 제거 공정은 폴리 실리콘에 식각 선택성을 갖는 습식 식각 공정을 포함할 수 있다. 폴리 실리콘막(20a, 20b) 상에 금속막(40)이 형성된다.Referring to FIG. 1D, the silicon germanium films 22a and 22b are removed. The removal process of the silicon germanium films 22a and 22b may include a wet etching process having an etching selectivity to polysilicon. The metal film 40 is formed on the polysilicon films 20a and 20b.

도 1e를 참조하면, 실리사이드 공정을 진행하면 상기 금속막(40)과 폴리 실리콘막(20a, 20b)이 반응하여 금속 실리사이드(50a, 50b)가 각각 형성된다. 상기 금속 실리사이드(50a, 50b)는 금속 물질과 폴리 실리콘의 비율 차이에 의하여 금속 물질과 폴리 실리콘의 조성비가 다르게 형성된다.Referring to FIG. 1E, when the silicide process is performed, the metal film 40 and the polysilicon films 20a and 20b react to form metal silicides 50a and 50b, respectively. The metal silicides 50a and 50b have different composition ratios of the metal material and the polysilicon due to the difference in the ratio between the metal material and the polysilicon.

종래기술은 폴리 실리콘의 건식 식각 공정과 실리콘 게르마늄막의 평탄화 공정 그리고 습식 식각 공정을 포함하기 때문에, 공정이 복잡하고 비용이 증가하는 문제점이 있었다.Since the prior art includes a dry etching process of polysilicon, a planarization process of a silicon germanium film, and a wet etching process, the process is complicated and the cost increases.

본 발명의 목적은 공정이 단순하고 비용이 절감된 반도체 소자의 형성방법을 제공하는 것이다.An object of the present invention is to provide a method of forming a semiconductor device, the process is simple and the cost is reduced.

본 발명의 실시예는 반도체 소자의 형성방법을 제공한다. 상기 반도체 소자의 형성방법은 제 1 영역과 제 2 영역을 포함하는 반도체 기판을 준비하는 것; 상기 제 1 영역과 상기 제 2 영역에 각각 실리콘 패턴을 형성하는 것; 상기 실리콘 패턴들 상에 제 1 금속막을 형성하는 것; 제 1 실리사이드 공정을 진행하여 상기 제 1 영역과 상기 제 2 영역에 제 1 금속 실리사이드를 형성하는 것; 상기 제 1 영역의 상기 제 1 금속 실리사이드의 상부 표면에 제 2 금속막을 형성하는 것; 그리고 제 2 실리사이드 공정을 진행하여 상기 제 1 영역에 제 2 금속 실리사이드를 형성하는 것을 포함한다.An embodiment of the present invention provides a method of forming a semiconductor device. The method of forming a semiconductor device includes preparing a semiconductor substrate including a first region and a second region; Forming silicon patterns in the first region and the second region, respectively; Forming a first metal film on the silicon patterns; Performing a first silicide process to form a first metal silicide in the first region and the second region; Forming a second metal film on an upper surface of the first metal silicide in the first region; And performing a second silicide process to form a second metal silicide in the first region.

상기 반도체 소자의 형성방법에 있어서, 상기 제 2 금속막을 형성하는 것은: 상기 제 1 금속 실리사이드의 상부에 상기 제 1 영역을 노출하는 포토 레지스트 패턴을 형성하는 것; 그리고 상기 제 1 영역의 상기 제 1 금속 실리사이드의 상부에 무전해 도금 공정을 진행하는 것을 포함한다.In the method of forming the semiconductor device, the forming of the second metal film comprises: forming a photoresist pattern exposing the first region on the first metal silicide; And performing an electroless plating process on top of the first metal silicide in the first region.

상기 반도체 소자의 형성방법은 상기 실리콘 패턴을 형성한 후,상기 실리콘 패턴을 덮는 층간 절연막을 형성하는 것; 그리고 상기 층간 절연막에 평탄화 공정을 진행하여 상기 실리콘 패턴의 상부 표면을 노출하는 것을 더 포함할 수 있다.The method of forming the semiconductor device may include forming an interlayer insulating film covering the silicon pattern after forming the silicon pattern; The method may further include exposing a top surface of the silicon pattern by performing a planarization process on the interlayer insulating layer.

상기 제 1 금속막과 상기 제 2 금속막은 니켈, 코발트, 몰리브덴, 텅스텐, 탄탈륨 또는 티타늄 중 어느 하나이거나 이들의 조합일 수 있다.The first metal film and the second metal film may be any one of nickel, cobalt, molybdenum, tungsten, tantalum, or titanium, or a combination thereof.

상기 반도체 소자의 형성방법은 상기 제 1 실리사이드 공정을 진행한 후, 상기 실리콘 패턴과 반응하지 않은 상기 제 1 금속막을 제거하는 것을 더 포함할 수 있다.The method of forming the semiconductor device may further include removing the first metal layer that does not react with the silicon pattern after the first silicide process.

본 발명의 일 실시예에 따른 반도체 소자의 형성방법은 제 1 영역과 제 2 영역을 포함하는 반도체 기판을 준비하는 것; 상기 제 1 영역과 상기 제 2 영역에 각각 실리콘 패턴을 형성하는 것; 상기 실리콘 패턴들의 측벽을 덮는 층간 절연막을 형성하는 것; 상기 실리콘 패턴을 덮는 제 1 금속막을 형성하는 것; 제 1 실리사이드 공정을 진행하여 상기 실리콘 패턴들을 제 1 금속 실리사이드로 전환하는 것; 상기 실리콘 패턴과 반응하지 않은 상기 제 1 금속막을 제거하는 것; 상기 제 2 영역에 상기 제 1 영역을 노출하는 포토 레지스트 패턴을 형성하는 것; 상기 제 1 영역의 상기 제 1 금속 실리사이드의 상부에 무전해 도금 공정을 진행하는 것; 그리고 제 2 실리사이드 공정을 진행하여 상기 제 1 영역의 제 1 금속 실리사이드를 제 2 금속 실리사이드로 전환하는 것을 포함한다.A method of forming a semiconductor device according to an embodiment of the present invention includes preparing a semiconductor substrate including a first region and a second region; Forming silicon patterns in the first region and the second region, respectively; Forming an interlayer insulating film covering sidewalls of the silicon patterns; Forming a first metal film covering the silicon pattern; Performing a first silicide process to convert the silicon patterns to a first metal silicide; Removing the first metal film that has not reacted with the silicon pattern; Forming a photoresist pattern exposing the first region in the second region; Conducting an electroless plating process on top of the first metal silicide in the first region; And performing a second silicide process to convert the first metal silicide in the first region into a second metal silicide.

이하, 본 발명의 실시예에 따른 반도체 소자의 형성방법을 첨부한 도면을 참조하여 상세히 설명한다. 본 발명은 여기서 설명되는 실시예에 한정되지 않고 다른 형태로 구체화될 수 있다. 오히려, 여기서 소개되는 실시예는 개시된 내용이 철저하고 완전해질 수 있도록 그리고 당업자에게 본 발명의 사상이 충분히 전달될 수 있도록 하기 위해 제공되는 것이다.Hereinafter, a method of forming a semiconductor device according to an embodiment of the present invention will be described in detail with reference to the accompanying drawings. The invention is not limited to the embodiments described herein but may be embodied in other forms. Rather, the embodiments introduced herein are provided so that the disclosure may be made thorough and complete, and to fully convey the spirit of the invention to those skilled in the art.

도면들에 있어서, 층 및 영역들의 두께는 명확성을 기하기 위하여 과장된 것이다. 또한, 층이 다른 층 또는 기판 "상"에 있다고 언급되는 경우에 그것은 다른 층 또는 기판 상에 직접 형성될 수 있거나 또는 그들 사이에 제3의 층이 개재될 수도 있다. 명세서 전체에 걸쳐서 동일한 참조번호들은 동일한 구성요소들을 나타낸다.In the drawings, the thicknesses of layers and regions are exaggerated for clarity. In addition, where a layer is said to be "on" another layer or substrate, it may be formed directly on the other layer or substrate, or a third layer may be interposed therebetween. Like numbers refer to like elements throughout.

도 2a 내지 2e는 본 발명의 실시 예에 따른 반도체 소자의 형성방법을 설명하기 위한 단면도이다.2A through 2E are cross-sectional views illustrating a method of forming a semiconductor device in accordance with an embodiment of the present invention.

도 2a를 참조하면, 제 1 영역(Ⅰ)과 제 2 영역(Ⅱ)을 포함하는 반도체 기판(100)이 준비된다. 상기 제 1 영역(Ⅰ)과 제 2 영역(Ⅱ)은 피모스 영역과 엔모스 영역이거나 그 역일 수 있다. 상기 제 1 영역(Ⅰ)과 제 2 영역(Ⅱ)은 소자분리영역(미도시)에 의해 한정된 활성영역에 웰(well)을 형성하여 정의될 수 있다.Referring to FIG. 2A, a semiconductor substrate 100 including a first region I and a second region II is prepared. The first region I and the second region II may be a PMOS region and an NMOS region or vice versa. The first region I and the second region II may be defined by forming a well in an active region defined by an isolation region (not shown).

상기 반도체 기판(100) 상에 절연물질과 폴리 실리콘이 형성된다. 상기 절연물질과 폴리 실리콘이 패터닝되어 게이트 절연막(105a, 105b))과 실리콘 패턴(110)이 형성된다. 상기 실리콘 패턴(110)의 측벽에 스페이서(112)가 형성된다.An insulating material and polysilicon are formed on the semiconductor substrate 100. The insulating material and polysilicon are patterned to form gate insulating layers 105a and 105b and a silicon pattern 110. Spacers 112 are formed on sidewalls of the silicon pattern 110.

상기 게이트 절연막(105a, 105b)은 열산화 공정이나 화학 기상 증착 공정에 의하여 형성될 수 있다. 상기 게이트 절연막(105a, 105b)은 실리콘 산화막, 하프늄 산화막, 지르코늄 산화막, 알루미늄 산화막으로 형성될 수 있다.The gate insulating layers 105a and 105b may be formed by a thermal oxidation process or a chemical vapor deposition process. The gate insulating layers 105a and 105b may be formed of a silicon oxide film, a hafnium oxide film, a zirconium oxide film, or an aluminum oxide film.

상기 실리콘 패턴(110)은 약 600℃ 정도에서 실레인(SiH4) 가스의 열분해에 의하여 저압 화학 기상 증착 공정으로 형성된 폴리 실리콘을 패터닝하여 형성될 수 있다. 상기 폴리 실리콘의 균일성을 위하여 불활성 가스가 실레인(SiH4) 가스에 혼합될 수 있다. 상기 실리콘 패턴(110)의 두께는 후속 공정에서 형성되는 금속막의 두께를 고려하여 결정될 수 있다.The silicon pattern 110 may be formed by patterning polysilicon formed by a low pressure chemical vapor deposition process by pyrolysis of silane (SiH 4 ) gas at about 600 ° C. FIG. An inert gas may be mixed with the silane (SiH 4 ) gas for uniformity of the polysilicon. The thickness of the silicon pattern 110 may be determined in consideration of the thickness of the metal film formed in a subsequent process.

상기 스페이서(112)는 기판 전면에 절연막을 형성한 후 전면 이방성 식각 공정을 통하여 형성될 수 있다. 상기 스페이서(112)는 실리콘 산화막, 실리콘 질화막 또는 실리콘 산화 질화막으로 형성될 수 있다.The spacer 112 may be formed through an anisotropic etching process after forming an insulating film on the entire surface of the substrate. The spacer 112 may be formed of a silicon oxide film, a silicon nitride film, or a silicon oxynitride film.

상기 반도체 기판(100)에 소오스/드레인 영역(102a,102b,103a,103b)이 형성된다. 상기 소오스/드레인 영역을 형성하는 공정은 상기 스페이서(112)를 형성하기 전에 이온 주입 공정을 통하여 저농도 불순물 영역을 형성하고, 상기 스페이서(112)를 형성한 후에 이온 주입 공정을 통하여 고농도 불순물 영역을 형성하는 것을 포함할 수 있다.Source / drain regions 102a, 102b, 103a and 103b are formed in the semiconductor substrate 100. In the forming of the source / drain regions, a low concentration impurity region is formed through an ion implantation process before the spacer 112 is formed, and a high concentration impurity region is formed through an ion implantation process after the spacer 112 is formed. It may include doing.

도 2b를 참조하면, 상기 실리콘 패턴(110)을 덮는 층간 절연막(115)이 형성된다. 상기 층간 절연막(115)은 화학 기상 증착 또는 스핀-온-글래스 방법으로 형성될 수 있다. 상기 층간 절연막(115)에 화학적 기계적 연마(Chemical Mechanical Polishing) 공정을 진행하여 상기 실리콘 패턴(110)의 상부 표면이 노출된다.Referring to FIG. 2B, an interlayer insulating layer 115 covering the silicon pattern 110 is formed. The interlayer insulating layer 115 may be formed by chemical vapor deposition or spin-on-glass method. The upper surface of the silicon pattern 110 is exposed by performing a chemical mechanical polishing process on the interlayer insulating layer 115.

상기 실리콘 패턴(110)과 층간 절연막(115)을 덮는 제 1 금속막(120)이 형성된다. 상기 제 1 금속막(120)은 니켈(Ni), 텅스텐(W), 몰리브덴(Mo), 코발트(Co), 탄탈륨(Ta) 또는 티타늄(Ti)으로 형성될 수 있다. 상기 제 1 금속막(140)은 물리 기상 증착, 화학 기상 증착 또는 전기도금 방법으로 형성될 수 있다. 상기 제 1 금속막(120)의 두께는 후속 공정에서 형성되는 금속 실리사이드의 실리콘과 제 1 금속의 조성비를 고려하여 결정될 수 있다.The first metal layer 120 is formed to cover the silicon pattern 110 and the interlayer insulating layer 115. The first metal layer 120 may be formed of nickel (Ni), tungsten (W), molybdenum (Mo), cobalt (Co), tantalum (Ta), or titanium (Ti). The first metal layer 140 may be formed by physical vapor deposition, chemical vapor deposition, or electroplating. The thickness of the first metal layer 120 may be determined in consideration of the composition ratio of silicon of the metal silicide formed in a subsequent process and the first metal.

도 2c를 참조하면, 상기 제 1 금속막(120)과 실리콘이 반응하여 각각 제 1 금속 실리사이드(130)가 형성되는 제 1 실리사이드 공정이 진행된다. 상기 제 1 실리사이드 공정은 상기 실리콘 패턴(110)의 실리콘 전체를 실리사이드화(silicidation)하는 것을 포함할 수 있다. 상기 제 1 실리사이드 공정은 급속 열 처리 공정을 포함할 수 있다. 상기 제 1 실리사이드 공정이 진행된 후, 실리콘 패턴(110)과 반응하지 않은 제 1 금속막(120)이 습식 식각 공정을 통하여 제거될 수 있다. 예컨대, 실리콘과 반응하지 않은 티타늄은 수산화 암모늄(NH4OH)과 과산화수소(H2O2)에 의하여 제거된다.Referring to FIG. 2C, a first silicide process is performed in which the first metal silicide 130 is formed by reacting the first metal layer 120 with silicon. The first silicide process may include silicidating the entire silicon of the silicon pattern 110. The first silicide process may include a rapid heat treatment process. After the first silicide process is performed, the first metal layer 120 that does not react with the silicon pattern 110 may be removed through a wet etching process. For example, titanium that does not react with silicon is removed by ammonium hydroxide (NH 4 OH) and hydrogen peroxide (H 2 O 2 ).

상기 제 1 영역(Ⅰ)과 제 2 영역(Ⅱ)의 제 1 금속 실리사이드(130)는 실리콘과 제 1 금속의 비율이 동일하여 각 영역의 금속 실리사이드의 조성비도 동일하다. 예컨대, 니켈 실리사이드의 경우에 제 1 금속 실리사이드는 각각 동일하게 NiSi2 일 수 있다.The first metal silicide 130 of the first region (I) and the second region (II) has the same ratio of silicon and the first metal, and thus the composition ratio of the metal silicides in each region is also the same. For example, in the case of nickel silicide, the first metal silicides may each be equally NiSi 2 .

도 2d를 참조하면, 상기 제 2 영역(Ⅱ)에 상기 제 1 영역(Ⅰ)을 노출하는 포토 레지스트 패턴(140)이 형성된다. 그리고 제 1 영역(Ⅰ)의 제 1 금속 실리사이드(130)에 무전해 도금 공정이 진행된다. 상기 무전해 도금 공정에 의하여 상기 제 1 영역(Ⅰ)의 제 1 실리사이드(130)의 상부 표면에 무전해 도금 금속막(150)이 형성된다. 상기 무전해 도금 금속막(150)은 제 1 금속막(120)과 동일한 금속일 수 있다.Referring to FIG. 2D, a photoresist pattern 140 exposing the first region I is formed in the second region II. Then, an electroless plating process is performed on the first metal silicide 130 in the first region (I). The electroless plating metal film 150 is formed on the upper surface of the first silicide 130 in the first region I by the electroless plating process. The electroless plating metal film 150 may be the same metal as the first metal film 120.

예컨대, 니켈 금속을 도금할 경우에 있어서 상기 무전해 도금 공정은 니켈 이온이 함유된 수용액에 웨이퍼가 담궈지고, 수용액 내의 포름 알데히드나 하이드리진 같은 환원제가 니켈 이온을 니켈 금속으로 환원되도록 전자를 공급하고, 상기 전자를 공급받은 니켈 금속은 상기 제 1 영역(Ⅰ)의 제 1 실리사이드(130)의 상부 표면에 증착되는 것을 포함할 수 있다.For example, in the case of plating nickel metal, the electroless plating process is to immerse the wafer in an aqueous solution containing nickel ions, and a reducing agent such as formaldehyde or hydride in the aqueous solution supplies electrons to reduce the nickel ions to the nickel metal. The nickel metal may be deposited on the upper surface of the first silicide 130 of the first region (I).

일반적으로 무전해 도금은 그 도금층이 치밀하고 균일한 두께를 가진다. 따라서, 금속 실리사이드의 실리콘과 금속의 비율은 무전해 도금 금속막(150)의 두께로 조절할 수 있다.In general, electroless plating has a dense and uniform thickness of the plating layer. Therefore, the ratio of silicon to metal of the metal silicide may be controlled by the thickness of the electroless plating metal film 150.

도 2e를 참조하면, 상기 포토 레지스트 패턴(140)이 제거되고, 제 2 실리사이드 공정이 진행된다. 상기 제 2 실리사이드 공정은 상기 제 1 실리사이드 공정과 동일하게 진행될 수 있다.Referring to FIG. 2E, the photoresist pattern 140 is removed and a second silicide process is performed. The second silicide process may be performed in the same manner as the first silicide process.

상기 제 2 실리사이드 공정에 의하여 제 2 금속 실리사이드(200)가 형성된다. 상기 제 2 금속 실리사이드(200)의 실리콘 비율은 상기 제 1 금속 실리사이드(130)의 실리콘 비율보다 작다. 이에 따라, 피모스와 엔모스에 적합한 일함수를 갖는 각각의 금속 게이트 전극이 형성될 수 있다.The second metal silicide 200 is formed by the second silicide process. The silicon ratio of the second metal silicide 200 is smaller than the silicon ratio of the first metal silicide 130. Accordingly, each metal gate electrode having a work function suitable for PMOS and NMOS can be formed.

예컨대, 상기 제 1 금속과 무전해 도금된 금속이 니켈 금속인 경우 제 2 금속 실리사이드(200)는 Ni3Si 이고, 제 1 금속 실리사이드(130)는 NiSi2 일 수 있다. 상기 Ni3Si는 피모스(PMOS)에 적합한 금속 게이트 전극이고, 상기 NiSi2는 엔모스(NMOS)에 적합한 금속 게이트 전극이다.For example, when the first metal and the electroless plated metal are nickel metal, the second metal silicide 200 may be Ni 3 Si, and the first metal silicide 130 may be NiSi 2 . The Ni 3 Si is a metal gate electrode suitable for PMOS, and the NiSi 2 is a metal gate electrode suitable for NMOS.

본 발명의 실시예에 따르면, 피모스와 엔모스의 게이트 전극에서 실리콘 패턴의 두께가 동일하게 형성된 후 실리사이드가 형성된다. 따라서, 반도체 소자의 형성 공정이 단순화되고 비용이 절감된다.According to the exemplary embodiment of the present invention, silicide is formed after the thickness of the silicon pattern is formed in the gate electrodes of PMOS and NMOS. Therefore, the process of forming a semiconductor element is simplified and the cost is reduced.

Claims (6)

제 1 영역과 제 2 영역을 포함하는 반도체 기판을 준비하는 것;Preparing a semiconductor substrate comprising a first region and a second region; 상기 제 1 영역과 상기 제 2 영역에 각각 실리콘 패턴을 형성하는 것;Forming silicon patterns in the first region and the second region, respectively; 상기 실리콘 패턴들 상에 제 1 금속막을 형성하는 것;Forming a first metal film on the silicon patterns; 제 1 실리사이드 공정을 진행하여 상기 제 1 영역과 상기 제 2 영역에 제 1 금속 실리사이드를 형성하는 것;Performing a first silicide process to form a first metal silicide in the first region and the second region; 상기 제 1 영역의 상기 제 1 금속 실리사이드의 상부 표면에 제 2 금속막을 형성하는 것; 그리고Forming a second metal film on an upper surface of the first metal silicide in the first region; And 제 2 실리사이드 공정을 진행하여 상기 제 1 영역에 제 2 금속 실리사이드를 형성하는 것을 포함하는 반도체 소자의 형성방법.Forming a second metal silicide in the first region by performing a second silicide process. 청구항 1에 있어서,The method according to claim 1, 상기 제 2 금속막을 형성하는 것은:Forming the second metal film is: 상기 제 1 금속 실리사이드의 상부에 상기 제 1 영역을 노출하는 포토 레지스트 패턴을 형성하는 것; 그리고Forming a photoresist pattern on the first metal silicide to expose the first region; And 상기 제 1 영역의 상기 제 1 금속 실리사이드의 상부에 무전해 도금 공정을 진행하는 것을 포함하는 반도체 소자의 형성방법.And forming an electroless plating process on the first metal silicide in the first region. 청구항 1에 있어서,The method according to claim 1, 상기 실리콘 패턴을 형성한 후,After forming the silicon pattern, 상기 실리콘 패턴을 덮는 층간 절연막을 형성하는 것; 그리고Forming an interlayer insulating film covering the silicon pattern; And 상기 층간 절연막에 평탄화 공정을 진행하여 상기 실리콘 패턴의 상부 표면을 노출하는 것을 더 포함하는 반도체 소자의 형성방법.And forming a planarization process on the interlayer insulating film to expose an upper surface of the silicon pattern. 청구항 1에 있어서,The method according to claim 1, 상기 제 1 금속막과 상기 제 2 금속막은 니켈, 코발트, 몰리브덴, 텅스텐, 탄탈륨 또는 티타늄 중 어느 하나이거나 이들의 조합인 반도체 소자의 형성방법.And the first metal film and the second metal film are any one of nickel, cobalt, molybdenum, tungsten, tantalum or titanium, or a combination thereof. 청구항 1에 있어서,The method according to claim 1, 상기 제 1 실리사이드 공정을 진행한 후,After the first silicide process, 상기 실리콘 패턴과 반응하지 않은 상기 제 1 금속막을 제거하는 것을 더 포함하는 반도체 소자의 형성방법.And removing the first metal film that has not reacted with the silicon pattern. 제 1 영역과 제 2 영역을 포함하는 반도체 기판을 준비하는 것;Preparing a semiconductor substrate comprising a first region and a second region; 상기 제 1 영역과 상기 제 2 영역에 각각 실리콘 패턴을 형성하는 것;Forming silicon patterns in the first region and the second region, respectively; 상기 실리콘 패턴들의 측벽을 덮는 층간 절연막을 형성하는 것;Forming an interlayer insulating film covering sidewalls of the silicon patterns; 상기 실리콘 패턴을 덮는 제 1 금속막을 형성하는 것;Forming a first metal film covering the silicon pattern; 제 1 실리사이드 공정을 진행하여 상기 실리콘 패턴들을 제 1 금속 실리사이드로 전환하는 것;Performing a first silicide process to convert the silicon patterns to a first metal silicide; 상기 실리콘 패턴과 반응하지 않은 상기 제 1 금속막을 제거하는 것;Removing the first metal film that has not reacted with the silicon pattern; 상기 제 2 영역에 상기 제 1 영역을 노출하는 포토 레지스트 패턴을 형성하는 것;Forming a photoresist pattern exposing the first region in the second region; 상기 제 1 영역의 상기 제 1 금속 실리사이드의 상부에 무전해 도금 공정을 진행하는 것; 그리고Conducting an electroless plating process on top of the first metal silicide in the first region; And 제 2 실리사이드 공정을 진행하여 상기 제 1 영역의 제 1 금속 실리사이드를 제 2 금속 실리사이드로 전환하는 것을 포함하는 반도체 소자의 형성방법.And a second silicide process to convert the first metal silicide in the first region into a second metal silicide.
KR1020060050512A 2006-06-05 2006-06-05 Method of forming semiconductor device KR20070116458A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020060050512A KR20070116458A (en) 2006-06-05 2006-06-05 Method of forming semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060050512A KR20070116458A (en) 2006-06-05 2006-06-05 Method of forming semiconductor device

Publications (1)

Publication Number Publication Date
KR20070116458A true KR20070116458A (en) 2007-12-10

Family

ID=39142245

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060050512A KR20070116458A (en) 2006-06-05 2006-06-05 Method of forming semiconductor device

Country Status (1)

Country Link
KR (1) KR20070116458A (en)

Similar Documents

Publication Publication Date Title
JP4854245B2 (en) Manufacturing method of semiconductor device
US7265428B2 (en) Semiconductor device having NMOSFET and PMOSFET and manufacturing method thereof
US7390709B2 (en) Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
JP5380827B2 (en) Manufacturing method of semiconductor device
US7226827B2 (en) Method for fabricating semiconductor devices having silicided electrodes
JP5090173B2 (en) Method of manufacturing a semiconductor device having a high dielectric constant gate dielectric layer and a silicide gate electrode
WO2006036671A1 (en) A metal gate electrode semiconductor device
KR20050116433A (en) Method of manufacturing semiconductor device
US7192856B2 (en) Forming dual metal complementary metal oxide semiconductor integrated circuits
KR100836763B1 (en) Semiconductor device and method of fabricating the same
US7432164B2 (en) Semiconductor device comprising a transistor having a counter-doped channel region and method for forming the same
US20100012992A1 (en) Method of manufacturing semiconductor device
KR20210130237A (en) Method of selectively forming metal silicides for semiconductor devices
JP2008084970A (en) Semiconductor device and manufacturing method of semiconductor device
KR20070116458A (en) Method of forming semiconductor device
US6350677B1 (en) Method for forming a self-aligned silicide layer
JP2010021363A (en) Semiconductor device and method of producing the same
JP2005277285A (en) Method of manufacturing semiconductor device
JPH11204456A (en) Manufacture of semiconductor device
JPWO2009101763A1 (en) Semiconductor device and manufacturing method thereof
JP4957040B2 (en) Semiconductor device and manufacturing method of semiconductor device.
KR100260360B1 (en) Method for manufacturing semiconductor device
KR960000362B1 (en) Semiconductor device and fabricating method thereof
KR100900224B1 (en) Gate of semiconductor device and method for fabricating the same
KR20020012923A (en) Method for Forming Self-Aligned Silcide of Semiconductor Device

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination