KR20070016071A - Method and apparatus for forming silicon-containing insulating film - Google Patents

Method and apparatus for forming silicon-containing insulating film Download PDF

Info

Publication number
KR20070016071A
KR20070016071A KR1020060072425A KR20060072425A KR20070016071A KR 20070016071 A KR20070016071 A KR 20070016071A KR 1020060072425 A KR1020060072425 A KR 1020060072425A KR 20060072425 A KR20060072425 A KR 20060072425A KR 20070016071 A KR20070016071 A KR 20070016071A
Authority
KR
South Korea
Prior art keywords
gas
processing
processing region
valve
film
Prior art date
Application number
KR1020060072425A
Other languages
Korean (ko)
Other versions
KR100980126B1 (en
Inventor
가즈히데 하세베
미쯔히로 오까다
파오화 주
쥰 오가와
김채호
고오헤이 후꾸시마
도시끼 다까하시
쥰 사또오
Original Assignee
도쿄 엘렉트론 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄 엘렉트론 가부시키가이샤 filed Critical 도쿄 엘렉트론 가부시키가이샤
Priority to KR1020060072425A priority Critical patent/KR100980126B1/en
Publication of KR20070016071A publication Critical patent/KR20070016071A/en
Application granted granted Critical
Publication of KR100980126B1 publication Critical patent/KR100980126B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

실란계 가스를 포함하는 제1 처리 가스와, 질화 가스, 산질화 가스 및 산화 가스로 이루어지는 군으로부터 선택된 가스를 포함하는 제2 처리 가스와, 퍼지 가스를 선택적으로 공급 가능한 처리 영역 내에서 피처리 기판 상에 CVD에 의해 실리콘 함유 절연막을 형성한다. 이 성막 방법은 제1 내지 제4 공정을 교대로 구비한다. 제1, 제2, 제3 및 제4 공정에 있어서, 각각 제1 처리 가스, 퍼지 가스, 제2 처리 가스 및 퍼지 가스를 공급하고, 나머지 2개의 가스의 공급을 정지한다. 제1 공정 내지 제4 공정에 걸쳐서, 개방도 조정용 밸브가 배치된 배기 통로를 거쳐서 처리 영역 내부를 계속적으로 진공 배기한다. 제1 공정에 있어서의 밸브의 개방도를 제2 및 제4 공정에 있어서의 밸브의 개방도의 5 내지 95 %로 설정한다. A substrate to be processed in a processing region capable of selectively supplying a first processing gas containing a silane-based gas, a second processing gas including a gas selected from the group consisting of a nitridation gas, an oxynitride gas, and an oxidizing gas, and a purge gas A silicon-containing insulating film is formed by CVD on it. This film forming method alternately includes first to fourth steps. In the first, second, third and fourth processes, the first processing gas, the purge gas, the second processing gas and the purge gas are supplied, respectively, and the supply of the remaining two gases is stopped. Throughout the first to fourth processes, the inside of the processing region is continuously evacuated through an exhaust passage in which an opening degree adjustment valve is arranged. The opening degree of the valve in a 1st process is set to 5 to 95% of the opening degree of the valve in a 2nd and 4th process.

웨이퍼 보트, 승강 기구, 가스 분산 노즐, 가스 여기부, 배기구, 밸브구 Wafer boat, lifting mechanism, gas dispersion nozzle, gas excitation part, exhaust port, valve port

Description

실리콘 함유 절연막의 성막 방법 및 장치{METHOD AND APPARATUS FOR FORMING SILICON-CONTAINING INSULATING FILM}METHOD AND APPARATUS FOR FORMING SILICON-CONTAINING INSULATING FILM}

도1은 본 발명의 실시 형태에 관한 성막 장치(종형 CVD 장치)를 도시하는 단면도. 1 is a cross-sectional view showing a film forming apparatus (vertical CVD apparatus) according to an embodiment of the present invention.

도2는 도1에 도시한 장치의 일부를 도시하는 횡단 평면도. FIG. 2 is a cross sectional plan view showing a part of the apparatus shown in FIG. 1; FIG.

도3은 도1에 도시한 장치의 배기계에서 사용되는 밸브 유닛(개방도 조정용 밸브)을 도시하는 종단면도. Fig. 3 is a longitudinal sectional view showing a valve unit (opening degree adjustment valve) used in the exhaust system of the apparatus shown in Fig. 1;

도4는 도3에 도시한 밸브 유닛의 횡단면도. 4 is a cross-sectional view of the valve unit shown in FIG.

도5는 본 발명의 제1 실시 형태에 관한 성막 방법에 있어서의 가스 공급 및 배기 통로의 개방도 등을 나타내는 타이밍차트. Fig. 5 is a timing chart showing the degree of opening of the gas supply and the exhaust passage in the film forming method according to the first embodiment of the present invention.

도6은 실험 1에 있어서, 제1 실시예(PE1) 및 제1 비교예(CE1)의 성막 처리에 의해 얻게 된 처리 용기 내에 있어서의 파티클의 발생을 나타내는 그래프. FIG. 6 is a graph showing generation of particles in a processing container obtained by the film forming process of the first example PE1 and the first comparative example CE1 in Experiment 1. FIG.

도7은 본 발명의 제2 실시 형태에 관한 성막 방법에 있어서의 가스 공급 및 배기 통로의 개방도 등을 나타내는 타이밍차트. Fig. 7 is a timing chart showing the degree of opening of the gas supply and the exhaust passage in the film forming method according to the second embodiment of the present invention.

도8은 본 발명의 제3 실시 형태에 관한 성막 방법에 있어서의 가스 공급 및 배기 통로의 개방도 등을 나타내는 타이밍차트. Fig. 8 is a timing chart showing the degree of opening of the gas supply and the exhaust passage in the film forming method according to the third embodiment of the present invention.

도9는 실험 2에 있어서, 제2 실시예의 성막 처리에 의해 얻게 된 막의 습윤 에칭률의 DCS 압력 의존성을 나타내는 그래프. Fig. 9 is a graph showing the DCS pressure dependency of the wet etching rate of the film obtained by the film forming process of Example 2 in Experiment 2.

도10은 본 발명의 제4 실시 형태에 관한 성막 장치(종형 CVD 장치)의 진공 배기계를 도시하는 도면. Fig. 10 is a diagram showing a vacuum exhaust system of the film forming apparatus (vertical CVD apparatus) according to the fourth embodiment of the present invention.

도11은 제4 실시 형태에 관한 성막 방법에 있어서의 배기 통로의 개방도를 도시하는 타이밍차트. Fig. 11 is a timing chart showing the opening degree of the exhaust passage in the film forming method according to the fourth embodiment.

도12는 본 발명의 제5 실시 형태에 관한 성막 장치(종형 CVD 장치)의 제1, 제3 및 제4 처리 가스 공급계를 도시하는 도면. Fig. 12 is a diagram showing first, third and fourth processing gas supply systems of the film forming apparatus (vertical CVD apparatus) according to the fifth embodiment of the present invention.

도13은 주제어부의 구성의 개략을 도시하는 블럭도. Fig. 13 is a block diagram showing an outline of the configuration of the main control section.

도14는 특허문헌 2에 개시되는 종래의 종형의 성막 장치를 도시하는 개략 구성도. 14 is a schematic configuration diagram showing a conventional vertical film forming apparatus disclosed in Patent Document 2. FIG.

도15는 특허문헌 2에 개시되는 성막 방법에 있어서의 가스 공급 및 배기 통로의 개방도 등을 나타내는 타이밍차트. Fig. 15 is a timing chart showing the degree of opening of the gas supply and the exhaust passage in the film forming method disclosed in Patent Literature 2;

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for the main parts of the drawings>

2 : 성막 장치2: film forming apparatus

12 : 웨이퍼 보트12: wafer boat

25 : 승강 기구25 lifting mechanism

36 : 가스 분산 노즐36: gas dispersion nozzle

48 : 주제어부48: subject fisherman

50 : 가스 여기부50 gas excitation

52 : 배기구52: exhaust port

70 : 히터70: heater

84 : 배기 통로84: exhaust passage

86 : 밸브 유닛86: valve unit

90 : 밸브 하우징90: valve housing

92 : 밸브구92 valve valve

94 : 밸브 시트94: valve seat

98 : 밸브 구동부98: valve driving unit

100 : 액튜에이터100: actuator

[문헌 1] 일본 특허 공개 제2002-60947호 공보[Document 1] Japanese Unexamined Patent Publication No. 2002-60947

[문헌 2] 일본 특허 공개 제2004-281853호 공보[Document 2] Japanese Unexamined Patent Publication No. 2004-281853

본 발명은 예를 들어 반도체 처리용 시스템에 있어서, 반도체 웨이퍼 등의 피처리 기판 상에 실리콘 함유 절연막을 형성하는 성막 방법 및 장치에 관한 것이다. 여기서, 반도체 처리라 함은, 웨이퍼나 LCD(Liquid Crystal Display)나 FPD(Flat ㎩nel Display)용 유리 기판 등의 피처리 기판 상에 반도체층, 절연층, 도전층 등을 소정의 패턴으로 형성함으로써, 상기 피처리 기판 상에 반도체 디바이스나, 반도체 디바이스에 접속되는 배선, 전극 등을 포함하는 구조물을 제조하기 위해 실시되는 다양한 처리를 의미한다. TECHNICAL FIELD This invention relates to the film-forming method and apparatus which form a silicon containing insulating film on a to-be-processed substrate, such as a semiconductor wafer, for example in a system for semiconductor processing. Herein, semiconductor processing is performed by forming a semiconductor layer, an insulating layer, a conductive layer, or the like in a predetermined pattern on a substrate to be processed, such as a wafer, a glass substrate for a liquid crystal display (LCD), or a glass panel display (FPD). And various processes performed to manufacture a structure including a semiconductor device, a wiring connected to the semiconductor device, an electrode, or the like on the substrate to be processed.

반도체 집적 회로를 구성하는 반도체 디바이스의 제조에 있어서는, 피처리 기판, 예를 들어 반도체 웨이퍼에 성막, 에칭, 산화, 확산, 개질, 어닐링, 자연 산화막의 제거 등의 각종 처리가 실시된다. 일본 특허 공개 제2002-60947호 공보(특헌문헌 1)는 종형의[소위 뱃치(batch)식의] 열처리 장치에 있어서의 이러한 종류의 반도체 처리 방법을 개시한다. 이 방법에서는, 우선 반도체 웨이퍼가 웨이퍼 카세트로부터 종형의 웨이퍼 보트 상에 이동 적재되어 다단으로 지지된다. 웨이퍼 카세트에는 예를 들어 25매의 웨이퍼를 수용할 수 있고, 웨이퍼 보트에는 30 내지 150매의 웨이퍼를 적재할 수 있다. 다음에, 웨이퍼 보트가 처리 용기의 하방으로부터 그 내부로 로드되는 동시에, 처리 용기가 기밀하게 폐쇄된다. 다음에, 처리 가스의 유량, 처리 압력, 처리 온도 등의 각종 처리 조건이 제어된 상태에서 소정의 열처리가 행해진다. In the manufacture of a semiconductor device constituting a semiconductor integrated circuit, various processes such as film formation, etching, oxidation, diffusion, modification, annealing, and removal of a natural oxide film are performed on a substrate to be processed, for example, a semiconductor wafer. Japanese Laid-Open Patent Publication No. 2002-60947 (Special Document 1) discloses a semiconductor processing method of this kind in a vertical (so-called batch) heat treatment apparatus. In this method, a semiconductor wafer is first moved from a wafer cassette onto a vertical wafer boat and supported in multiple stages. For example, 25 wafers can be accommodated in the wafer cassette, and 30 to 150 wafers can be loaded in the wafer boat. Next, the wafer boat is loaded from below into the processing vessel and the processing vessel is hermetically closed. Next, predetermined heat treatment is performed in a state where various processing conditions such as the flow rate of the processing gas, the processing pressure, and the processing temperature are controlled.

종래, 반도체 디바이스의 절연막으로서, 실리콘 산화막(SiO2막)이 주로 사용되고 있었다. 그러나, 최근, 반도체 집적 회로의 한층 고집적화 및 고미세화의 요구에 수반하여, 용도에 따라서 실리콘 산화막 대신에 실리콘 질화막(Si3N4막)이 사용되고 있다. 예를 들어 실리콘 질화막은 내산화막, 불순물의 확산 방지막, 게이트 전극 구조의 사이드월 막으로서 배치된다. 실리콘 질화막은 불순물의 확산 계수가 낮고, 또한 산화 배리어성이 높으므로 상술한 바와 같은 절연막으로서 매우 적합하다. Conventionally, a silicon oxide film (SiO 2 film) has been mainly used as an insulating film of a semiconductor device. However, in recent years, with the demand for higher integration and finer semiconductor integrated circuits, silicon nitride films (Si 3 N 4 films) have been used in place of silicon oxide films depending on the use. For example, the silicon nitride film is disposed as an oxidation resistant film, a diffusion barrier film of impurities, and a sidewall film of a gate electrode structure. Since the silicon nitride film has a low diffusion coefficient of impurities and a high oxidation barrier property, it is very suitable as the insulating film as described above.

최근, 반도체 집적 회로의 한층 고집적화 및 고미세화의 요구에 수반하여, 반도체 디바이스의 제조 공정에 있어서의 열이력을 경감하고, 디바이스의 특성을 향상시키는 것이 요구되고 있다. 종형의 처리 장치에 있어서도, 이러한 요구에 따른 반도체 처리 방법의 개량이 이루어지는 것이 요구되고 있다. 예를 들어, CVD 처리에 있어서도, 원료 가스 등을 간헐적으로 공급하면서, 원자 혹은 분자 레벨 두께의 층을 1층 혹은 몇 층씩 반복하여 성막하는 방법이 채용된다[예를 들어 일본 특허 공개 제2004-281853호 공보(특허문헌 2)]. 이와 같은 성막 방법은 일반적으로는 ALD(Atomic layer Deposition)라 하고, 이에 의해 웨이퍼를 그 정도의 고온에 노출하지 않아도 목적으로 하는 처리를 행하는 것이 가능해진다. 또한, ALD에 의한 성막은 스텝 커버리지가 양호하므로, 디바이스의 미세화에 수반하여 좁아진 반도체 디바이스 내의 오목부, 예를 들어 게이트간 갭을 매립하는 데 적합하다.In recent years, with the demand for further high integration and high miniaturization of semiconductor integrated circuits, it is required to reduce the thermal history in the manufacturing process of semiconductor devices and to improve the characteristics of the devices. Also in the vertical processing apparatus, improvement of the semiconductor processing method based on such a request is calculated | required. For example, also in the CVD process, a method is formed in which a layer having an atomic or molecular level thickness is repeatedly formed one by one or several layers while supplying source gas or the like intermittently (for example, Japanese Patent Laid-Open No. 2004-281853). Publication (Patent Document 2)]. Such a film formation method is generally referred to as ALD (Atomic layer Deposition), whereby the target processing can be performed without exposing the wafer to such high temperature. In addition, since film deposition by ALD has good step coverage, it is suitable for filling recesses, for example, inter-gate gaps, in the semiconductor device, which are narrowed with the miniaturization of the device.

본 발명의 목적은 처리의 처리량을 저하시키지 않고 파티클의 발생을 억제할 수 있는 실리콘 함유 절연막을 형성하는 성막 방법 및 장치를 제공하는 데 있다. An object of the present invention is to provide a film forming method and apparatus for forming a silicon-containing insulating film capable of suppressing generation of particles without lowering the throughput of the treatment.

본 발명의 제1 시점은, 실란계 가스를 포함하는 제1 처리 가스와, 질화 가스, 산질화 가스 및 산화 가스로 이루어지는 군으로부터 선택된 가스를 포함하는 제2 처리 가스와, 퍼지 가스를 선택적으로 공급 가능한 처리 영역 내에서 피처리 기판 상에 CVD에 의해 실리콘 함유 절연막을 형성하는 실리콘 함유 절연막의 성막 방법이며, A first point of the present invention is to selectively supply a first processing gas containing a silane-based gas, a second processing gas including a gas selected from the group consisting of nitriding gas, oxynitride gas and oxidizing gas, and a purge gas A method of forming a silicon-containing insulating film by forming a silicon-containing insulating film on the substrate to be processed by CVD in a possible processing region,

상기 처리 영역에 대한 상기 제1 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제2 처리 가스 및 퍼지 가스의 공급을 정지하는 제1 공정과,A first step of supplying the first processing gas to the processing region, and stopping supply of the second processing gas and purge gas to the processing region;

상기 처리 영역에 대한 상기 퍼지 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 및 제2 처리 가스의 공급을 정지하는 제2 공정과, A second step of supplying the purge gas to the processing region and stopping supply of the first and second processing gases to the processing region;

상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 처리 가스 및 퍼지 가스의 공급을 정지하는 제3 공정과,A third step of supplying the second processing gas to the processing region while stopping supply of the first processing gas and the purge gas to the processing region;

상기 처리 영역에 대한 상기 퍼지 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 및 제2 처리 가스의 공급을 정지하는 제4 공정을 교대로 구비하고, A fourth step of alternately providing the purge gas to the processing region and stopping the supply of the first and second processing gases to the processing region;

상기 제1 공정 내지 상기 제4 공정에 걸쳐서, 개방도 조정용 밸브가 배치된 배기 통로를 거쳐서 상기 처리 영역 내부를 계속적으로 진공 배기하고, 상기 제1 공정에 있어서의 상기 밸브의 개방도를 상기 제2 및 제4 공정에 있어서의 상기 밸브의 개방도의 5 내지 95 %로 설정한다. Throughout the first step to the fourth step, the inside of the processing region is continuously evacuated through an exhaust passage in which an opening degree adjustment valve is arranged, and the opening degree of the valve in the first step is determined by the second step. And 5 to 95% of the opening degree of the valve in the fourth step.

본 발명의 제2 시점은, 실리콘 함유 절연막의 성막 장치이며,A second viewpoint of the present invention is a film forming apparatus of a silicon-containing insulating film,

피처리 기판을 수납하는 처리 영역을 갖는 처리 용기와, A processing container having a processing area for storing a substrate to be processed;

상기 처리 영역 내에서 상기 피처리 기판을 지지하는 지지 부재와, A support member for supporting the substrate to be processed in the processing region;

상기 처리 영역 내의 상기 피처리 기판을 가열하는 히터와, A heater for heating the substrate to be processed in the processing region;

상기 처리 영역 내부를 개방도 조정용 밸브가 배치된 배기 통로를 거쳐서 배기하는 배기계와, An exhaust system for exhausting the inside of the processing region through an exhaust passage in which an opening degree adjustment valve is disposed;

상기 처리 영역에 실란계 가스를 포함하는 제1 처리 가스를 공급하는 제1 처 리 가스 공급계와, A first processing gas supply system for supplying a first processing gas containing a silane-based gas to the processing region;

상기 처리 영역에 질화 가스, 산질화 가스 및 산화 가스로 이루어지는 군으로부터 선택된 가스를 포함하는 제2 처리 가스를 공급하는 제2 처리 가스 공급계와, A second processing gas supply system for supplying a second processing gas containing a gas selected from the group consisting of nitriding gas, oxynitride gas and oxidizing gas to the processing region;

상기 처리 영역에 퍼지 가스를 공급하는 퍼지 가스 공급계와, A purge gas supply system for supplying a purge gas to the processing region;

상기 장치의 동작을 제어하는 제어부를 구비하고, A control unit for controlling the operation of the apparatus,

상기 제어부는, 상기 피처리 기판 상에 CVD에 의해 실리콘 함유 절연막을 형성하기 위해, The control unit, in order to form a silicon-containing insulating film on the substrate to be processed by CVD,

상기 처리 영역에 대한 상기 제1 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제2 처리 가스 및 퍼지 가스의 공급을 정지하는 제1 공정과, A first step of supplying the first processing gas to the processing region, and stopping supply of the second processing gas and purge gas to the processing region;

상기 처리 영역에 대한 상기 퍼지 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 및 제2 처리 가스의 공급을 정지하는 제2 공정과, A second step of supplying the purge gas to the processing region and stopping supply of the first and second processing gases to the processing region;

상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 처리 가스 및 퍼지 가스의 공급을 정지하는 제3 공정과,A third step of supplying the second processing gas to the processing region while stopping supply of the first processing gas and the purge gas to the processing region;

상기 처리 영역에 대한 상기 퍼지 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 및 제2 처리 가스의 공급을 정지하는 제4 공정을 교대로 실행하고, While supplying the purge gas to the processing region, a fourth step of stopping supply of the first and second processing gases to the processing region is performed alternately,

상기 제1 공정 내지 상기 제4 공정에 걸쳐서, 상기 배기 통로를 거쳐서 상기 처리 영역 내부를 계속적으로 진공 배기하고, 상기 제1 공정에 있어서의 상기 밸브의 개방도를 상기 제2 및 제4 공정에 있어서의 상기 밸브 개방도의 5 내지 95 %로 설정한다. In the first to fourth steps, the inside of the processing region is continuously evacuated through the exhaust passage, and the opening degree of the valve in the first step is determined in the second and fourth steps. Is set to 5 to 95% of the valve opening degree.

본 발명의 제3 시점은, 프로세서 상에서 실행하기 위한 프로그램 지령을 포함하는 컴퓨터로 판독 가능한 매체이며, A third viewpoint of the present invention is a computer readable medium containing program instructions for executing on a processor,

상기 프로그램 지령은, 프로세서에 의해 실행될 때, 실란계 가스를 포함하는 제1 처리 가스와, 질화 가스, 산질화 가스 및 산화 가스로 이루어지는 군으로부터 선택된 가스를 포함하는 제2 처리 가스와, 퍼지 가스를 선택적으로 공급 가능한 처리 영역 내에서 피처리 기판 상에 CVD에 의해 실리콘 함유 절연막을 형성하는 성막 장치에, The program instruction, when executed by a processor, includes a first processing gas containing a silane-based gas, a second processing gas including a gas selected from the group consisting of a nitriding gas, an oxynitride gas, and an oxidizing gas, and a purge gas. In a film forming apparatus for forming a silicon-containing insulating film by CVD on a substrate to be processed in a processing region that can be selectively supplied,

상기 처리 영역에 대한 상기 제1 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제2 처리 가스 및 퍼지 가스의 공급을 정지하는 제1 공정과,A first step of supplying the first processing gas to the processing region, and stopping supply of the second processing gas and purge gas to the processing region;

상기 처리 영역에 대한 상기 퍼지 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 및 제2 처리 가스의 공급을 정지하는 제2 공정과, A second step of supplying the purge gas to the processing region and stopping supply of the first and second processing gases to the processing region;

상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 처리 가스 및 퍼지 가스의 공급을 정지하는 제3 공정과,A third step of supplying the second processing gas to the processing region while stopping supply of the first processing gas and the purge gas to the processing region;

상기 처리 영역에 대한 상기 퍼지 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 및 제2 처리 가스의 공급을 정지하는 제4 공정을 교대로 구비하고, A fourth step of alternately providing the purge gas to the processing region and stopping the supply of the first and second processing gases to the processing region;

상기 제1 공정 내지 상기 제4 공정에 걸쳐서, 개방도 조정용 밸브가 배치된 배기 통로를 거쳐서 상기 처리 영역 내부를 계속적으로 진공 배기하고, 상기 제1 공정에 있어서의 상기 밸브의 개방도를 상기 제2 및 제4 공정에 있어서의 상기 밸 브의 개방도의 5 내지 95 %로 설정하는 성막 처리를 실시하게 한다.Throughout the first step to the fourth step, the inside of the processing region is continuously evacuated through an exhaust passage in which an opening degree adjustment valve is arranged, and the opening degree of the valve in the first step is determined by the second step. And a film forming process for setting the valve to 5 to 95% of the opening degree of the valve in the fourth step.

본 발명의 부가의 목적 및 장점은 이어지는 상세한 설명에 설명되고, 부분적으로는 상세한 설명으로부터 명백해지거나 본 발명의 실시에 의해 습득될 수 있을 것이다. 본 발명의 목적 및 장점은 특히 이하에 지시된 도구들 및 조합들에 의해 실현되고 얻어질 수 있다.Additional objects and advantages of the invention will be set forth in the description which follows, and in part will be obvious from the description, or may be learned by practice of the invention. The objects and advantages of the invention can be realized and attained, in particular, by the tools and combinations indicated below.

본 명세서에 합체되어 그 일부를 구성하는 첨부 도면은 본 발명의 바람직한 실시예를 예시하고, 전술된 일반적인 설명 및 후술하는 바람직한 실시예의 상세한 설명과 함께 본 발명의 원리를 설명하는 기능을 한다.BRIEF DESCRIPTION OF THE DRAWINGS The accompanying drawings, which are incorporated in and constitute a part of this specification, illustrate the preferred embodiments of the present invention and together with the foregoing general description and the detailed description of the preferred embodiments described below, serve to explain the principles of the invention.

본 발명자들은 본 발명의 개발 과정에서, 특허문헌 2에 개시되는 종래의 반도체 처리용 성막 장치에 있어서 발생하는 문제점에 대해 연구하였다. 그 결과, 본 발명자들은 이하에 서술하는 바와 같은 지견을 얻었다. MEANS TO SOLVE THE PROBLEM The present inventors studied the problem which arises in the film-forming apparatus for the conventional semiconductor processing disclosed by patent document 2 in the development process of this invention. As a result, the present inventors obtained the knowledge as described below.

도14는 특허문헌 2에 개시되는 종래의 종형의 성막 장치를 도시하는 개략 구성도이다. 도15는 특허문헌 2에 개시되는 성막 방법에 있어서의 가스 공급 및 배기 통로의 개방도 등을 나타내는 타이밍차트이다.It is a schematic block diagram which shows the conventional vertical type film-forming apparatus disclosed by patent document 2. FIG. FIG. 15 is a timing chart showing the degree of opening of the gas supply and the exhaust passage in the film forming method disclosed in Patent Document 2. FIG.

도14에 도시한 바와 같이, 이 장치의 처리 용기(302) 내에는 웨이퍼 보트(304) 상에 다단으로 지지된 복수매의 반도체 웨이퍼(W)가 수용된다. 처리 용기(302)에는 실란계 가스인 디클로로실란(DCS : SiH2Cl2) 가스와 질화 가스인 암모니아(NH3) 가스의 공급계가 접속된다. 또한, 처리 용기(302)에는 배기 밸브(308)와 진공 펌프(310)가 차례로 개재 설치된 배기계(306)가 접속된다. 처리시에는 도15 에 도시한 바와 같이 처리 용기(302) 내에 DCS 가스와 암모니아 가스가 퍼지 기간을 두고 교대로 간헐적으로 공급된다. 이 때, DCS 가스는 증기압이 낮기 때문에, DCS 가스의 공급시에는 배기 밸브(308)가 완전히 폐쇄된다. 이에 의해, 처리 용기(302) 내의 압력을 높여 DCS 가스의 웨이퍼 표면에의 흡착을 돕는다(흡착량을 증가시킴). As shown in Fig. 14, a plurality of semiconductor wafers W supported in multiple stages on the wafer boat 304 are accommodated in the processing vessel 302 of this apparatus. The processing vessel 302 is connected to a supply system of dichlorosilane (DCS: SiH 2 Cl 2 ) gas, which is a silane gas, and ammonia (NH 3 ) gas, which is a nitride gas. In addition, an exhaust system 306 in which an exhaust valve 308 and a vacuum pump 310 are sequentially provided is connected to the processing container 302. At the time of processing, as shown in Fig. 15, DCS gas and ammonia gas are intermittently supplied in the processing container 302 with a purge period. At this time, since the DCS gas has a low vapor pressure, the exhaust valve 308 is completely closed when the DCS gas is supplied. This increases the pressure in the processing vessel 302 to help adsorption of DCS gas onto the wafer surface (increases the amount of adsorption).

그러나, 상기한 방법에서는 배기 밸브(308)의 완전 폐쇄시에 용기(302) 내의 압력이 한순간 평형 상태가 된다. 이로 인해, 배기계의 내벽 등에 부착되어 있던 예를 들어 염화암모늄 등의 반응 부생성물의 미립자가 박리되어 떨어져 역류하는 경우가 있다. 이와 같은 미립자는 웨이퍼 표면 등에 부착하여 파티클 생성의 핵이 될 가능성이 있다. However, in the above method, the pressure in the container 302 becomes equilibrium for a moment when the exhaust valve 308 is completely closed. For this reason, the microparticles | fine-particles of reaction byproducts, such as ammonium chloride, etc. which adhered to the inner wall of an exhaust system, etc. may peel and fall back and may flow. Such fine particles may adhere to the wafer surface or the like and become a nucleus for particle generation.

또한, 배기 밸브(308)로서는 개폐 밸브와 압력 조정 밸브의 2개의 기능을 모두 갖는 소위 콤비네이션 밸브를 사용할 수 있다. 이 경우, 콤비네이션 밸브에 배치되는 O링 등의 밀봉 부재에 배기 밸브(308)의 완전 폐쇄시에 반응 부생성물이 퇴적한다. 이 결과, 퇴적물이 밀봉 부재의 밀봉성을 저해하여 내부 누설이 생길 가능성이 있다. 이 문제에의 대책으로서, 밀봉 부재를 반응 부생성물의 승화 온도 이상으로 가열하여 반응 부생성물의 부착을 방지할 수 있다. 그러나, 이 대책에서는 배기 밸브(308)에 대해 내열처리를 실시할 필요가 있으므로, 밸브 구조가 복잡화하여 실제적이 아니다.As the exhaust valve 308, a so-called combination valve having both functions of an on-off valve and a pressure regulating valve can be used. In this case, the reaction byproducts are deposited on the sealing member such as the O-ring disposed in the combination valve when the exhaust valve 308 is completely closed. As a result, the deposit may hinder the sealability of the sealing member and cause internal leakage. As a countermeasure to this problem, the sealing member can be heated above the sublimation temperature of the reaction byproduct to prevent adhesion of the reaction byproduct. However, in this countermeasure, it is necessary to heat-resistant the exhaust valve 308, which makes the valve structure complicated and not practical.

이하에, 이와 같은 지견을 기초로 하여 구성된 본 발명의 실시 형태에 대해 도면을 참조하여 설명한다. 또, 이하의 설명에 있어서, 대략 동일한 기능 및 구성 을 갖는 구성 요소에 대해서는 동일한 부호를 부여하고, 중복 설명은 필요한 경우에만 행한다. EMBODIMENT OF THE INVENTION Below, embodiment of this invention comprised based on such knowledge is demonstrated with reference to drawings. In addition, in the following description, the same code | symbol is attached | subjected about the component which has substantially the same function and structure, and duplication description is performed only when necessary.

도1은 본 발명의 실시 형태에 관한 성막 장치(종형 CVD 장치)를 도시하는 단면도이다. 도2는 도1에 도시한 장치의 일부를 도시하는 횡단 평면도이다. 이 성막 장치(2)는 실란계 가스인 디클로로실란(DCS) 가스를 포함하는 제1 처리 가스와, 질화 가스인 암모니아(NH3) 가스를 포함하는 제2 처리 가스와, N2 가스 등의 불활성 가스로 이루어지는 퍼지 가스를 선택적으로 공급 가능한 처리 영역을 구비한다. 성막 장치(2)는 이와 같은 처리 영역 내에서 피처리 기판 상에 CVD에 의해 실리콘 질화막을 형성하도록 구성된다. 또, 후술하는 바와 같이, 처리 영역에는 붕소 함유 가스인 BCl3 가스를 포함하는 제3 처리 가스 및/또는 탄화수소 가스인 C2H4 가스(에틸렌 가스)를 포함하는 제4 처리 가스도 선택적으로 공급 가능하게 구성할 수 있다. 1 is a cross-sectional view showing a film forming apparatus (vertical CVD apparatus) according to an embodiment of the present invention. 2 is a cross-sectional plan view showing a part of the apparatus shown in FIG. The film forming apparatus 2 includes a first processing gas containing a dichlorosilane (DCS) gas, which is a silane-based gas, a second processing gas containing an ammonia (NH 3 ) gas, which is a nitriding gas, and an inert gas such as an N 2 gas. A processing region capable of selectively supplying a purge gas made of gas is provided. The film forming apparatus 2 is configured to form a silicon nitride film by CVD on the substrate to be processed in such a processing region. In addition, as described later, a third processing gas containing BCl 3 gas, which is a boron-containing gas, and / or a fourth processing gas containing C 2 H 4 gas (ethylene gas), which is a hydrocarbon gas, is selectively supplied to the processing region. It can be configured as possible.

성막 장치(2)는 간격을 두고 중첩된 복수의 반도체 웨이퍼(피처리 기판)를 수납하여 처리하는 처리 영역(5)을 내부로 규정하는 하단부가 개방된 천정이 있는 원통체 형상의 처리 용기(4)를 갖는다. 처리 용기(4)의 전체는 예를 들어 석영에 의해 형성된다. 처리 용기(4) 내의 천정에는 석영제의 천정판(6)이 배치되어 밀봉된다. 처리 용기(4)의 하단부 개구에는 원통체 형상으로 성형된 매니폴드(8)가 O링 등의 밀봉 부재(10)를 거쳐서 연결된다. 또, 매니폴드(8)를 별도로 설치하지 않고, 전체를 원통체 형상의 석영제의 처리 용기로 구성할 수도 있다.The film forming apparatus 2 has a cylindrical-shaped processing container 4 having a ceiling having an open lower end portion defining therein a processing region 5 for storing and processing a plurality of semiconductor wafers (to-be-processed substrates) superimposed at intervals. Has The whole of the processing container 4 is formed of, for example, quartz. The ceiling plate 6 made of quartz is arranged and sealed on the ceiling in the processing container 4. A manifold 8 formed in a cylindrical shape is connected to the lower end opening of the processing container 4 via a sealing member 10 such as an O-ring. Moreover, the whole manifold 8 can also be comprised by the cylindrical processing container made from a cylindrical shape, without providing separately.

매니폴드(8)는 예를 들어 스테인레스 스틸로 이루어지고, 처리 용기(4)의 하단부를 지지한다. 매니폴드(8)의 하단부 개구를 통해 석영제의 웨이퍼 보트(12)가 승강하게 되고, 이에 의해 처리 용기(4)에 대해 웨이퍼 보트(12)가 로드/언로드된다. 웨이퍼 보트(12)에는 피처리 기판으로서 다수매의 반도체 웨이퍼(W)가 다단으로 적재된다. 예를 들어, 본 실시 형태의 경우에 있어서, 웨이퍼 보트(12)의 지지 기둥(12A)에는 예를 들어 50 내지 100매 정도의 직경이 300 ㎜인 웨이퍼(W)가 대략 등피치로 다단으로 지지 가능해진다. The manifold 8 is made of stainless steel, for example, and supports the lower end of the processing vessel 4. The wafer boat 12 made of quartz is lifted and lowered through the lower end opening of the manifold 8, thereby loading / unloading the wafer boat 12 with respect to the processing container 4. In the wafer boat 12, a plurality of semiconductor wafers W are stacked in multiple stages as a substrate to be processed. For example, in the case of this embodiment, the support column 12A of the wafer boat 12 can support the wafer W which is 300 mm in diameter about 50-100 sheets, for example in multiple steps at substantially equal pitch. Become.

웨이퍼 보트(12)는 석영제의 보온통(14)을 거쳐서 테이블(16) 상에 적재된다. 테이블(16)은 매니폴드(8)의 하단부 개구를 개폐하는 예를 들어 스테인레스 스틸제의 덮개체(18)를 관통하는 회전축(20) 상에 지지된다. The wafer boat 12 is mounted on the table 16 via a quartz insulator 14. The table 16 is supported on the rotating shaft 20 passing through the lid 18 made of, for example, stainless steel to open and close the lower end opening of the manifold 8.

회전축(20)의 관통부에는 예를 들어 자성 유체 밀봉부(22)가 개재 설치되어 회전축(20)을 기밀하게 밀봉하면서 회전 가능하게 지지한다. 덮개체(18)의 주변부와 매니폴드(8)의 하단부에는 예를 들어 O링 등으로 이루어지는 밀봉 부재(24)가 개재 설치되어 용기 내의 밀봉성을 유지한다. For example, a magnetic fluid sealing portion 22 is interposed in the penetrating portion of the rotary shaft 20 to rotatably support the rotary shaft 20 while hermetically sealing it. The sealing member 24 which consists of O-rings etc. is interposed in the peripheral part of the lid | cover body 18, and the lower end part of the manifold 8, for example, and maintains the sealing property in a container.

회전축(20)은 예를 들어 보트 엘리베이터 등의 승강 기구(25)에 지지된 아암(26)의 선단부에 설치된다. 승강 기구(25)에 의해 웨이퍼 보트(12) 및 덮개체(18) 등이 일체적으로 승강하게 된다. 또, 테이블(16)을 덮개체(18)측에 고정하여 설치하여, 웨이퍼 보트(12)를 회전시키지 않고 웨이퍼(W)의 처리를 행하도록 해도 좋다. The rotary shaft 20 is provided at the tip of the arm 26 supported by the lifting mechanism 25 such as a boat elevator, for example. By the elevating mechanism 25, the wafer boat 12, the lid 18, and the like are raised and lowered integrally. In addition, the table 16 may be fixed to the cover body 18 side to process the wafer W without rotating the wafer boat 12.

매니폴드(8)의 측부에는 처리 용기(4) 내의 처리 영역(5)에 소정의 처리 가 스를 공급하기 위한 가스 공급부가 접속된다. 가스 공급부는 제2 처리 가스 공급계(28), 제1 처리 가스 공급계(30) 및 퍼지 가스 공급계(32)를 포함한다. 제1 처리 가스 공급계(30)는 실란계 가스로서 DCS(디클로로실란) 가스를 포함하는 제1 처리 가스를 공급한다. 제2 처리 가스 공급계(28)는 질화 가스로서 암모니아(NH3) 가스를 포함하는 제2 처리 가스를 공급한다. 퍼지 가스 공급계(32)는 퍼지 가스로서 불활성 가스, 예를 들어 N2 가스를 공급한다. 제1 처리 가스 및 제2 처리 가스에는 필요에 따라서 적당한 양의 캐리어 가스가 혼합되지만, 이하에서는 설명을 용이하게 하기 위해 캐리어 가스에 대해서는 언급하지 않는다. The gas supply part for supplying predetermined process gas to the process area | region 5 in the process container 4 is connected to the side part of the manifold 8. The gas supply unit includes a second process gas supply system 28, a first process gas supply system 30, and a purge gas supply system 32. The first processing gas supply system 30 supplies a first processing gas containing a DCS (dichlorosilane) gas as the silane-based gas. The second processing gas supply system 28 supplies a second processing gas containing ammonia (NH 3 ) gas as the nitriding gas. The purge gas supply system 32 supplies an inert gas, for example N 2 gas, as the purge gas. Although an appropriate amount of carrier gas is mixed with the first processing gas and the second processing gas as necessary, the following description does not refer to the carrier gas for ease of explanation.

구체적으로는, 제2 처리 가스 공급계(28), 제1 처리 가스 공급계(30) 및 퍼지 가스 공급계(32)는 매니폴드(8)의 측벽을 내측으로 관통하여 상방향으로 굴곡되어 연장되는 석영관으로 이루어지는 가스 분산 노즐(34, 36, 38)을 각각 갖는다(도2 참조). 각 가스 분산 노즐(34, 36, 38)에는 그 길이 방향(상하 방향)을 따라 또한 웨이퍼 보트(12) 상의 웨이퍼(W) 전체에 걸치도록 복수의 가스 분사 구멍(34A, 36A, 38A)이 소정의 간격을 두고 형성된다. 가스 분사 구멍(34A, 36A, 38A)은 웨이퍼 보트(12) 상의 복수의 웨이퍼(W)에 대해 평행한 가스류를 형성하도록 수평 방 향으로 대략 균일하게 제2 처리 가스(NH3 가스를 포함함), 제1 처리 가스(DCS를 포함함) 및 퍼지 가스(N2)를 각각 공급한다. Specifically, the second process gas supply system 28, the first process gas supply system 30, and the purge gas supply system 32 penetrate inwardly through the side wall of the manifold 8 and extend upwardly. Gas dispersion nozzles 34, 36, and 38 each consisting of a quartz tube. Each gas dispersion nozzle 34, 36, 38 is provided with a plurality of gas injection holes 34A, 36A, 38A along its longitudinal direction (up and down direction) and over the entire wafer W on the wafer boat 12. It is formed at intervals of. The gas injection holes 34A, 36A, 38A comprise a second process gas (NH 3 gas) approximately uniformly in the horizontal direction to form a gas stream parallel to the plurality of wafers W on the wafer boat 12. ), A first processing gas (including a DCS) and a purge gas (N 2 ) are respectively supplied.

노즐(34, 36, 38)은 가스 공급 라인(가스 통로)(42, 44, 46)을 거쳐서 NH3 가스, DCS 가스 및 N2 가스의 가스원(28S, 30S, 32S)에 각각 접속된다. 가스 공급 라인(42, 44, 46) 상에는 개폐 밸브(42A, 44A, 46A)와 매스플로우 컨트롤러와 같은 유량 제어기(42B, 44B, 46B)가 배치된다. 이에 의해, NH3 가스, DCS 가스 및 N2 가스가 각각 유량 제어되면서 공급 가능해진다. The nozzles 34, 36, 38 are connected to gas sources 28S, 30S, 32S of NH 3 gas, DCS gas and N 2 gas, respectively, via gas supply lines (gas passages) 42, 44, 46. On the gas supply lines 42, 44, 46, on-off valves 42A, 44A, 46A and flow controllers 42B, 44B, 46B such as mass flow controllers are arranged. As a result, the NH 3 gas, the DCS gas, and the N 2 gas can be supplied while controlling the flow rate.

처리 용기(4)의 측벽의 일부에는 그 높이 방향을 따라 가스 여기부(50)가 배치된다. 가스 여기부(50)에 대향하는 처리 용기(4)의 반대측에는 이 내부 분위기를 진공 배기하기 위해 처리 용기(4)의 측벽을, 예를 들어 상하 방향으로 깎아냄으로써 형성한 가늘고 긴 배기구(52)가 배치된다.The gas excitation part 50 is arrange | positioned along the height direction in a part of the side wall of the processing container 4. On the opposite side of the processing vessel 4 facing the gas excitation section 50, an elongated exhaust port 52 formed by shaving the side wall of the processing vessel 4 in the vertical direction, for example, to evacuate the internal atmosphere. Is placed.

구체적으로는, 가스 여기부(50)는 처리 용기(4)의 측벽을 상하 방향을 따라 소정의 폭으로 깎아냄으로써 형성한 상하로 가늘고 긴 개구(54)를 갖는다. 개구(54)는 처리 용기(4)의 외벽에 기밀하게 용접 접합된 석영제의 커버(56)에 의해 덮여진다. 커버(56)는 처리 용기(4)의 외측으로 돌출되도록 단면 오목부 형상을 이루고, 또한 상하로 가늘고 긴 형상을 갖는다. Specifically, the gas excitation section 50 has a vertically long and narrow opening 54 formed by shaving the sidewall of the processing container 4 in a predetermined width along the vertical direction. The opening 54 is covered by a quartz cover 56 hermetically welded to the outer wall of the processing container 4. The cover 56 has a cross-sectional recessed shape so as to protrude out of the processing container 4 and has a long and thin shape vertically.

이 구성에 의해, 처리 용기(4)의 측벽으로부터 돌출되면서 또한 일측이 처리 용기(4) 내로 개방되는 가스 여기부(50)가 형성된다. 즉, 가스 여기부(50)의 내부 공간은 처리 용기(4) 내의 처리 영역(5)에 연통한다. 개구(54)는 웨이퍼 보트(12)에 유지되는 모든 웨이퍼(W)를 높이 방향에 있어서 커버할 수 있도록 상하 방향으로 충분히 길게 형성된다. By this structure, the gas excitation part 50 which protrudes from the side wall of the processing container 4 and is open to the processing container 4 is formed. That is, the internal space of the gas excitation part 50 communicates with the processing region 5 in the processing container 4. The opening 54 is formed long enough in the vertical direction to cover all the wafers W held in the wafer boat 12 in the height direction.

커버(56)의 양 측벽의 외측면에는 그 길이 방향(상하 방향)을 따라 서로 대 향하도록 하여 가늘고 긴 한 쌍의 전극(58)이 배치된다. 전극(58)에는 플라즈마 발생용 고주파 전원(60)이 급전 라인(62)을 거쳐서 접속된다. 전극(58)에 예를 들어 13.56 ㎒의 고주파 전압을 인가함으로써, 한 쌍의 전극(58) 사이에 플라즈마를 여기하기 위한 고주파 전계가 형성된다. 또, 고주파 전압의 주파수는 13.56 ㎒에 한정되지 않고, 다른 주파수, 예를 들어 400 ㎑ 등을 이용해도 좋다.A pair of elongated electrodes 58 are disposed on the outer surfaces of both side walls of the cover 56 so as to face each other along the longitudinal direction (up and down direction). The high frequency power supply 60 for plasma generation is connected to the electrode 58 via the power supply line 62. By applying a high frequency voltage of, for example, 13.56 MHz to the electrode 58, a high frequency electric field for exciting plasma is formed between the pair of electrodes 58. The frequency of the high frequency voltage is not limited to 13.56 MHz, but other frequencies, for example, 400 kHz or the like may be used.

제2 처리 가스의 가스 분산 노즐(34)은 웨이퍼 보트(12) 상의 최하 레벨의 웨이퍼(W)보다도 하부 위치에서 처리 용기(4)의 반경 방향 외측으로 굴곡된다. 그 후, 가스 분산 노즐(34)은 가스 여기부(50) 내의 제일 안쪽[처리 용기(4)의 중심으로부터 제일 이격된 부분] 위치에서 수직으로 기립한다. 가스 분산 노즐(34)은 도2에도 도시한 바와 같이 한 쌍의 대향하는 전극(58) 사이에 끼인 영역(고주파 전계가 가장 강한 위치), 즉 주된 플라즈마가 실제로 발생하는 플라즈마 발생 영역(PS)보다도 외측으로 이격된 위치에 설치된다. 가스 분산 노즐(34)의 가스 분사 구멍(34A)으로부터 분사된 NH3 가스를 포함하는 제2 처리 가스는 플라즈마 발생 영역(PS)을 향해 분사되고, 여기서 여기(분해 혹은 활성화)되어, 그 상태에서 웨이퍼 보트(12) 상의 웨이퍼(W)에 공급된다. The gas dispersion nozzle 34 of the second processing gas is bent radially outward of the processing container 4 at a position lower than the wafer W at the lowest level on the wafer boat 12. Thereafter, the gas dispersion nozzle 34 stands vertically at the innermost position (part spaced farthest from the center of the processing container 4) in the gas excitation section 50. As also shown in Fig. 2, the gas dispersion nozzle 34 is positioned between the pair of opposing electrodes 58 (the position where the high frequency electric field is strongest), that is, the plasma generating region PS in which the main plasma is actually generated. It is installed at a position spaced outward. The second processing gas containing NH 3 gas injected from the gas injection hole 34A of the gas dispersion nozzle 34 is injected toward the plasma generating region PS, where it is excited (decomposed or activated), and in that state It is supplied to the wafer W on the wafer boat 12.

커버(56)의 외측에는 이를 덮도록 하여 예를 들어 석영으로 이루어지는 절연 보호 커버(64)가 설치된다. 절연 보호 커버(64)의 내측이며 전극(58)과 대향하는 부분에는 냉매 통로로 이루어지는 냉각 기구(도시하지 않음)가 배치된다. 냉매 통로에 냉매로서 예를 들어 냉각된 질소 가스를 흐르게 함으로써 전극(58)이 냉각된 다. 또, 절연 보호 커버(64)의 외측에는 이를 덮어 고주파의 누설을 방지하기 위해 실드(도시하지 않음)가 배치된다.An outer protective cover 64 made of, for example, quartz is provided outside the cover 56 to cover it. A cooling mechanism (not shown) made of a refrigerant passage is disposed at an inner side of the insulating protective cover 64 and facing the electrode 58. The electrode 58 is cooled by flowing, for example, cooled nitrogen gas as a refrigerant in the refrigerant passage. In addition, a shield (not shown) is disposed outside the insulation protective cover 64 to cover the cover to prevent leakage of high frequency.

가스 여기부(50)의 개구(54)의 외측 근방, 즉 개구(54)의 외측[처리 용기(4) 내부]의 양측에 제1 처리 가스 및 퍼지 가스의 가스 분산 노즐(36, 38)이 서로 대향하도록 수직으로 기립시켜 배치된다. 가스 분사 노즐(36, 38)에 형성된 가스 분사 구멍(36A, 38A)보다 처리 용기(4)의 중심 방향을 향해 DCS 가스를 포함하는 제1 처리 가스 및 N2 가스로 이루어지는 퍼지 가스가 각각 분사된다. The gas dispersion nozzles 36 and 38 of the first processing gas and the purge gas are disposed near the outer side of the opening 54 of the gas excitation unit 50, that is, on both sides of the outer side of the opening 54 (in the processing container 4). It is arranged to stand vertically to face each other. Gas jet nozzles (36, 38) the purge gas toward the center of the processing vessel (4) than the gas-injection holes (36A, 38A) made of a first processing gas and the N 2 gas containing DCS gas is respectively spray formed .

한편, 가스 여기부(50)에 대향시켜 마련한 배기구(52)에는 이를 덮도록 하여 석영으로 이루어지는 단면 역ㄷ자 형상으로 성형된 배기구 커버 부재(66)가 용접에 의해 설치된다. 배기 커버 부재(66)는 처리 용기(4)의 측벽을 따라 상방으로 연장되고, 처리 용기(4)의 상방에 가스 출구(68)가 형성된다. 가스 출구(68)에는 진공 펌프 등을 배치한 진공 배기계(GE)가 접속된다. On the other hand, an exhaust port cover member 66 formed so as to cover the gas excitation section 50 and formed into a cross-section inverted c-shape made of quartz is provided by welding. The exhaust cover member 66 extends upward along the sidewall of the processing container 4, and a gas outlet 68 is formed above the processing container 4. The gas outlet 68 is connected to a vacuum exhaust system GE in which a vacuum pump or the like is disposed.

처리 용기(4)를 포위하도록 처리 용기(4) 내의 분위기 및 웨이퍼(W)를 가열하는 히터(70)가 배치된다. 처리 용기(4) 내의 배기구(52)의 근방에는 히터(70)를 제어하기 위한 열전대(도시하지 않음)가 배치된다. The heater 70 which heats the atmosphere in the process container 4 and the wafer W is arrange | positioned so that the process container 4 may be surrounded. In the vicinity of the exhaust port 52 in the processing container 4, a thermocouple (not shown) for controlling the heater 70 is disposed.

진공 배기계(GE)는 가스 출구(68)에 연결된 배기 통로(84)를 갖고, 그 상류측으로부터 차례로 밸브 유닛(개방도 조정용 밸브)(86), 진공 펌프(88), 불필요 물질을 제거하는 해제 유닛(89)이 배치된다. 밸브 유닛(86)은 이른바 콤비네이션 밸브로 이루어지고, 개폐 밸브와 압력 조정 밸브의 2개 기능을 모두 갖는다. 즉, 밸 브 유닛(86)은 완전 개방 및 완전 폐쇄를 포함하여 밸브 개방도를 임의로 설정할 수 있는 밸브의 기능을 갖는다. 도3 및 도4는 밸브 유닛(86)을 도시하는 종단면도 및 횡단면도이다. The vacuum exhaust system GE has an exhaust passage 84 connected to the gas outlet 68, and releases the valve unit (valve for adjusting the opening degree) 86, the vacuum pump 88, and unnecessary substances in order from the upstream side thereof. Unit 89 is disposed. The valve unit 86 consists of a so-called combination valve and has both functions of an on-off valve and a pressure regulating valve. That is, the valve unit 86 has the function of a valve that can arbitrarily set the valve opening degree, including full open and full close. 3 and 4 are longitudinal and cross sectional views showing the valve unit 86.

도3 및 도4에 도시한 바와 같이 밸브 유닛(86)은 배기 통로(84)의 도중에 개재 설치된 원통 형상의 밸브 하우징(90)을 갖는다. 밸브 하우징(90)의 내부에는 밸브구(92)를 갖는 밸브 시트(94)가 배치된다. 밸브 시트(94)의 상류측에는 밸브 구동부(98)가 배치되고, 그 액튜에이터(100)로부터 로드(102)가 하류측을 향해 연장된다. 로드(102)의 선단부에는 밸브 시트(94)에 착좌하여 밸브구(92)를 폐쇄하는 밸브 부재(104)가 설치된다. 밸브 부재(104)와 밸브 구동부(98) 사이에 로드(102)를 포위하는 신축 가능한 벨로우즈(103)가 배치되어 액튜에이터(100)가 보호된다. 밸브 부재(104)에는 밸브구(92)를 완전히 밀폐할 수 있도록, 예를 들어 O링 등으로 이루어지는 밀봉 부재(106)가 배치된다. 밸브 구동부(98)의 주위에는 배기를 흐르게 하기 위한 복수의 연통로(96)가 환형으로 배치된다. 3 and 4, the valve unit 86 has a cylindrical valve housing 90 provided in the middle of the exhaust passage 84. As shown in FIG. Inside the valve housing 90, a valve seat 94 having a valve opening 92 is disposed. The valve drive part 98 is arrange | positioned at the upstream side of the valve seat 94, and the rod 102 extends from the actuator 100 toward downstream. At the distal end of the rod 102, a valve member 104 is provided which seats on the valve seat 94 and closes the valve port 92. Between the valve member 104 and the valve drive 98 an expandable bellows 103 surrounding the rod 102 is disposed to protect the actuator 100. In the valve member 104, a sealing member 106 made of, for example, an O-ring or the like is disposed so as to completely close the valve mechanism 92. In the circumference | surroundings of the valve drive part 98, the some communication path 96 for making exhaust flow is arrange | positioned annularly.

밸브 유닛(86)은 밸브 부재(104)를 왕복 이동함으로써, 완전 폐쇄 상태와 완전 개방 상태를 포함하는 임의의 밸브 개방도로 설정할 수 있다. 밸브 부재(104)는 반응 부생성물의 부착을 방지하기 위해, 소정 온도, 예를 들어 150 ℃ 정도로 가열할 수 있다. 또, 밸브 유닛(86)의 구성은 단순히 일예를 나타낸 것뿐으로, 이 구성에 한정되지 않는다. The valve unit 86 can be set to any valve opening degree including a fully closed state and a fully open state by reciprocating the valve member 104. The valve member 104 may be heated to a predetermined temperature, for example 150 ° C., to prevent the attachment of the reaction byproduct. The configuration of the valve unit 86 is merely an example and is not limited to this configuration.

성막 장치(2)는 장치 전체의 동작을 제어하는 컴퓨터 등으로 이루어지는 주제어부(48)를 더 구비한다. 주제어부(48)는 이에 부수되는 기억부에 미리 기억된 성막 처리의 처리 레시피, 예를 들어 형성되는 막의 막두께나 조성에 따라서 후술하는 성막 처리를 행한다. 이 기억부에는 또한 처리 가스 유량과 막의 두께나 조성과의 관계가 미리 제어 데이터로서 기억된다. 따라서, 주제어부(48)는 이들의 기억된 처리 레시피나 제어 데이터를 기초로 하여 승강 기구(25), 가스 공급계(28, 30, 32), 배기계(GE)[밸브 유닛(86)을 포함함], 가스 여기부(50), 히터(70) 등을 제어할 수 있다. The film forming apparatus 2 further includes a main controller 48 made of a computer or the like for controlling the operation of the entire apparatus. The main control unit 48 performs the film forming process described later according to the processing recipe of the film forming process stored in advance in the storage unit accompanying this, for example, the film thickness and composition of the film to be formed. In this storage section, the relationship between the processing gas flow rate and the thickness and composition of the film is stored in advance as control data. Therefore, the main control part 48 includes the elevating mechanism 25, the gas supply systems 28, 30, and 32, and the exhaust system GE (the valve unit 86) based on these stored process recipes or control data. Box, the gas excitation unit 50, the heater 70 and the like can be controlled.

다음에, 도1에 도시하는 장치를 이용하여 행해지는 성막 방법[소위 ALD(Atomic Layer Deposition) 성막]에 대해 설명한다. 개략적으로는, 이 성막 방법에서는 웨이퍼(W)를 수납한 처리 영역(5) 내에 실란계 가스인 디클로로실란(DCS) 가스를 포함하는 제1 처리 가스와, 질화 가스인 암모니아(NH3) 가스를 포함하는 제2 처리 가스를 선택적으로 공급하고, CVD에 의해 웨이퍼(W) 상에 실리콘 질화막을 형성한다. Next, a film formation method (so-called ALD (Atomic Layer Deposition) film formation) performed using the apparatus shown in FIG. 1 will be described. In this film forming method, the first processing gas containing dichlorosilane (DCS) gas, which is a silane-based gas, and the ammonia (NH 3 ) gas, which is a nitride gas, are disposed in the processing region 5 containing the wafer W. A second processing gas that is included is selectively supplied, and a silicon nitride film is formed on the wafer W by CVD.

우선, 복수매, 예를 들어 50 내지 100매의 300 ㎜ 사이즈의 웨이퍼(W)를 유지한 상온의 웨이퍼 보트(12)를 소정의 온도로 설정된 처리 용기(4) 내에 로드한다. 다음에, 처리 용기(8) 내부를 진공 배기하여 소정의 처리 압력으로 유지하는 동시에, 웨이퍼 온도를 상승시켜 성막용 처리 온도로 안정될 때까지 대기한다. 다음에, DCS 가스를 포함하는 제1 처리 가스와 NH3 가스를 포함하는 제2 처리 가스와 N2 가스로 이루어지는 퍼지 가스를 각각 유량 제어하면서 가스 분산 노즐(36, 34, 38)로부터 간헐적으로 공급한다. First, the wafer boat 12 of normal temperature holding the plurality of sheets, for example, 50 to 100 300 mm wafers W is loaded into the processing container 4 set at a predetermined temperature. Next, the inside of the processing container 8 is evacuated and maintained at a predetermined processing pressure, and the wafer temperature is raised to stand by until it is stabilized at the film forming processing temperature. Next, the flow rate is controlled intermittently from the gas dispersion nozzles 36, 34, 38 while respectively controlling the flow rate of the first process gas containing the DCS gas and the second process gas containing the NH 3 gas and the N 2 gas. do.

구체적으로는, DCS 가스를 포함하는 제1 처리 가스는 가스 분산 노즐(36)의 가스 분사 구멍(36A)으로부터 웨이퍼 보트(12) 상의 복수의 웨이퍼(W)에 대해 평행한 가스류를 형성하도록 공급된다. 이 동안에, DCS 가스의 분자 혹은 그 분해에 의해 발생한 분해 생성물의 분자 혹은 원자가 웨이퍼 상에 흡착된다. Specifically, the first processing gas containing the DCS gas is supplied from the gas injection hole 36A of the gas dispersion nozzle 36 to form a gas flow parallel to the plurality of wafers W on the wafer boat 12. do. During this time, molecules of DCS gas or molecules or atoms of decomposition products generated by the decomposition thereof are adsorbed on the wafer.

한편, NH3 가스를 포함하는 제2 처리 가스는 가스 분산 노즐(34)의 가스 분사 구멍(34A)으로부터 웨이퍼 보트(12) 상의 복수의 웨이퍼(W)에 대해 평행한 가스류를 형성하도록 공급된다. 제2 처리 가스는 한 쌍의 전극(58) 사이의 플라즈마 발생 영역(PS)을 통과할 때에 선택적으로 여기되어 일부가 플라즈마화된다. 이 때, 예를 들어 N*, NH*, NH2*, NH3* 등의 래디컬(활성종)이 생성된다(기호「*」는 래디컬인 것을 나타냄). 이들 래디컬은 가스 여기부(50)의 개구(54)로부터 처리 용기(4)의 중심을 향해 유출되고, 웨이퍼(W) 상호간에 층류 상태에서 공급된다. On the other hand, the second processing gas containing the NH 3 gas is supplied from the gas injection hole 34A of the gas dispersion nozzle 34 to form a gas flow parallel to the plurality of wafers W on the wafer boat 12. . The second processing gas is selectively excited when passing through the plasma generating region PS between the pair of electrodes 58, thereby partially converting the plasma. At this time, for example, N *, NH *, NH 2 *, NH 3 * radicals, such as (activated species) is generated (symbol "*" denotes that the radical). These radicals flow out from the opening 54 of the gas excitation section 50 toward the center of the processing container 4, and are supplied in a laminar flow state between the wafers W.

상기 래디컬은 웨이퍼(W)의 표면에 부착되어 있는 DCS 가스의 분자 등과 반응하고, 이에 의해 웨이퍼(W) 상에 실리콘 질화막이 형성된다. 또, 이와는 반대로, 웨이퍼(W)의 표면에 래디컬이 부착되어 있는 장소에 DCS 가스가 흘러 온 경우에도 동일한 반응이 생겨 웨이퍼(W) 상에 실리콘 질화막이 형성된다. The radical reacts with molecules of the DCS gas attached to the surface of the wafer W, thereby forming a silicon nitride film on the wafer W. As shown in FIG. On the contrary, the same reaction occurs even when the DCS gas flows in a place where radicals are attached to the surface of the wafer W, and a silicon nitride film is formed on the wafer W. FIG.

또한, DCS 가스를 포함하는 제1 처리 가스를 공급하는 공정 직후 및 NH3 가스를 포함하는 제2 처리 가스를 공급하는 공정 직후에 N2 가스로 이루어지는 퍼지 가스가 처리 영역(5) 내에 공급된다. 퍼지 가스는 가스 분산 노즐(38)의 가스 분사 구멍(38A)으로부터 웨이퍼 보트(12) 상의 복수의 웨이퍼(W)에 대해 평행한 가스 류를 형성하도록 공급된다. 이 퍼지 가스류에 의해 처리 영역(5) 내에 잔류하는 DCS 가스나 그 분해 성분, 혹은 NH3 가스나 그 분해 성분이 제거된다. Further, a purge gas made of N 2 gas is supplied into the processing region 5 immediately after the process of supplying the first process gas containing the DCS gas and immediately after the process of supplying the second process gas containing the NH 3 gas. The purge gas is supplied from the gas injection hole 38A of the gas dispersion nozzle 38 to form a gas flow parallel to the plurality of wafers W on the wafer boat 12. The purge gas flow removes the DCS gas and its decomposed components, or the NH 3 gas and its decomposed components remaining in the processing region 5.

상술한 성막 처리의 실시 중, 처리 영역(5)은 배기 통로(84)를 거쳐서 진공 배기계(GE)에 의해 계속적으로 진공 배기된다. 여기서, 배기 통로(84)의 밸브 유닛(86)의 개방도를 제어하고, 제1 처리 가스를 공급하는 공정에 있어서의 개방도를 퍼지 가스를 공급하는 공정에 있어서의 개방도의 5 내지 95 %로 설정한다. During the above-described film forming process, the processing region 5 is continuously evacuated by the vacuum exhaust system GE via the exhaust passage 84. Here, 5 to 95% of the opening degree in the process of controlling the opening degree of the valve unit 86 of the exhaust passage 84, and supplying the purge gas to the opening degree in the process of supplying a 1st process gas. Set to.

<제1 실시 형태><First Embodiment>

도5는 본 발명의 제1 실시 형태에 관한 성막 방법에 있어서의 가스 공급 및 배기 통로의 개방도 등을 나타내는 타이밍차트이다. 도5에 도시한 바와 같이 이 실시 형태에 관한 성막 방법에 있어서는, 제1 내지 제4 공정(T1 내지 T4)을 교대로 반복한다. 즉, 제1 내지 제4 공정(T1 내지 T4)으로 이루어지는 사이클을 다수회 반복하고, 사이클마다 형성되는 실리콘 질화막의 박막을 적층함으로써 최종적인 두께의 실리콘 질화막을 얻게 된다.Fig. 5 is a timing chart showing the degree of opening of the gas supply and the exhaust passage in the film forming method according to the first embodiment of the present invention. As shown in Fig. 5, in the film forming method according to this embodiment, the first to fourth steps (T1 to T4) are alternately repeated. That is, the silicon nitride film of the final thickness is obtained by repeating the cycle which consists of 1st-4th process (T1-T4) many times, and laminating | stacking the thin film of the silicon nitride film formed for every cycle.

구체적으로는, 제1 공정(T1)에서는 처리 영역(5)에 대한 제1 처리 가스(도5에서는 DCS라 표시)의 공급을 행하는 한편, 처리 영역(5)에 대한 제2 처리 가스(도5에서는 NH3이라 표시) 및 퍼지 가스(도5에서는 N2라 표시)의 공급을 정지한다. 제2 공정(T2)에서는 처리 영역(5)에 대한 퍼지 가스의 공급을 행하는 한편, 처리 영역(5)에 대한 제1 및 제2 처리 가스의 공급을 정지한다. 제3 공정(T3)에서는 처리 영역(5)에 대한 제2 처리 가스의 공급을 행하는 한편, 처리 영역(5)에 대한 제1 처 리 가스 및 퍼지 가스의 공급을 정지한다. 또한, 제3 공정(T3)에서는 도중에서부터 RF 전원(60)을 온(ON)하여 가스 여기부(50)에서 제2 처리 가스를 플라즈마화함으로써, 서브 공정(T3b) 사이만큼 제2 처리 가스를 여기한 상태에서 처리 영역(5)에 공급한다. 제4 공정(T4)에서는 처리 영역(5)에 대한 퍼지 가스의 공급을 행하는 한편, 처리 영역(5)에 대한 제1 및 제2 처리 가스의 공급을 정지한다. Specifically, in the first step T1, the first processing gas (denoted as DCS in FIG. 5) is supplied to the processing region 5, while the second processing gas (FIG. 5) is supplied to the processing region 5. In FIG. 5, supply of NH 3 ) and purge gas (in FIG. 5, N 2 ) are stopped. In the second step T2, the purge gas is supplied to the processing region 5, and the supply of the first and second processing gases to the processing region 5 is stopped. In the third step T3, the second processing gas is supplied to the processing region 5, and the supply of the first processing gas and the purge gas to the processing region 5 is stopped. In addition, in the third process T3, the RF power supply 60 is turned on from the middle to make the second process gas plasma in the gas excitation unit 50, so that the second process gas is supplied between the sub processes T3b. It supplies to the process area | region 5 in an excited state. In the fourth step T4, the purge gas is supplied to the processing region 5, and the supply of the first and second processing gases to the processing region 5 is stopped.

제1 공정으로부터 제4 공정에 걸쳐서, 처리 영역(5)은 배기 통로(84)를 거쳐서 진공 배기계(GE)에 의해 계속적으로 진공 배기된다. 제2 및 제4 공정(T2, T4)에 있어서, 배기 통로(84)의 밸브 유닛(86)의 개방도는 100 %(완전 개방)로 설정된다. 이에 의해, 처리 영역(5) 내에 잔류하는 반응성 가스를 퍼지 가스에 의해 빨리 배제할 수 있다. 또한, 제3 공정(T3)에 있어서도 배기 통로(84)의 밸브 유닛(86)의 개방도는 100 %(완전 개방)로 설정된다. 이에 의해, NH3에 유래하는 래디컬을 웨이퍼(W) 상에 적극적으로 공급하고, 웨이퍼(W) 상에 흡착하는 DCS 가스의 분자 등과의 반응을 촉진(성막률을 향상)시킬 수 있다.Throughout the first to fourth processes, the treatment region 5 is continuously evacuated by the vacuum exhaust system GE via the exhaust passage 84. In the second and fourth processes T2 and T4, the opening degree of the valve unit 86 of the exhaust passage 84 is set to 100% (completely open). Thereby, the reactive gas remaining in the process region 5 can be quickly excluded by the purge gas. In addition, also in 3rd process T3, the opening degree of the valve unit 86 of the exhaust path 84 is set to 100% (full opening). Thereby, radicals derived from NH 3 can be actively supplied onto the wafer W, and the reaction with molecules and the like of the DCS gas adsorbed onto the wafer W can be promoted (improved film formation rate).

한편, 제1 공정(T1)에 있어서, 밸브 유닛(86)의 개방도는 5 내지 20 %로 설정된다. 이 밸브 개방도는 웨이퍼(W)에 대한 DCS의 흡착의 촉진과, 처리 영역(5) 내에 존재하는 파티클수의 감소와의 균형을 고려하여 설정된다. 즉, 특히 DCS 가스의 경우, 그 증기압이 낮기 때문에 웨이퍼 표면에의 흡착성이 양호하지 않다. 이와 같은 가스의 웨이퍼 표면에의 흡착을 돕기(흡착량을 증가시키기) 위해서는, 제1 공정에 있어서의 처리 영역(5)의 압력을 높이는 것이 바람직하다. 따라서, 밸 브 유닛(86)의 개방도를 작게 하는 것은 성막률을 향상시키고, 처리의 처리량을 높이기 위해 결정하는 중요한 요소가 된다. 이러한 관점에 있어서는, 제1 공정에 있어서의 밸브 개방도는 작은 쪽이 바람직한 것이 된다. In addition, in 1st process T1, the opening degree of the valve unit 86 is set to 5 to 20%. This valve opening degree is set in consideration of the balance between the promotion of the adsorption of DCS to the wafer W and the reduction of the number of particles present in the processing region 5. That is, especially in the case of DCS gas, since its vapor pressure is low, adsorption to the wafer surface is not good. In order to assist the adsorption of such gas onto the wafer surface (increasing the amount of adsorption), it is preferable to increase the pressure of the processing region 5 in the first step. Therefore, decreasing the opening degree of the valve unit 86 is an important factor for determining to improve the film formation rate and increase the throughput of the treatment. In this respect, the smaller the valve opening degree in the first step is, the better.

한편, 가령 제1 공정(T1)에 있어서, 밸브 유닛(86)의 개방도가 0 %(완전 폐쇄)로 설정되면, 전술한 바와 같이 파티클에 관련된 다양한 문제가 발생한다. 이로 인해, 밸브 유닛(86)의 개방도를 상술한 값으로 설정하고, 제1 공정(T1)에 있어서도 항상 처리 영역(5) 내에 배기계에 흐르는 가스류를 형성하도록 한다. 이에 의해, 예를 들어 압력의 변동에 의해 배기계의 내벽으로부터 부착물 등이 박리되어 떨어져도, 이것이 역류하여 웨이퍼(W)의 표면 등에 부착하는 일은 없어진다. 또한, 밸브 개방도를 0 %(완전 폐쇄)로 하지 않음으로써, 밸브 유닛(86)의 밀봉 부재(106)에 염화암모늄 등의 반응 부생성물이 부착하지 않는다. 따라서, 밸브 유닛(86)에 부착물에 의한 내부 누설이 발생하는 것을 방지할 수 있다. 이들 관점에 있어서는, 제1 공정에 있어서의 밸브 개방도는 큰 쪽이 바람직한 것이 된다. On the other hand, in the first step T1, when the opening degree of the valve unit 86 is set to 0% (completely closed), various problems related to particles occur as described above. For this reason, the opening degree of the valve unit 86 is set to the above-mentioned value, and even in the 1st process T1, the gas stream which flows into an exhaust system in the process area | region 5 is always formed. As a result, even if deposits or the like peel off from the inner wall of the exhaust system due to a change in pressure, for example, this does not flow backward and adheres to the surface of the wafer W or the like. In addition, since the valve opening degree is not 0% (completely closed), reaction by-products such as ammonium chloride do not adhere to the sealing member 106 of the valve unit 86. Therefore, it is possible to prevent the internal leakage due to deposits on the valve unit 86. From these viewpoints, the larger the valve opening degree in a 1st process becomes more preferable.

상술한 바와 같은 관점으로부터, 제1 실시 형태에 있어서는 밸브 유닛(86)의 개방도가 웨이퍼(W)에 대한 DCS의 흡착의 촉진과, 처리 영역(5) 내에 존재하는 파티클수의 감소와의 균형을 제어하기 위한 매개 변수로서 사용된다. 구체적으로는, 제1 실시 형태에 있어서는 상술한 바와 같이 제1 공정에 있어서의 밸브 개방도(Vd1)는 제2 및 제4 공정에 있어서의 밸브 개방도의 5 내지 20 %로 설정된다. 밸브 개방도(Vd1)가 5 %보다도 작으면, 파티클이나 파티클 생성의 핵이 되는 물질의 배기 효과가 충분하지 않게 된다. 20 %보다도 커지면, 웨이퍼 표면에 대한 제 1 처리 가스의 흡착 효율이 허용 범위 미만이 된다. From the viewpoint as described above, in the first embodiment, the opening degree of the valve unit 86 balances the promotion of the adsorption of DCS to the wafer W and the reduction of the number of particles present in the processing region 5. It is used as a parameter to control. Specifically, in the first embodiment, as described above, the valve opening degree Vd1 in the first step is set to 5 to 20% of the valve opening degree in the second and fourth steps. If the valve opening degree Vd1 is smaller than 5%, the exhaust effect of the particles or the substance serving as the nucleus of the particle generation becomes insufficient. If it is larger than 20%, the adsorption efficiency of the first processing gas to the wafer surface is less than the allowable range.

또, 제1 공정(T1)에 있어서, 밸브 유닛(86)의 개방도가 작아지므로, 처리 영역(5) 내의 압력은 최저치[도5에서는 저(Low)라 표시]로부터 최고치[도5에서는 고(High)라 표시]로 점차 상승한다. 그러나, 이 압력은 제2 공정(T2)에 있어서 밸브 유닛(86)의 개방도가 100 %로 설정됨으로써, 최고치로부터 최저치로 점차 복귀된다. In the first step T1, since the opening degree of the valve unit 86 becomes small, the pressure in the processing region 5 is reduced from the lowest value (indicated as Low in FIG. 5) to the highest value in FIG. 5. It is gradually raised to (High). However, this pressure gradually returns from the highest value to the lowest value by setting the opening degree of the valve unit 86 at 100% in the second step T2.

도5에 있어서, 제1 공정(T1)은 약 1 내지 120초, 예를 들어 약 5초, 제2 공정(T2)은 약 1 내지 30초, 예를 들어 약 5초, 제3 공정(T3)은 약 1 내지 120초, 예를 들어 약 10초, 서브 공정(T3b)은 1 내지 120초, 예를 들어 약 8초, 제4 공정(T4)은 제1 내지 30초, 예를 들어 약 5초로 설정된다. 또한, 통상, 제1 내지 제4 공정(T1 내지 T4)의 1 사이클에 의해 형성되는 막두께는 0.05 내지 0.11 ㎚ 정도이다. 따라서, 목표 막두께가 예를 들어 70 ㎚이면, 이 사이클을 600 정도 반복하게 된다. 단, 이들 시간이나 두께는 단순히 일예를 나타낸 것에 지나지 않고, 이 수치에 한정되지 않는다. In FIG. 5, the first process T1 is about 1 to 120 seconds, for example about 5 seconds, and the second process T2 is about 1 to 30 seconds, for example about 5 seconds, and the third process (T3). ) Is about 1 to 120 seconds, for example about 10 seconds, sub-process T3b is 1 to 120 seconds, for example about 8 seconds, and fourth process (T4) is 1 to 30 seconds, for example about It is set to 5 seconds. In addition, the film thickness normally formed by 1 cycle of 1st-4th process (T1-T4) is about 0.05-0.11 nm. Therefore, if the target film thickness is 70 nm, for example, this cycle is repeated about 600 times. However, these time and thickness are only shown as an example and are not limited to this numerical value.

또, 제3 공정(T3)에서는 소정 시간(Δt)이 경과한 후에 RF 전원(60)을 온하여 가스 여기부(50)에서 제2 처리 가스를 플라즈마화함으로써, 서브 공정(T3b) 사이만큼 제2 처리 가스를 여기한 상태에서 처리 영역(5)에 공급한다. 이 소정 시간(Δt)이라 함은 NH3 가스의 유량이 안정될 때까지의 시간으로, 예를 들어 약 1초이다. 그러나, 제2 처리 가스의 공급 기간의 전기간에 걸쳐서 가스 여기부(50)에 서 제2 처리 가스를 플라즈마화해도 좋다. 이와 같이 제2 처리 가스의 유량이 안정화된 후에 RF 전원을 온하여 플라즈마를 발생시킴으로써, 웨이퍼(W)의 면간 방향(높이 방향)에 있어서의 활성종의 농도 균일성을 향상시킬 수 있다.In the third process T3, the RF power supply 60 is turned on after the predetermined time Δt has elapsed to convert the second processing gas into plasma in the gas excitation unit 50, thereby reducing the amount of time between the sub-processes T3b. 2 The processing gas is supplied to the processing region 5 in the excited state. This predetermined time Δt is a time until the flow rate of the NH 3 gas is stabilized, for example, about 1 second. However, the second processing gas may be converted into plasma in the gas excitation unit 50 over the entire period of the supply period of the second processing gas. In this way, after the flow rate of the second processing gas is stabilized, the RF power is turned on to generate the plasma, whereby the concentration uniformity of the active species in the interplanar direction (height direction) of the wafer W can be improved.

상기 성막 처리의 처리 조건은 다음과 같다. DCS 가스의 유량은 50 내지 2000 sccm의 범위 내, 예를 들어 1000 sccm(1 slm)이다. NH3 가스의 유량은 100 내지 5000 sccm의 범위 내, 예를 들어 3000 sccm이다. N2 가스의 유량은 300 내지 5000 sccm의 범위 내, 예를 들어 3000 sccm이다. 처리 온도는 통상의 CVD 처리보다도 낮은 온도로, 구체적으로는 250 내지 700 ℃의 범위 내, 바람직하게는 350 내지 600 ℃의 범위 내이다. 처리 온도가 250 ℃보다도 낮으면, 반응이 생기지 않아 거의 막이 퇴적하지 않는다. 처리 온도가 700 ℃보다도 높으면, 막질이 떨어지는 CVD에 의한 퇴적막이 형성되는 동시에, 이미 형성되어 있는 금속막 등에 열적 손상을 부여하게 된다.The processing conditions of the film forming process are as follows. The flow rate of the DCS gas is in the range of 50 to 2000 sccm, for example 1000 sccm (1 slm). The flow rate of NH 3 gas is in the range of 100 to 5000 sccm, for example 3000 sccm. The flow rate of the N 2 gas is in the range of 300 to 5000 sccm, for example 3000 sccm. The treatment temperature is lower than that of the normal CVD treatment, specifically, in the range of 250 to 700 ° C, and preferably in the range of 350 to 600 ° C. If the treatment temperature is lower than 250 ° C., no reaction occurs and almost no film is deposited. If the processing temperature is higher than 700 DEG C, a deposited film by CVD with poor film quality is formed, and thermal damage is caused to a metal film or the like already formed.

처리 압력은 최저치(도5에서는 저라 표시)가 0 내지 5 Torr의 범위 내이며, 바람직하게는 0 내지 1 Torr의 범위 내이다. 또한, 최고치(도5에서는 고라 표시)가 0.1 내지 10 Torr의 범위 내이고, 바람직하게는 0.1 내지 5 Torr의 범위 내이다. 또, 1 Torr = 133.3 ㎩이다. 예를 들어, 처리 압력은 제1 공정(흡착 공정)(T1)에서는 1 Torr, 제3 공정(플라즈마를 이용하는 질화 공정)(T3)에서는 0.3 Torr이다. 처리 압력이 0.1 Torr보다도 작은 경우에는 성막률이 실용 레벨 이하가 된다. 처리 압력이 10 Torr보다도 큰 경우에는 플라즈마가 충분히 발생하지 않게 된다. The processing pressure has a minimum value (indicated by a low in Fig. 5) in the range of 0 to 5 Torr, preferably in the range of 0 to 1 Torr. In addition, the highest value (represented by a gora in Fig. 5) is in the range of 0.1 to 10 Torr, preferably in the range of 0.1 to 5 Torr. 1 Torr = 133.3 kPa. For example, the processing pressure is 1 Torr in the first step (adsorption step) T1 and 0.3 Torr in the third step (nitriding step using plasma) T3. When the processing pressure is less than 0.1 Torr, the film formation rate is below the practical level. If the processing pressure is larger than 10 Torr, plasma will not be generated sufficiently.

<실험 1>Experiment 1

도1에 도시하는 장치를 사용하여 실리콘 질화막을 형성하고, 그 때의 파티클의 발생에 대해 평가를 행하였다. 제1 실시예로서, 도5에 도시하는 제1 실시 형태의 타이밍차트에 따라서 성막 처리를 행하였다. 제1 실시예에 있어서의 성막 처리의 처리 조건의 기준은 제1 실시 형태의 설명에서 서술한 바와 같다. 또한, 제1 비교예로서, 제1 공정에 있어서 배기계의 밸브 개방도를 0 %로 한 것 이외에는 제1 실시예와 같은 조건에서 성막 처리를 행하였다. 제1 실시예 및 제1 비교예에 있어서, 성막 처리를 행할 때마다 그 때의 웨이퍼 상의 파티클수를 카운트하였다. The silicon nitride film was formed using the apparatus shown in FIG. 1, and the generation | occurrence | production of the particle at that time was evaluated. As a first example, a film formation process was performed according to the timing chart of the first embodiment shown in FIG. The criteria of the processing conditions of the film forming process in the first example are as described in the description of the first embodiment. In addition, as a 1st comparative example, the film-forming process was performed on the conditions similar to 1st Example except having made valve opening degree of the exhaust system into 0% in the 1st process. In the first example and the first comparative example, each time the film forming process was performed, the number of particles on the wafer at that time was counted.

도6은 실험1에 있어서 제1 실시예(PE1) 및 제1 비교예(CE1)의 성막 처리에 의해 얻게 된 처리 용기 내에 있어서의 파티클의 발생을 나타내는 그래프이다. 도6에 있어서, 좌측이 제1 실시예(PE1)의 데이터를 나타내고, 우측이 제1 비교예(CE1)의 데이터를 나타낸다. 횡축은 웨이퍼 처리 횟수(런수)를 나타낸다. 좌측 종축은 파티클수를 나타낸다. 우측 종축은 웨이퍼에 성막한 적산 막두께(㎛)를 나타낸다. 그래프 중 곡선(X1, X2)은 각각 적산 막두께를 나타내고, 수직 막대가 파티클수를 나타낸다. FIG. 6 is a graph showing the generation of particles in the processing container obtained by the film forming process of the first example PE1 and the first comparative example CE1 in Experiment 1. FIG. In Fig. 6, the left side shows data of the first embodiment PE1, and the right side shows data of the first comparative example CE1. The horizontal axis represents the number of wafer processes (runs). The left vertical axis represents the number of particles. The right vertical axis represents the integrated film thickness (占 퐉) formed on the wafer. In the graphs, the curves X1 and X2 each show an integrated film thickness, and the vertical bars indicate the number of particles.

도6에 도시한 바와 같이, 제1 비교예(CE1)의 경우에는 런수에 상관없이 높은 빈도로 돌발적으로 파티클이 매우 다량으로 발생하여 바람직하지 않았다. 이에 반해, 제1 실시예(PE1)의 경우에는 제1 비교예에 대해 발생하는 파티클수는 훨씬 적고, 게다가 낮은 파티클수로 안정되었다. 따라서, 제1 실시 형태에 관한 성막 방 법에 따르면, 돌발적으로 다량의 파티클을 발생시키지 않고, 양호한 결과를 얻게 되는 것이 확인되었다. As shown in Fig. 6, in the first comparative example CE1, a large amount of particles were suddenly generated at a high frequency regardless of the number of runs, which was not preferable. On the other hand, in the case of the first example PE1, the number of particles generated for the first comparative example was much smaller, and more stable with a low number of particles. Therefore, according to the film-forming method which concerns on 1st Embodiment, it was confirmed that a favorable result is obtained without generating a large amount of particles unexpectedly.

<제2 실시 형태><2nd embodiment>

도7은 본 발명의 제2 실시 형태에 관한 성막 방법에 있어서의 가스 공급 및 배기 통로의 개방도 등을 나타내는 타이밍차트이다. 도5에 나타내는 타이밍차트에서는, NH3 가스를 공급하는 제3 공정(T3)에 있어서 배기 통로(84)의 밸브 유닛(86)의 개방도는 100 %(완전 개방)로 설정된다. 이에 반해, 도7에 나타내는 타이밍차트에서는 NH3 가스를 공급하는 제3 공정(T3)에 있어서, 배기 통로(84)의 밸브 유닛(86)의 개방도는 100 %(완전 개방)보다도 약간 작아지도록 설정된다. 이에 의해, 처리에 따라서 처리 영역(5)의 압력을 제어하고, NH3에 유래하는 래디컬의 밀도를 향상 또한 최적화시킬 수 있다. Fig. 7 is a timing chart showing the degree of opening of the gas supply and the exhaust passage in the film forming method according to the second embodiment of the present invention. In the timing chart shown in Fig. 5, the opening degree of the valve unit 86 of the exhaust passage 84 is set to 100% (fully open) in the third step T3 for supplying the NH 3 gas. In contrast, in the timing chart shown in Fig. 7, in the third step T3 of supplying the NH 3 gas, the opening degree of the valve unit 86 of the exhaust passage 84 is slightly smaller than 100% (full opening). Is set. As a result, according to the processing control the pressure of the processing zone (5), increase the density of radicals derived from the NH 3 can also be optimized.

<제3 실시 형태>Third Embodiment

도8은 본 발명의 제3 실시 형태에 관한 성막 방법에 있어서의 가스 공급 및 배기 통로의 개방도 등을 나타내는 타이밍차트이다. 제3 실시 형태에 있어서는, 밸브 유닛(86)의 개방도가 성막 처리에 의해 형성되는 실리콘 질화막에 발생하는 응력 및 실리콘 질화막이 갖는 잠재적 에칭률의 한쪽 또는 양방을 제어하는 매개 변수로서 사용된다. 구체적으로는, 제3 실시 형태에 있어서는, 제1 공정에 있어서의 밸브 개방도(Vd1)가 제2 및 제4 공정에 있어서의 밸브 개방도의 80 내지 95 %로 설정된다. 따라서, 제1 공정에 있어서, 처리 영역(5)의 압력은 조금밖에 상승 하지 않도록 제어되고, 이에 의해 실리콘 질화막의 응력나 에칭률 등을 양호하게 할 수 있다. 8 is a timing chart showing the degree of opening of the gas supply and the exhaust passage in the film forming method according to the third embodiment of the present invention. In the third embodiment, the opening degree of the valve unit 86 is used as a parameter for controlling one or both of the stress generated in the silicon nitride film formed by the film forming process and the potential etching rate of the silicon nitride film. Specifically, in the third embodiment, the valve opening degree Vd1 in the first step is set to 80 to 95% of the valve opening degree in the second and fourth steps. Therefore, in the first step, the pressure in the processing region 5 is controlled so as not to rise slightly, thereby making it possible to improve the stress, etching rate and the like of the silicon nitride film.

<실험 2>Experiment 2

도1에 도시하는 장치를 사용하여 실리콘 질화막을 형성하고, 그 에칭률에 대해 평가를 행하였다. 제2 실시예로서, 도8에 나타내는 제3 실시 형태의 타이밍차트에 따라서 성막 처리를 행하여 실리콘 질화막을 형성하고, 이 막의 습윤 에칭을 행하였다. 제2 실시예에 있어서의 성막 처리의 처리 조건의 기준은 제1 실시 형태의 설명에서 서술한 바와 같다. 구체적으로는, RF 파워는 250 와트(NH3의 공급시), 성막 온도는 400 ℃로 설정하였다. DCS 가스를 공급하는 제1 공정(T1)에 있어서의 처리 영역(5)의 압력(도달 압력치)으로서, 1.2 Torr, 3.5 Torr, 5.2 Torr라는 3개의 다른 값을 사용하였다. 또한, 습윤 에칭으로서, 실리콘 질화막이 형성된 웨이퍼를 0.1 % DHF(희석 HF)로 60초간 침지하였다. The silicon nitride film was formed using the apparatus shown in FIG. 1, and the etching rate was evaluated. As a second example, a silicon nitride film was formed by performing a film forming process in accordance with the timing chart of the third embodiment shown in Fig. 8, and wet etching of this film was performed. The criteria of the processing conditions of the film forming process in the second example are as described in the description of the first embodiment. Specifically, the RF power was set at 250 watts (at the time of supply of NH 3 ) and the film formation temperature was set at 400 ° C. As the pressure (reach pressure value) of the processing region 5 in the first step T1 for supplying the DCS gas, three different values of 1.2 Torr, 3.5 Torr, and 5.2 Torr were used. In addition, as the wet etching, the wafer on which the silicon nitride film was formed was immersed in 0.1% DHF (dilution HF) for 60 seconds.

도9는 실험 2에 있어서, 제2 실시예의 성막 처리에 의해 얻게 된 막의 습윤 에칭률의 DCS 압력 의존성을 나타내는 그래프이다. 도9에 있어서, 횡축은 DCS 공급시의 처리 압력(Torr)을 나타낸다. 종축은 습윤 에칭에 의한 막의 에칭률을 나타낸다. 도9에 도시한 바와 같이, 제1 공정에 있어서의 압력을 1.2 내지 5.2 Torr의 범위 내에서 변화시킴으로써, 에칭률을 3.4 내지 3.8 ㎚/분의 범위에 걸쳐서 제어할 수 있는 것을 확인할 수 있었다.9 is a graph showing the DCS pressure dependency of the wet etching rate of the film obtained by the film forming process of Example 2 in Experiment 2. FIG. In Fig. 9, the horizontal axis represents the processing pressure Torr at the time of DCS supply. The vertical axis represents the etching rate of the film by wet etching. As shown in Fig. 9, it was confirmed that the etching rate can be controlled over the range of 3.4 to 3.8 nm / minute by changing the pressure in the first step within the range of 1.2 to 5.2 Torr.

<제4 실시 형태><4th embodiment>

도10은 본 발명의 제4 실시 형태에 관한 성막 장치(종형 CVD 장치)의 진공 배기계를 도시하는 도면이다. 도1에 도시한 장치에서는, 진공 배기계(GE)는 일계통의 배기 통로(84)만을 갖는다. 이 경우, 진공 배기계(GE) 내에 반응 부생성물로서 예를 들어 염화암모늄이 생겨, 배기계 내부를 폐색하는 등의 우려가 있다. 이에 반해, 제4 실시 형태의 진공 배기계(GEX)에서는 도10에 도시한 바와 같이 DCS를 포함하는 제1 처리 가스와 NH3을 포함하는 제2 처리 가스는 각각 전용 제1 배기계와 제2 배기계로부터 배기된다. Fig. 10 is a diagram showing a vacuum exhaust system of the film forming apparatus (vertical CVD apparatus) according to the fourth embodiment of the present invention. In the apparatus shown in FIG. 1, the vacuum exhaust system GE has only one system exhaust passage 84. In this case, for example, ammonium chloride is formed as a reaction by-product in the vacuum exhaust system GE, and there is a concern that the inside of the exhaust system is blocked. In contrast, in the vacuum exhaust system GEX of the fourth embodiment, as shown in Fig. 10, the first processing gas including DCS and the second processing gas including NH 3 are respectively separated from the first exhaust system and the second exhaust system. Exhausted.

구체적으로는, 제1 배기계의 배기 통로(84A)와 제2 배기계의 배기 통로(84B)가 병렬로 배치된다. 각 배기 통로(84A, 84B)에 전술한 진공 배기계(GE)의 그것들과 같은 구조를 갖는 밸브 유닛(개방도 조정용 밸브)(86A, 86B), 진공 펌프(88A, 88B) 및 유해 유닛(89A, 89B)이 각각 순차 개재 설치된다. 제1 배기 통로(84A)로부터는 주로 제1 처리 가스(DCS) 및 이와 동시에 공급되는 가스가 배출된다. 제2 배기 통로(84B)로부터는 주로 제2 처리 가스(NH3) 및 이와 동시에 공급되는 가스가 배출된다. Specifically, the exhaust passage 84A of the first exhaust system and the exhaust passage 84B of the second exhaust system are arranged in parallel. In each of the exhaust passages 84A and 84B, valve units (opening-adjustment valves) 86A and 86B having the same structure as those of the vacuum exhaust system GE described above, vacuum pumps 88A and 88B and harmful units 89A, 89B) are interposed sequentially. The first processing gas DCS and the gas supplied at the same time are mainly discharged from the first exhaust passage 84A. The second processing gas NH 3 and the gas supplied at the same time are mainly discharged from the second exhaust passage 84B.

양 배기 통로(84A, 84B)에 개재 설치된 2개의 밸브 유닛(86A, 86B)의 전체 밸브 개방도가 등가적으로 하나의 밸브 개방도로서 제어된다. 즉, 주제어부(48)는 양 밸브 유닛(86A, 86B)의 합계한 밸브 개방도가 전술한 밸브 유닛(86)의 밸브 개방도와 일치하도록 제어하고, 도5, 도7 혹은 도8에 도시하는 성막 방법을 실시한다. The total valve opening degree of the two valve units 86A and 86B provided in both the exhaust passages 84A and 84B is equivalently controlled as one valve opening degree. That is, the main control part 48 controls so that the total valve opening degree of both valve unit 86A, 86B may correspond with the valve opening degree of the valve unit 86 mentioned above, and is shown in FIG. 5, FIG. Perform the film forming method.

도11은 제4 실시 형태에 관한 성막 방법에 있어서의 배기 통로의 개방도를 나타내는 타이밍차트이다. 여기서는, 도5에 도시하는 제1 실시 형태의 경우의 밸브 유닛(86)의 동작과 등가가 되는 밸브 동작에 대해 나타낸다. 따라서, 도11에 도시하지 않은 가스의 공급 태양 등의 다른 태양은 도5에 도시한 내용과 동일하다. 즉, 제1 처리 가스용 밸브 유닛(86A)은 밸브 개방도가 Vd1의 상태와 완전 폐쇄 상태를 반복하고, 제2 처리 가스용 밸브 유닛(86B)은 완전 개방 상태와 완전 폐쇄 상태를 반복하도록 제어된다. 11 is a timing chart showing the degree of opening of the exhaust passage in the film forming method according to the fourth embodiment. Here, the valve operation | movement equivalent to the operation | movement of the valve unit 86 in the case of 1st Embodiment shown in FIG. 5 is shown. Therefore, other aspects, such as the supply mode of the gas which are not shown in FIG. 11, are the same as that shown in FIG. That is, the valve unit 86A for the first process gas controls the valve opening degree to repeat the state of Vd1 and the fully closed state, and the second process gas valve unit 86B to repeat the fully open state and the fully closed state. do.

구체적으로는, 제1 공정(T1)에서는 밸브 유닛(86A)의 밸브 개방도는 Vd1의 상태가 되어 제1 처리 가스를 흐르게 하고, 다른 쪽 밸브 유닛(86B)은 0 %(완전 폐쇄) 상태가 된다. 제3 공정(T3)에서는 밸브 유닛(86A)은 0 %(완전 폐쇄) 상태가 되고, 밸브 유닛(86B)은 100 %(완전 개방) 상태가 되어 제2 처리 가스를 흐르게 한다. 이에 의해, 제1 처리 가스와 제2 처리 가스가 서로 다른 배기 통로(84A, 84B)를 전용으로 통과한다. 양 가스가 배기계 내에서 혼합되지 않으므로 반응 부생성물이 발생하지 않아, 진공 배기계(GEX) 내부가 폐색 등 되지 않는다.Specifically, in the first step T1, the valve opening degree of the valve unit 86A is in the state of Vd1 to flow the first processing gas, and the other valve unit 86B has a 0% (completely closed) state. do. In the third process T3, the valve unit 86A is in a 0% (fully closed) state, and the valve unit 86B is in a 100% (fully open) state to allow the second processing gas to flow. As a result, the first processing gas and the second processing gas pass through different exhaust passages 84A and 84B. Since both gases are not mixed in the exhaust system, no reaction by-products are generated, and the inside of the vacuum exhaust system GEX is not blocked.

<제5 실시 형태><Fifth Embodiment>

도12는 본 발명의 제5 실시 형태에 관한 성막 장치(종형 CVD 장치)의 제1, 제3 및 제4 처리 가스 공급계를 도시하는 도면이다. 제5 실시 형태에 있어서는, 제1 공정(T1)에 있어서 DCS를 포함하는 제1 처리 가스와 함께 도핑 가스가 처리 영역(5)에 대해 공급된다. 도핑 가스는 붕소 함유 가스(여기서는 BCl3 가스)를 포함 하는 제3 처리 가스와 에틸렌(C2H4 가스) 가스를 포함하는 제4 처리 가스 중 한쪽 또는 양방을 구비한다. 여기서는, 제3 및 제4 처리 가스의 양방을 사용하는 경우를 예시한다. 이 경우, 형성되는 박막은 붕소 및 탄소를 함유하는 SiBCN(boron doped silicon carbon nitride)으로 이루어지는 절연막이 된다. Fig. 12 is a diagram showing first, third and fourth processing gas supply systems of the film forming apparatus (the vertical CVD apparatus) according to the fifth embodiment of the present invention. In the fifth embodiment, the doping gas is supplied to the processing region 5 together with the first processing gas containing the DCS in the first step T1. The doping gas includes one or both of a third processing gas containing boron containing gas (here, BCl 3 gas) and a fourth processing gas containing ethylene (C 2 H 4 gas) gas. Here, the case where both of 3rd and 4th process gas are used is illustrated. In this case, the formed thin film is an insulating film made of boron doped silicon carbon nitride (SiBCN) containing boron and carbon.

도12에 도시한 바와 같이 제1, 제3 및 제4 처리 가스 공급계(130, 132, 134)는 공통된 혼합 가스 공급계(135)에 접속된다. 혼합 가스 공급계(135)는 제1, 제3 및 제4 처리 가스를 혼합하기 위한 가스 혼합 탱크(142)를 갖는다. 가스 혼합 탱크(142)는 가스를 균일하게 혼합하는 동시에 충분한 공급량의 혼합 가스를 일시적으로 모으는 크기, 예를 들어 4 리터 정도의 용량으로 설정된다(가스 유량에 따라서 변경됨). 가스 혼합 탱크(142)는 개폐 밸브(144A)가 배치된 혼합 가스 공급 라인(144)을 거쳐서 석영관으로 이루어지는 가스 분산 노즐(36)(도1 참조)에 접속된다. As shown in Fig. 12, the first, third and fourth process gas supply systems 130, 132, and 134 are connected to a common mixed gas supply system 135. The mixed gas supply system 135 has a gas mixing tank 142 for mixing the first, third and fourth processing gases. The gas mixing tank 142 is set to a size, for example, about 4 liters, which temporarily mixes the gases uniformly and at the same time collects a sufficient amount of the mixed gas (changes according to the gas flow rate). The gas mixing tank 142 is connected to the gas dispersion nozzle 36 (refer FIG. 1) which consists of a quartz tube via the mixed gas supply line 144 in which the opening-closing valve 144A is arrange | positioned.

가스 혼합 탱크(142)는 제1, 제3 및 제4 처리 가스 공급계(130, 132, 134)의 가스 공급 라인(가스 통로)(150, 152, 154)을 거쳐서 DCS 가스, BCl3 가스 및 C2H4 가스의 가스원(130S, 132S, 134S)에 각각 접속된다. 가스 공급 라인(150, 152, 154) 상에는 개폐 밸브(150A, 152A, 154A)와 매스플로우 컨트롤러와 같은 유량 제어기(150B, 152B, 154B)가 배치된다. 이에 의해, DCS 가스, BCl3 가스 및 C2H4 가스가 각각 유량 제어되면서 공급 가능해진다. The gas mixing tank 142 passes through the gas supply lines (gas passages) 150, 152, and 154 of the first, third and fourth process gas supply systems 130, 132, and 134, and the DCS gas, the BCl 3 gas, and the like. It is respectively connected to the gas source (130S, 132S, 134S) of the C 2 H 4 gas. On the gas supply lines 150, 152 and 154, on-off valves 150A, 152A and 154A and flow controllers 150B, 152B and 154B such as mass flow controllers are arranged. As a result, the DCS gas, the BCl 3 gas, and the C 2 H 4 gas can be supplied while controlling the flow rate.

혼합 가스의 형성 및 공급의 태양으로서는, 다음의 2개의 태양이 대표적인 것이 된다. 제1 태양에서는, 제1, 제3 및 제4 처리 가스 공급계(130, 132, 134)로부터 가스 혼합 탱크(142)에 제1, 제3 및 제4 처리 가스를 연속적으로 공급하는 한편, 가스 혼합 탱크(142)로부터 처리 영역(5)(도1 참조)으로 혼합 가스를 펄스 형상으로 공급한다. 제2 태양에서는, 제1, 제3 및 제4 처리 가스 공급계(130, 132, 134)로부터 가스 혼합 탱크(142)에 제1, 제3 및 제4 처리 가스를 제1 위상에서 펄스 형상으로 함께 공급하는 한편, 가스 혼합 탱크(142)로부터 처리 영역(5)에 혼합 가스를 제1 위상과 역의 제2 위상에서 공급한다. As an aspect of formation and supply of a mixed gas, the following two aspects are typical. In the first aspect, the first, third and fourth processing gases are continuously supplied from the first, third and fourth processing gas supply systems 130, 132, and 134 to the gas mixing tank 142 while the gas is supplied. The mixed gas is supplied in a pulse shape from the mixing tank 142 to the processing region 5 (see FIG. 1). In a second aspect, the first, third and fourth processing gases are pulsed in a first phase from the first, third and fourth processing gas supply systems 130, 132, 134 to the gas mixing tank 142. While supplying together, the mixed gas is supplied from the gas mixing tank 142 to the processing region 5 in a second phase opposite to the first phase.

이를 실현하기 위해, 제1, 제3 및 제4 처리 가스 공급계(130, 132, 134)의 개폐 밸브(150A, 152A, 154A) 및 혼합 가스 공급계(135)의 개폐 밸브(144A)의 개폐는 주제어부(48)로부터의 지시를 기초로 하여 다음과 같이 조작된다. 상기 제1 태양의 경우, 성막 처리의 개시로부터 완료까지의 복수 사이클에 걸쳐서 개폐 밸브(150A, 152A, 154A)가 모두 개방 상태로 유지되는 한편, 개폐 밸브(144A)가 펄스 형상으로 개폐된다. 상기 제2 태양의 경우, 성막 처리의 개시로부터 완료까지의 복수 사이클에 걸쳐서, 개폐 밸브(150A, 152A, 154A)가 펄스 형상으로 개폐되는 한편, 개폐 밸브(144A)가 역의 위상에서 펄스 형상으로 개폐된다. To realize this, opening / closing valves 150A, 152A and 154A of the first, third and fourth process gas supply systems 130, 132 and 134 and opening and closing valves 144A of the mixed gas supply system 135 are opened and closed. Is operated as follows based on the instruction from the main control unit 48. In the case of the first aspect, the opening / closing valves 150A, 152A, and 154A are all kept open over a plurality of cycles from the start of the film forming process to completion, while the opening / closing valve 144A is opened and closed in a pulse shape. In the case of the second aspect, the opening / closing valves 150A, 152A, and 154A are opened and closed in a pulse shape over a plurality of cycles from the start of the film forming process to completion, while the opening / closing valve 144A is pulsed in the reverse phase. It is opened and closed.

<제1 내지 제5 실시 형태에 공통된 사항 및 변경예><Matters and Modifications Common to First to Fifth Embodiments>

제1 내지 제5 실시 형태에 관한 방법은 상술한 바와 같이 처리 프로그램을 기초로 하여 주제어부(48)의 제어하에서 실행된다. 도13은 주제어부(48)의 구성의 개략을 나타내는 블럭도이다. 주제어부(48)는 CPU(210)를 갖고, 여기에 기억부(212), 입력부(214), 출력부(216) 등이 접속된다. 기억부(212)에는 처리 프로그 램이나 처리 레시피가 기억된다. 입력부(214)는 사용자와 대화하기 위한 입력 장치, 예를 들어 키보드나 포인팅 디바이스 및 기억 매체의 드라이브 등을 포함한다. 출력부(216)는 처리 장치의 각 기기를 제어하기 위한 제어 신호를 출력한다. 도13은 또한 컴퓨터에 착탈 가능한 기억 매체(218)도 함께 나타낸다.The method according to the first to fifth embodiments is executed under the control of the main control unit 48 based on the processing program as described above. 13 is a block diagram showing an outline of the configuration of the main controller 48. As shown in FIG. The main control unit 48 has a CPU 210, to which a storage unit 212, an input unit 214, an output unit 216, and the like are connected. The storage unit 212 stores a processing program and a processing recipe. The input unit 214 includes an input device for communicating with a user, for example, a keyboard or pointing device, a drive of a storage medium, and the like. The output unit 216 outputs a control signal for controlling each device of the processing apparatus. 13 also shows a storage medium 218 that can be attached to or detached from a computer.

상술한 실시 형태에 관한 방법은 프로세서 상에서 실행하기 위한 프로그램 지령으로서, 컴퓨터로 판독 가능한 기억 매체에 기입하여 각종 반도체 처리 장치에 적용할 수 있다. 혹은, 이러한 종류의 프로그램 지령은 통신 매체에 의해 전송하여 각종 반도체 처리 장치에 적용할 수 있다. 기억 매체는 예를 들어 자기 디스크{가요성 디스크, 하드 디스크[일예는 기억부(212)에 포함되는 하드 디스크] 등}, 광디스크(CD, DVD 등), 마그넷 옵티컬 디스크(MO 등), 반도체 메모리 등이다. 반도체 처리 장치의 동작을 제어하는 컴퓨터는 기억 매체에 기억된 프로그램 지령을 판독하고, 이를 프로세서 상에서 실행함으로써 상술한 방법을 실행한다. The method according to the embodiment described above is a program command for executing on a processor, and can be applied to various semiconductor processing apparatuses by writing to a computer-readable storage medium. Alternatively, this kind of program instruction can be transmitted to a variety of semiconductor processing apparatuses by the communication medium. The storage medium is, for example, a magnetic disk (a flexible disk, a hard disk (for example, a hard disk included in the storage unit 212), etc.), an optical disk (CD, DVD, etc.), a magnetic optical disk (MO, etc.), a semiconductor memory. And so on. The computer which controls the operation of the semiconductor processing apparatus executes the above-described method by reading a program command stored in the storage medium and executing it on a processor.

제1 내지 제5 실시 형태에서는 제1 처리 가스 중 실란계 가스로서 DCS 가스가 예시된다. 이 점에 관하여, 실란계 가스로서는 디클로로실란(DCS), 헥사디클로로디실란(HCD), 모노실란(SiH4), 디실란(Si2H6), 헥사메틸디실라잔(HMDS), 테트라클로로실란(TCS), 디시릴아민(DSA), 트리시릴아민(TSA), 비스터셜부틸아미노실란(BTBAS)으로 이루어지는 군으로부터 선택되는 하나 이상의 가스를 이용할 수 있다. In 1st-5th embodiment, DCS gas is illustrated as a silane type gas in a 1st process gas. In this regard, as the silane-based gas, dichlorosilane (DCS), hexadichlorodisilane (HCD), monosilane (SiH 4 ), disilane (Si 2 H 6 ), hexamethyldisilazane (HMDS), tetrachloro One or more gases selected from the group consisting of silane (TCS), disyrylamine (DSA), trisyrylamine (TSA), and biscial butylaminosilane (BTBAS) can be used.

제1 내지 제5 실시 형태에 있어서, 제2 처리 가스 중 질화 가스로서는 암모 니아(NH3) 가스, 질소(N2) 가스를 이용할 수 있다. 또한, 본 발명을 실리콘 산질화막의 형성에 적용하는 경우에는, 질화 가스 대신에 일산화이질소(N2O), 일산화질소(NO)와 같은 산질화 가스를 이용할 수 있다. 또한, 본 발명을 실리콘 산화막의 형성에 적용하는 경우에는, 질화 가스 대신에 산소(O2), 오존(O3)과 같은 산화 가스를 이용할 수 있다. In the first to fifth embodiments, ammonia (NH 3 ) gas and nitrogen (N 2 ) gas can be used as the nitride gas in the second processing gas. In addition, when the present invention is applied to the formation of a silicon oxynitride film, an oxynitride gas such as dinitrogen monoxide (N 2 O) or nitrogen monoxide (NO) may be used instead of the nitriding gas. In addition, when the present invention is applied to the formation of a silicon oxide film, an oxidizing gas such as oxygen (O 2 ) or ozone (O 3 ) can be used instead of the nitride gas.

제5 실시 형태에 있어서, 붕소를 도핑하기 위한 붕소 함유 가스로서 BCl3 가스가 예시된다. 이러한 점에 관하여, 붕소 함유 가스로서는 BCl3, B2H6, BF3, B(CH3)3으로 이루어지는 군으로부터 선택되는 하나 이상의 가스를 포함할 수 있다. In the fifth embodiment, the BCl 3 gas is exemplified as the boron containing gas for doping boron. In this regard, the boron-containing gas may include one or more gases selected from the group consisting of BCl 3 , B 2 H 6 , BF 3 , and B (CH 3 ) 3 .

제1 내지 제5 실시 형태에 있어서, 성막 장치(2)로서 플라즈마를 형성하는 여기부(50)를 처리 용기(4)에 일체적으로 조립한 구성을 갖는다. 대신에, 여기부(50)를 처리 용기(4)와는 별개 부재로 설치하고, NH3 가스를 처리 용기(4) 외에서 미리 여기(소위 리모트 플라즈마), 그 여기 NH3 가스를 처리 용기(4) 내로 공급하도록 해도 좋다. 피처리 기판으로서는, 반도체 웨이퍼에 한정되지 않고, LCD 기판, 유리 기판 등의 다른 기판이라도 좋다. In 1st-5th embodiment, it has the structure which integrated the excitation part 50 which forms a plasma as the film-forming apparatus 2 in the processing container 4 integrally. Instead, the excitation section 50 is provided as a separate member from the processing container 4, and NH 3 gas is previously excited outside the processing container 4 (so-called remote plasma), and the excitation NH 3 gas is processed into the processing container 4. You may supply to the inside. The substrate to be processed is not limited to a semiconductor wafer, but may be other substrates such as an LCD substrate and a glass substrate.

부가의 장점 및 변형이 당 기술 분야의 숙련자들에게 즉시 이루어질 수 있을 것이다. 따라서, 본 발명은 그 광의의 태양에서, 본 명세서에 도시되고 설명된 특정 상세 및 대표적인 실시예에 한정되지 않는다. 따라서, 다양한 변형이 첨부된 청구범위 및 이들의 등가물에 의해 규정된 바와 같은 일반적인 본 발명의 개념의 사상 또는 범주로부터 일탈하지 않고 이루어질 수 있다.Additional advantages and modifications will readily occur to those skilled in the art. Thus, the invention is not to be limited to the specific details and representative embodiments shown and described herein, in its broadest sense. Accordingly, various modifications may be made without departing from the spirit or scope of the general inventive concept as defined by the appended claims and their equivalents.

본 발명에 따르면, 처리의 처리량을 저하시키지 않고 파티클의 발생을 억제할 수 있는 실리콘 함유 절연막을 형성하는 성막 방법 및 장치를 제공할 수 있다.According to the present invention, it is possible to provide a film forming method and apparatus for forming a silicon-containing insulating film capable of suppressing the generation of particles without lowering the throughput of the treatment.

Claims (20)

실란계 가스를 포함하는 제1 처리 가스와, 질화 가스, 산질화 가스 및 산화 가스로 이루어지는 군으로부터 선택된 가스를 포함하는 제2 처리 가스와, 퍼지 가스를 선택적으로 공급 가능한 처리 영역 내에서 피처리 기판 상에 CVD에 의해 실리콘 함유 절연막을 형성하는 실리콘 함유 절연막의 성막 방법이며, A substrate to be processed in a processing region capable of selectively supplying a first processing gas containing a silane-based gas, a second processing gas including a gas selected from the group consisting of a nitridation gas, an oxynitride gas, and an oxidizing gas, and a purge gas A method of forming a silicon-containing insulating film for forming a silicon-containing insulating film by CVD on it, 상기 처리 영역에 대한 상기 제1 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제2 처리 가스 및 퍼지 가스의 공급을 정지하는 제1 공정과, A first step of supplying the first processing gas to the processing region, and stopping supply of the second processing gas and purge gas to the processing region; 상기 처리 영역에 대한 상기 퍼지 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 및 제2 처리 가스의 공급을 정지하는 제2 공정과, A second step of supplying the purge gas to the processing region and stopping supply of the first and second processing gases to the processing region; 상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 처리 가스 및 퍼지 가스의 공급을 정지하는 제3 공정과,A third step of supplying the second processing gas to the processing region while stopping supply of the first processing gas and the purge gas to the processing region; 상기 처리 영역에 대한 상기 퍼지 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 및 제2 처리 가스의 공급을 정지하는 제4 공정을 교대로 구비하고, A fourth step of alternately providing the purge gas to the processing region and stopping the supply of the first and second processing gases to the processing region; 상기 제1 공정 내지 상기 제4 공정에 걸쳐서, 개방도 조정용 밸브가 배치된 배기 통로를 거쳐서 상기 처리 영역 내부를 계속적으로 진공 배기하고, 상기 제1 공정에 있어서의 상기 밸브의 개방도를 상기 제2 및 제4 공정에 있어서의 상기 밸브의 개방도의 5 내지 95 %로 설정하는 실리콘 함유 절연막의 성막 방법. Throughout the first step to the fourth step, the inside of the processing region is continuously evacuated through an exhaust passage in which an opening degree adjustment valve is arranged, and the opening degree of the valve in the first step is determined by the second step. And the film-forming method of the silicon containing insulating film set to 5 to 95% of the opening degree of the said valve in a 4th process. 제1항에 있어서, 상기 제3 공정은 상기 제2 처리 가스를 여기 기구에 의해 여기한 상태에서 상기 처리 영역에 공급하는 여기 기간을 구비하는 실리콘 함유 절연막의 성막 방법. The method for forming a silicon-containing insulating film according to claim 1, wherein the third step has an excitation period for supplying the second processing gas to the processing region in an excited state by an excitation mechanism. 제1항에 있어서, 상기 제1 공정은 도핑 가스를 상기 제1 처리 가스와 함께 상기 처리 영역에 대해 공급하도록 설정되는 실리콘 함유 절연막의 성막 방법. The method of claim 1, wherein the first process is set to supply a doping gas to the processing region together with the first processing gas. 제3항에 있어서, 상기 제1 처리 가스 및 도핑 가스는 상기 처리 영역 외에 배치된 가스 혼합 탱크에서 혼합한 후에 상기 처리 영역에 공급하는 실리콘 함유 절연막의 성막 방법. 4. The method for forming a silicon-containing insulating film according to claim 3, wherein the first processing gas and the doping gas are supplied to the processing region after mixing in a gas mixing tank disposed outside the processing region. 제1항에 있어서, 상기 제1 처리 가스는 디클로로실란, 헥사클로로디실란, 모노실란, 디실란, 헥사메틸디실라잔, 테트라클로로실란, 디시릴아민, 트리시릴아민, 비스터셜부틸아미노실란으로 이루어지는 군으로부터 선택되는 하나 이상의 가스를 포함하는 실리콘 함유 절연막의 성막 방법. The method of claim 1, wherein the first processing gas is dichlorosilane, hexachlorodisilane, monosilane, disilane, hexamethyldisilazane, tetrachlorosilane, disyrylamine, trisilylamine, bisbutylbutylaminosilane A film-forming method of a silicon-containing insulating film containing at least one gas selected from the group consisting of. 제1항에 있어서, 상기 제2 처리 가스는 암모니아, 질소, 일산화이질소, 일산화질소, 산소, 오존으로 이루어지는 군으로부터 선택되는 하나 이상의 가스를 포함하는 실리콘 함유 절연막의 성막 방법. The method of claim 1, wherein the second processing gas comprises at least one gas selected from the group consisting of ammonia, nitrogen, dinitrogen monoxide, nitrogen monoxide, oxygen, and ozone. 제1항에 있어서, 상기 퍼지 가스는 질소 가스인 실리콘 함유 절연막의 성막 방법. The method of claim 1, wherein the purge gas is nitrogen gas. 제3항에 있어서, 상기 도핑 가스는 BCl3, B2H6, BF3, B(CH3)3으로 이루어지는 군으로부터 선택되는 붕소 함유 가스를 포함하는 제3 처리 가스와 에틸렌 가스를 포함하는 제4 처리 가스 중 한쪽 또는 양방을 포함하는 실리콘 함유 절연막의 성막 방법. 4. The method of claim 3, wherein the doping gas comprises a third process gas comprising ethylene gas and a third process gas containing a boron-containing gas selected from the group consisting of BCl 3 , B 2 H 6 , BF 3 , B (CH 3 ) 3 . 4 The film-forming method of the silicon containing insulating film containing one or both of process gas. 제1항에 있어서, 상기 여기 기구는 상기 처리 영역과 연통하는 공간 내에서 상기 제2 처리 가스의 공급구와 상기 기판 사이에 배치된 플라즈마 발생 영역을 구비하고, 상기 제2 처리 가스는 상기 플라즈마 발생 영역을 통과할 때에 여기되는 실리콘 함유 절연막의 성막 방법. 2. The excitation mechanism according to claim 1, wherein the excitation mechanism has a plasma generating region disposed between the supply port of the second processing gas and the substrate in a space communicating with the processing region, and the second processing gas is the plasma generating region. A film forming method of a silicon-containing insulating film excited when passing through. 제9항에 있어서, 상기 제1 처리 가스 및 상기 퍼지 가스는 상기 플라즈마 발생 영역과 상기 기판 사이에서 상기 처리 영역에 공급되는 실리콘 함유 절연막의 성막 방법. 10. The method of claim 9, wherein the first processing gas and the purge gas are supplied to the processing region between the plasma generation region and the substrate. 제1항에 있어서, 상기 처리 영역 내에 복수의 피처리 기판이 상하로 간격을 마련하여 적층된 상태에서 수납되고, 상기 복수의 피처리 기판은 상기 처리 영역의 주위에 배치된 히터에 의해 가열되는 실리콘 함유 절연막의 성막 방법. 2. The silicon according to claim 1, wherein a plurality of substrates to be processed are stacked in a vertically spaced state in the processing region, and the plurality of substrates are heated by a heater disposed around the processing region. The film formation method of a containing insulating film. 제11항에 있어서, 상기 제1 및 제2 처리 가스 및 상기 퍼지 가스의 각각은 상기 복수의 피처리 기판에 대해 평행한 가스류를 형성하도록 상기 복수의 피처리 기판에 걸쳐서 상하 방향으로 배열된 복수의 가스 분사 구멍으로부터 공급되는 실리콘 함유 절연막의 성막 방법. 12. The plurality of substrates of claim 11, wherein each of the first and second processing gases and the purge gas is arranged in a vertical direction across the plurality of substrates to form a gas flow parallel to the plurality of substrates to be processed. The film-forming method of the silicon containing insulating film supplied from the gas injection hole of the same. 제1항에 있어서, 상기 배기 통로는 상기 제1 및 제2 처리 가스를 각각 전용으로 배기하는 제1 및 제2 배기 통로를 구비하고, 상기 밸브는 상기 제1 및 제2 배기 통로에 각각 배치된 개방도 조정용 제1 및 제2 밸브를 구비하고, 상기 제1 및 제2 밸브의 전체 개방도가 등가적으로 하나의 밸브의 개방도로서 제어되는 실리콘 함유 절연막의 성막 방법. The exhaust gas passage of claim 1, wherein the exhaust passage has first and second exhaust passages for exclusively exhausting the first and second processing gases, respectively, and the valve is disposed in the first and second exhaust passages, respectively. A method for forming a silicon-containing insulating film, comprising first and second valves for adjusting the opening degree, and wherein the overall opening degree of the first and second valves is equally controlled as the opening degree of one valve. 제1항에 있어서, 상기 피처리 기판에 대한 상기 실란계 가스의 흡착의 촉진과, 상기 처리 영역 내에 존재하는 파티클수의 감소와의 균형을 제어하도록 상기 제1 공정에 있어서의 상기 밸브의 개방도를 상기 제2 및 제4 공정에 있어서의 상기 밸브의 개방도의 5 내지 20 %로 설정하는 실리콘 함유 절연막의 성막 방법. The degree of opening of the valve in the first step according to claim 1, wherein a balance between promotion of adsorption of the silane-based gas on the substrate to be treated and reduction of the number of particles present in the processing region is controlled. The film-forming method of the silicon containing insulation film which sets to 20 to 20% of the opening degree of the said valve in the said 2nd and 4th process. 제1항에 있어서, 상기 실리콘 함유 절연막에 발생하는 응력 및 상기 실리콘 함유 절연막이 갖는 잠재적 에칭률의 한쪽 또는 양방을 제어하도록 상기 제1 공정 에 있어서의 상기 밸브의 개방도를 상기 제2 및 제4 공정에 있어서의 상기 밸브의 개방도의 80 내지 95 %로 설정하는 실리콘 함유 절연막의 성막 방법. The opening degree of the said valve in the said 1st process is controlled so that the one or both of the stress which arises in the said silicon containing insulating film, and the potential etching rate which the said silicon containing insulating film has can be controlled. The film-forming method of the silicon containing insulating film set to 80 to 95% of the opening degree of the said valve in a process. 제1항에 있어서, 상기 제3 공정에 있어서의 상기 밸브의 개방도를 상기 제1 공정에 있어서의 상기 밸브의 개방도보다도 크게 설정하는 실리콘 함유 절연막의 성막 방법. The film-forming method of the silicon containing insulating film of Claim 1 which sets the opening degree of the said valve in a said 3rd process larger than the opening degree of the said valve in a said 1st process. 제16항에 있어서, 상기 제3 공정에 있어서의 상기 밸브의 개방도를 상기 제2 및 제4 공정에 있어서의 상기 밸브의 개방도 이하로 설정하는 실리콘 함유 절연막의 성막 방법. The film-forming method of the silicon containing insulating film of Claim 16 which sets the opening degree of the said valve in a said 3rd process to below the opening degree of the said valve in a said 2nd and 4th process. 실리콘 함유 절연막의 성막 장치이며,It is a film-forming apparatus of a silicon containing insulating film, 피처리 기판을 수납하는 처리 영역을 갖는 처리 용기와, A processing container having a processing area for storing a substrate to be processed; 상기 처리 영역 내에서 상기 피처리 기판을 지지하는 지지 부재와, A support member for supporting the substrate to be processed in the processing region; 상기 처리 영역 내의 상기 피처리 기판을 가열하는 히터와, A heater for heating the substrate to be processed in the processing region; 상기 처리 영역 내부를 개방도 조정용 밸브가 배치된 배기 통로를 거쳐서 배기하는 배기계와, An exhaust system for exhausting the inside of the processing region through an exhaust passage in which an opening degree adjustment valve is disposed; 상기 처리 영역에 실란계 가스를 포함하는 제1 처리 가스를 공급하는 제1 처리 가스 공급계와, A first processing gas supply system for supplying a first processing gas containing a silane-based gas to the processing region; 상기 처리 영역에 질화 가스, 산질화 가스 및 산화 가스로 이루어지는 군으 로부터 선택된 가스를 포함하는 제2 처리 가스를 공급하는 제2 처리 가스 공급계와, A second processing gas supply system for supplying a second processing gas containing a gas selected from the group consisting of nitriding gas, oxynitride gas and oxidizing gas to the processing region; 상기 처리 영역에 퍼지 가스를 공급하는 퍼지 가스 공급계와, A purge gas supply system for supplying a purge gas to the processing region; 상기 장치의 동작을 제어하는 제어부를 구비하고, A control unit for controlling the operation of the apparatus, 상기 제어부는, 상기 피처리 기판 상에 CVD에 의해 실리콘 함유 절연막을 형성하기 위해,The control unit, in order to form a silicon-containing insulating film on the substrate to be processed by CVD, 상기 처리 영역에 대한 상기 제1 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제2 처리 가스 및 퍼지 가스의 공급을 정지하는 제1 공정과, A first step of supplying the first processing gas to the processing region, and stopping supply of the second processing gas and purge gas to the processing region; 상기 처리 영역에 대한 상기 퍼지 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 및 제2 처리 가스의 공급을 정지하는 제2 공정과, A second step of supplying the purge gas to the processing region and stopping supply of the first and second processing gases to the processing region; 상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 처리 가스 및 퍼지 가스의 공급을 정지하는 제3 공정과, A third step of supplying the second processing gas to the processing region while stopping supply of the first processing gas and the purge gas to the processing region; 상기 처리 영역에 대한 상기 퍼지 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 및 제2 처리 가스의 공급을 정지하는 제4 공정을 교대로 실행하고, While supplying the purge gas to the processing region, a fourth step of stopping supply of the first and second processing gases to the processing region is performed alternately, 상기 제1 공정 내지 상기 제4 공정에 걸쳐서, 상기 배기 통로를 거쳐서 상기 처리 영역 내부를 계속적으로 진공 배기하고, 상기 제1 공정에 있어서의 상기 밸브의 개방도를 상기 제2 및 제4 공정에 있어서의 상기 밸브의 개방도의 5 내지 95 %로 설정하는 실리콘 함유 절연막의 성막 장치. In the first to fourth steps, the inside of the processing region is continuously evacuated through the exhaust passage, and the opening degree of the valve in the first step is determined in the second and fourth steps. The film-forming apparatus of the silicon containing insulating film set to 5 to 95% of the opening degree of the said valve of the said. 제18항에 있어서, 상기 처리 영역 내에 복수의 피처리 기판이 상하로 간격을 마련하여 적층된 상태에서 수납되고, 상기 복수의 피처리 기판은 상기 처리 영역의 주위에 배치된 상기 히터에 의해 가열되는 것과, 상기 제1 및 제2 처리 가스 및 상기 퍼지 가스의 각각은 상기 복수의 피처리 기판에 대해 평행한 가스류를 형성하도록 상기 복수의 피처리 기판에 걸쳐서 상하 방향으로 배열된 복수의 가스 분사 구멍으로부터 공급되는 것을 포함하는 실리콘 함유 절연막의 성막 장치. 19. The substrate according to claim 18, wherein a plurality of substrates to be processed are stacked in the processing region in a stacked state at intervals up and down, and the plurality of substrates are heated by the heater disposed around the processing region. And each of the first and second processing gases and the purge gas are arranged in a vertical direction across the plurality of substrates to form a gas flow parallel to the plurality of substrates to be processed. A film forming apparatus for a silicon-containing insulating film, which is supplied from a film. 프로세서 상에서 실행하기 위한 프로그램 지령을 포함하는 컴퓨터로 판독 가능한 매체이며, A computer readable medium containing program instructions for executing on a processor, 상기 프로그램 지령은, 프로세서에 의해 실행될 때, 실란계 가스를 포함하는 제1 처리 가스와, 질화 가스, 산질화 가스 및 산화 가스로 이루어지는 군으로부터 선택된 가스를 포함하는 제2 처리 가스와, 퍼지 가스를 선택적으로 공급 가능한 처리 영역 내에서 피처리 기판 상에 CVD에 의해 실리콘 함유 절연막을 형성하는 성막 장치에, The program instruction, when executed by a processor, includes a first processing gas containing a silane-based gas, a second processing gas including a gas selected from the group consisting of a nitriding gas, an oxynitride gas, and an oxidizing gas, and a purge gas. In a film forming apparatus for forming a silicon-containing insulating film by CVD on a substrate to be processed in a processing region that can be selectively supplied, 상기 처리 영역에 대한 상기 제1 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제2 처리 가스 및 퍼지 가스의 공급을 정지하는 제1 공정과, A first step of supplying the first processing gas to the processing region, and stopping supply of the second processing gas and purge gas to the processing region; 상기 처리 영역에 대한 상기 퍼지 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 및 제2 처리 가스의 공급을 정지하는 제2 공정과, A second step of supplying the purge gas to the processing region and stopping supply of the first and second processing gases to the processing region; 상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 처리 가스 및 퍼지 가스의 공급을 정지하는 제3 공정과, A third step of supplying the second processing gas to the processing region while stopping supply of the first processing gas and the purge gas to the processing region; 상기 처리 영역에 대한 상기 퍼지 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 및 제2 처리 가스의 공급을 정지하는 제4 공정을 교대로 구비하고, A fourth step of alternately providing the purge gas to the processing region and stopping the supply of the first and second processing gases to the processing region; 상기 제1 공정 내지 상기 제4 공정에 걸쳐서, 개방도 조정용 밸브가 배치된 배기 통로를 거쳐서 상기 처리 영역 내부를 계속적으로 진공 배기하고, 상기 제1 공정에 있어서의 상기 밸브의 개방도를 상기 제2 및 제4 공정에 있어서의 상기 밸브의 개방도의 5 내지 95 %로 설정하는 성막 처리를 실시하게 하는 컴퓨터로 판독 가능한 매체. Throughout the first step to the fourth step, the inside of the processing region is continuously evacuated through an exhaust passage in which an opening degree adjustment valve is arranged, and the opening degree of the valve in the first step is determined by the second step. And a film-forming process for setting the film to 5 to 95% of the opening degree of the valve in the fourth step.
KR1020060072425A 2005-08-02 2006-08-01 Method and apparatus for forming film, and storage medium KR100980126B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020060072425A KR100980126B1 (en) 2005-08-02 2006-08-01 Method and apparatus for forming film, and storage medium

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2005-00224741 2005-08-02
KR1020060072425A KR100980126B1 (en) 2005-08-02 2006-08-01 Method and apparatus for forming film, and storage medium

Publications (2)

Publication Number Publication Date
KR20070016071A true KR20070016071A (en) 2007-02-07
KR100980126B1 KR100980126B1 (en) 2010-09-03

Family

ID=43650335

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060072425A KR100980126B1 (en) 2005-08-02 2006-08-01 Method and apparatus for forming film, and storage medium

Country Status (1)

Country Link
KR (1) KR100980126B1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101146512B1 (en) * 2009-07-01 2012-05-29 가부시키가이샤 히다치 고쿠사이 덴키 Method of manufacturing semiconductor device and substrate processing apparatus
US8685866B2 (en) 2009-07-07 2014-04-01 Hitachi Kokusai Electric, Inc. Method of manufacturing semiconductor device and substrate processing apparatus
KR20140044267A (en) * 2012-10-04 2014-04-14 도쿄엘렉트론가부시키가이샤 Deposition method and deposition apparatus
KR20210074422A (en) * 2016-06-07 2021-06-21 가부시키가이샤 코쿠사이 엘렉트릭 Substrate processing apparatus, method of manufacturing semiconductor device and program

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5852151B2 (en) * 2014-02-12 2016-02-03 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, program, and recording medium

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100449028B1 (en) * 2002-03-05 2004-09-16 삼성전자주식회사 Method for forming thin film using ALD
JP3947126B2 (en) 2002-04-11 2007-07-18 株式会社日立国際電気 Semiconductor manufacturing equipment
JP4242733B2 (en) * 2003-08-15 2009-03-25 株式会社日立国際電気 Manufacturing method of semiconductor device

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101146512B1 (en) * 2009-07-01 2012-05-29 가부시키가이샤 히다치 고쿠사이 덴키 Method of manufacturing semiconductor device and substrate processing apparatus
US8404603B2 (en) 2009-07-01 2013-03-26 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and substrate processing system
US8685866B2 (en) 2009-07-07 2014-04-01 Hitachi Kokusai Electric, Inc. Method of manufacturing semiconductor device and substrate processing apparatus
KR20140044267A (en) * 2012-10-04 2014-04-14 도쿄엘렉트론가부시키가이샤 Deposition method and deposition apparatus
KR20210074422A (en) * 2016-06-07 2021-06-21 가부시키가이샤 코쿠사이 엘렉트릭 Substrate processing apparatus, method of manufacturing semiconductor device and program
US11365482B2 (en) 2016-06-07 2022-06-21 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device

Also Published As

Publication number Publication date
KR100980126B1 (en) 2010-09-03

Similar Documents

Publication Publication Date Title
US7758920B2 (en) Method and apparatus for forming silicon-containing insulating film
KR100935257B1 (en) Film formation method and apparatus for semiconductor process, and computer readable medium
KR101086588B1 (en) Film formation method and apparatus for semiconductor process, and computer readable medium
KR100954243B1 (en) Film formation apparatus and method for semiconductor process and computer-readble medium
KR101140069B1 (en) Film formation method and apparatus for semiconductor process and computer readable medium
KR101141870B1 (en) Film formation method and apparatus for semiconductor process
KR101287725B1 (en) Vertical batch cvd apparatus, film formation method in vertical batch cvd apparatus and computer readable medium
KR101122964B1 (en) Vertical plasma processing apparatus and method, and vertical plasma film formation apparatus for semiconductor process
KR100890684B1 (en) Film formation method for semiconductor process
KR101105130B1 (en) Film formation method and apparatus for semiconductor process
US8025931B2 (en) Film formation apparatus for semiconductor process and method for using the same
US8343594B2 (en) Film formation method and apparatus for semiconductor process
US7906168B2 (en) Film formation method and apparatus for forming silicon oxide film
US8168270B2 (en) Film formation method and apparatus for semiconductor process
KR20080001646A (en) Film formation apparatus and method of using the same
US7427572B2 (en) Method and apparatus for forming silicon nitride film
KR100980126B1 (en) Method and apparatus for forming film, and storage medium
CN114250452B (en) Substrate processing apparatus, method for manufacturing semiconductor device, and substrate processing method

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130801

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140808

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20150730

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160727

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20170804

Year of fee payment: 8