KR20070008301A - Discharging system of waste gas - Google Patents

Discharging system of waste gas Download PDF

Info

Publication number
KR20070008301A
KR20070008301A KR1020050063416A KR20050063416A KR20070008301A KR 20070008301 A KR20070008301 A KR 20070008301A KR 1020050063416 A KR1020050063416 A KR 1020050063416A KR 20050063416 A KR20050063416 A KR 20050063416A KR 20070008301 A KR20070008301 A KR 20070008301A
Authority
KR
South Korea
Prior art keywords
exhaust line
exhaust
reaction
line
products
Prior art date
Application number
KR1020050063416A
Other languages
Korean (ko)
Inventor
백승민
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020050063416A priority Critical patent/KR20070008301A/en
Publication of KR20070008301A publication Critical patent/KR20070008301A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F04POSITIVE - DISPLACEMENT MACHINES FOR LIQUIDS; PUMPS FOR LIQUIDS OR ELASTIC FLUIDS
    • F04CROTARY-PISTON, OR OSCILLATING-PISTON, POSITIVE-DISPLACEMENT MACHINES FOR LIQUIDS; ROTARY-PISTON, OR OSCILLATING-PISTON, POSITIVE-DISPLACEMENT PUMPS
    • F04C29/00Component parts, details or accessories of pumps or pumping installations, not provided for in groups F04C18/00 - F04C28/00
    • F04C29/0092Removing solid or liquid contaminants from the gas under pumping, e.g. by filtering or deposition; Purging; Scrubbing; Cleaning
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16HGEARING
    • F16H61/00Control functions within control units of change-speed- or reversing-gearings for conveying rotary motion ; Control of exclusively fluid gearing, friction gearing, gearings with endless flexible members or other particular types of gearing
    • F16H61/02Control functions within control units of change-speed- or reversing-gearings for conveying rotary motion ; Control of exclusively fluid gearing, friction gearing, gearings with endless flexible members or other particular types of gearing characterised by the signals used
    • F16H61/0262Control functions within control units of change-speed- or reversing-gearings for conveying rotary motion ; Control of exclusively fluid gearing, friction gearing, gearings with endless flexible members or other particular types of gearing characterised by the signals used the signals being hydraulic
    • F16H61/0276Elements specially adapted for hydraulic control units, e.g. valves
    • F16H61/029Throttle valves
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K3/00Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing

Abstract

A waste gas processing system is provided to easily eliminate a reaction byproduct after visually checking the byproduct collected in an exhaust line. A waste gas processing system includes an exhaust line(10) connected to a process chamber for exhausting non-reaction gas and reaction byproducts generated during a process, and a valve installed in the exhaust line. the exhaust line has a first exhaust line(11) connected to the process chamber, a second exhaust line(12) extending in a direction perpendicular to the first exhaust line, and a third exhaust line(13) connected to an exhaust pump. A hole is formed on one side of the second exhaust line, and the hole is covered by a cover portion(40).

Description

배기 가스 처리 시스템{discharging system of waste gas}Discharging system of waste gas

도 1은 종래의 배기 가스 처리 시스템을 나타내는 정면도;1 is a front view showing a conventional exhaust gas treatment system;

도 2는 본 발명에 따른 배기 가스 처리 시스템을 나타내는 측면도;2 is a side view showing an exhaust gas treatment system according to the present invention;

도 3은 도 2에 나타난 덮개부를 확대한 도면;3 is an enlarged view of the cover part shown in FIG. 2;

도 4는 본 발명에 따른 덮개부를 배기라인에 체결하는 모습을 나타내는 도면;4 is a view showing a state in which the cover portion according to the present invention is fastened to the exhaust line;

도 5는 본 발명에 따른 덮개부가 배기라인에 체결된 모습을 나타내는 도면이다.5 is a view showing a state in which the cover portion is fastened to the exhaust line according to the present invention.

* 도면의 주요부분에 대한 부호의 설명 *Explanation of symbols on the main parts of the drawings

10 : 배기라인 20 : 스로틀 밸브10 exhaust line 20 throttle valve

30 : 게이트 밸브 40 : 덮개부30: gate valve 40: cover

42 : 체결홀 44 : 뷰포트42: fastening hole 44: viewport

본 발명은 반도체를 제조하기 위한 장치에 관한 것으로, 더욱 상세하게는 상기 제조 공정 중에 발생하는 배기 가스를 외부로 배출하기 위한 배기 가스 처리 시 스템에 관한 것이다.The present invention relates to an apparatus for manufacturing a semiconductor, and more particularly to an exhaust gas treatment system for discharging the exhaust gas generated during the manufacturing process to the outside.

근래에 정보 통신 분야의 급속한 발달과 컴퓨터와 같은 정보 매체가 널리 보급됨에 따라 반도체 장치도 비약적으로 발전하고 있다. 그 기능적인 면에 있어서, 상기 반도체 장치는 고속으로 동작하는 동시에 대용량의 저장 능력을 가질 것이 요구된다. 이에 따라, 상기 반도체 장치는 집적도, 신뢰도 및 응답 속도 등을 향상시키는 방향으로 제조 기술이 발전되고 있다.In recent years, with the rapid development of the information communication field and the widespread spread of information media such as computers, semiconductor devices are also rapidly developing. In terms of its function, the semiconductor device is required to operate at a high speed and to have a large storage capacity. Accordingly, the manufacturing technology of the semiconductor device has been developed to improve the degree of integration, reliability, and response speed.

상기 반도체 장치는 일반적으로 막 형성, 패턴 형성, 금속 배선 형성 등을 위한 일련의 단위 공정들을 순차적으로 수행함으로서 제조된다. 상기 단위 공정들의 수행에서는 상기 단위 공정들의 공정 조건에 적합한 제조 장치가 사용된다.The semiconductor device is generally manufactured by sequentially performing a series of unit processes for film formation, pattern formation, metal wiring formation, and the like. In performing the unit processes, a manufacturing apparatus suitable for the process conditions of the unit processes is used.

상기 공정들은 반도체 장치의 품질 및 수율 향상을 위해 압력 및 온도 등 공정 분위기의 정밀한 제어가 필수적인 요구조건으로 대두되고 있다.These processes are emerging as a requirement for precise control of the process atmosphere such as pressure and temperature in order to improve the quality and yield of semiconductor devices.

일반적으로, 반도체 장치를 제조하기 위한 반도체 기판의 가공 공정들은 다양한 공정 가스들을 사용하고, 반도체 기판이 공기와 반응하지 않도록 하기 위해 대기압에 비해 매우 낮은 진공 상태에서 수행된다.In general, the processing of semiconductor substrates for manufacturing semiconductor devices uses a variety of process gases and is carried out in a very low vacuum compared to atmospheric pressure to ensure that the semiconductor substrate does not react with air.

상기 가공 공정들이 진행되는 공정 챔버의 내부를 진공 상태로 만들기 위해 상기 공정 챔버와 연결되는 다양한 방식의 펌프 시스템이 사용되고 있다. 그런데, 상기 펌프 시스템은 진공을 제공하기 위해서만 사용되지는 않는다.Various types of pump systems are used in connection with the process chamber to vacuum the interior of the process chamber through which the processing processes are carried out. However, the pump system is not only used to provide a vacuum.

상기 펌프 시스템은 상기 공정 가스들에 의해 공정이 진행되는 도중에 발생되는 미반응 가스들과 반응 부산물을 배출한다.The pump system discharges unreacted gases and reaction by-products generated during the process by the process gases.

예를 들면, 반도체 기판 상에 피가공막을 형성하는 증착 공정이나, 상기 증 착 공정 이후에 상기 피가공막을 식각하는 식각 공정에는 다양한 종류의 공정 가스들이 사용된다.For example, various kinds of process gases are used in a deposition process for forming a process film on a semiconductor substrate or an etching process for etching the process film after the deposition process.

상기 공정들이 시작될 때 공정 챔버로 공정 가스들이 투입되면, 상기 공정 챔버의 내부는 일시적으로 압력이 상승된다. 따라서 상승된 상기 압력을 공정 조건으로 유지하기 위해 공정이 진행되는 동안 계속해서 펌프 시스템이 가동되어야 하고, 공정이 진행되는 동안 발생하는 미반응 가스 및 반응 부산물의 배출도 펌프 시스템에 의해 이루어진다.When process gases are introduced into the process chamber when the processes are started, the interior of the process chamber is temporarily raised. Therefore, the pump system must be operated continuously during the process to maintain the elevated pressure at the process condition, and the pump system also discharges unreacted gases and reaction by-products generated during the process.

상기 펌프 시스템은 공정 장치들에 따라 다양한 방식이 있으며, 진공 라인 등에는 다양한 밸브들이 장착되어 공정 조건을 제어한다. 예를 들어, 고진공을 달성할 수 있는 터보 펌프를 사용하는 경우, 상기 터보 펌프는 개폐 정도를 조절할 수 있는 스로틀 밸브(throttle valve)와 온-오프(on-off) 동작에 의해 개폐를 수행하는 게이트 밸브(gate valve) 또는 고진공 밸브(hi-vacuum valve) 등과 함께 진공 라인에 연결된다.The pump system has various schemes according to the process apparatuses, and various valves are installed in the vacuum line to control process conditions. For example, when using a turbo pump capable of achieving a high vacuum, the turbo pump has a throttle valve that can adjust the degree of opening and closing and a gate that opens and closes by on-off operation. It is connected to the vacuum line together with a gate valve or a hi-vacuum valve.

상기 터보 펌프를 보조하기 위한 드라이 펌프(dry pump)가 공정 챔버로부터 순차적으로 연결된다. 상기 드라이 펌프는 상기 터보 펌프의 펌핑을 보조하는 역할을 수행한다.Dry pumps for assisting the turbopump are sequentially connected from the process chamber. The dry pump serves to assist in pumping the turbo pump.

상기 터보 펌프와 드라이 펌프를 연결하는 라인에는 상기 공정 챔버로 공정 가스를 공급하는 라인과 연결되는 배기라인이 연결되어 상기 드라이 펌프에 의해 공정이 종료된 후 상기 공정 챔버 내부에 잔류하는 공정 가스들이 배출된다.An exhaust line connected to a line for supplying a process gas to the process chamber is connected to a line connecting the turbo pump and the dry pump to discharge process gases remaining in the process chamber after the process is completed by the dry pump. do.

그런데, 상기 배기라인에는 공정 도중에 발생되는 반응 부산물이 누적되고, 상기 반응 부산물들은 공정 결함의 원인이 되는 파티클의 발생 원인이 된다. 따라서, 이러한 반응 부산물이 제거될 필요가 있다.However, reaction by-products generated during the process accumulate in the exhaust line, and the reaction by-products cause generation of particles that cause process defects. Thus, this reaction byproduct needs to be removed.

도 1은 종래의 배기 가스 처리 시스템을 나타내는 정면도이다.1 is a front view showing a conventional exhaust gas treatment system.

이와 같은 장치의 대표적인 예가 노벨러스(Novellus)사의 시퀴얼(Sequel) 장치이고, 이 장치는 플라즈마 강화 화학 기상 증착장치(plasma enhanced chemical vapor deposition:PECVD)를 이용하여 테트라에틸 오소실리케이트(TetraEthyl OrthoSilicate:PE-TEOS)막을 형성하는 과정을 수행한다.A representative example of such a device is a Novell device, a Seequel device, which is a tetraethyl orthosilicate (PE-CVD) using plasma enhanced chemical vapor deposition (PECVD). TEOS) to form a film.

배기라인(10)은 공정챔버(도시안됨) 내의 미반응 가스 및 반응 부산물 등을 외부로 배출하기 위한 라인이다. 배기라인(10)은 공정 챔버(도시안됨)와 연결되는 제1배기라인(11)과, 제1배기라인(11)과 수직하며 지면과 평행한 제2배기라인(12)과, 제2배기라인(12)과 수직하며 배기펌프(도시안됨)와 연결되는 제3배기라인(13)을 포함한다. 공정 챔버와 연결되는 제1배기라인(11)의 윗부분에는 웨이퍼를 이동시키는 스핀들 어셈블리(도시안됨)가 위치한다. 따라서, 배기라인(10)은 도시된 바와 같이 굽은 형태를 한다.The exhaust line 10 is a line for discharging the unreacted gas and the reaction by-products in the process chamber (not shown) to the outside. The exhaust line 10 includes a first exhaust line 11 connected to a process chamber (not shown), a second exhaust line 12 perpendicular to the first exhaust line 11 and parallel to the ground, and a second exhaust line. And a third exhaust line 13 perpendicular to the line 12 and connected to the exhaust pump (not shown). A spindle assembly (not shown) for moving the wafer is positioned above the first exhaust line 11 that is connected to the process chamber. Thus, the exhaust line 10 is curved as shown.

제3배기라인(13)이 배기펌프와 연결되는 부분에는 스로틀 밸브(throttle valve)(20)와 게이트 밸브(gate valve)(30)가 차례대로 위치한다. 스로틀 밸브(20)는 배기라인(10) 상에 설치되어 배기라인(10)의 개폐 정도를 조절하며, 게이트 밸브(30)는 배기라인 상에 설치되어 온-오프(on-off) 동작에 의해 개폐를 수행한다.The throttle valve 20 and the gate valve 30 are sequentially positioned at the portion where the third exhaust line 13 is connected to the exhaust pump. Throttle valve 20 is installed on the exhaust line 10 to control the opening and closing degree of the exhaust line 10, the gate valve 30 is installed on the exhaust line by the on-off operation Perform opening and closing.

상기한 바와 같이, 챔버 내의 공정가스 등은 배기라인(10)을 통하여 모두 배출되어야 하나, 상기한 이유에 의하여 배기라인(10)이 굽은 형태를 하고 있으므로, 도시한 바와 같이 배기라인(10)이 꺾이는 부분에서는 일부 반응 부산물의 적체현상이 발생된다. 따라서, 이는 공정 불량의 원인이 되며 작업공간의 청정도를 떨어뜨린다.As described above, the process gas in the chamber and the like should be exhausted through the exhaust line 10, but because the exhaust line 10 is bent due to the above reason, the exhaust line 10 as shown At the bends, some reaction by-products accumulate. This, in turn, causes process failure and degrades the cleanliness of the workspace.

종래에는 이를 제거하기 위하여 배기라인(10) 전체를 교체하였으므로, 작업효율을 떨어뜨리고 교체작업에 많은 비용과 시간을 사용해야 했다. 또한, 배기라인 내부의 상태를 확인할 수 없었으므로 반응 부산물 등의 적체여부를 파악하는 것이 불가능하였다.In the related art, since the entire exhaust line 10 was replaced to remove it, the work efficiency had to be reduced and a large amount of time and time should be used for the replacement work. In addition, since the state inside the exhaust line could not be confirmed, it was impossible to determine whether the reaction by-products and the like accumulated.

본 발명의 목적은 상기 배기라인 상에 반응 부산물 등의 적체 여부를 직접 육안으로 확인할 수 있는 배기 가스 처리 시스템을 제공하는데 있다.An object of the present invention is to provide an exhaust gas treatment system that can directly check whether the reaction by-products and the like accumulated on the exhaust line.

본 발명의 또 다른 목적은 반응 부산물 등이 상기 배기라인 상에 적체된 경우에 별도로 배기라인을 교체하는 과정을 거치지 않고 반응 부산물 등을 손쉽게 제거할 수 있는 배기 가스 처리 시스템을 제공하는 데 있다.Another object of the present invention is to provide an exhaust gas treatment system that can easily remove the reaction by-products, etc. when the reaction by-products and the like accumulated on the exhaust line without having to replace the exhaust line separately.

본 발명은 공정을 수행하기 위한 공정 챔버와 연결되어 공정 도중 발생하는 미반응 가스 및 반응 부산물을 배출하기 위한 배기라인과, 상기 배기라인 상에 설치되는 밸브를 포함하되, 상기 배기라인은 상기 공정 챔버와 연결되는 제1배기라인과, 제1배기라인과 수직하며 지면과 평행한 제2배기라인과, 제2배기라인과 수직하며 배기펌프와 연결되는 제3배기라인을 포함하며, 상기 제2배기라인의 일측에는 상기 제2배기라인의 내부에 접근하여 적체된 반응 부산물을 제거할 수 있는 홀이 형 성되며, 상기 홀은 착탈가능한 덮개부에 의하여 밀폐가능한 것을 특징으로 한다.The present invention includes an exhaust line for discharging unreacted gas and reaction by-products generated during the process connected to the process chamber for performing the process, and a valve installed on the exhaust line, the exhaust line is the process chamber And a first exhaust line connected to the first exhaust line, a second exhaust line perpendicular to the first exhaust line and parallel to the ground, and a third exhaust line perpendicular to the second exhaust line and connected to the exhaust pump. On one side of the line is formed a hole for removing the reaction by-products accumulated by accessing the inside of the second exhaust line, the hole is characterized in that the sealable by the removable cover.

본 발명에 있어서, 상기 덮개부는 상기 배기라인의 내부상태를 확인할 수 있도록 뷰포트를 구비할 수 있다.In the present invention, the cover portion may be provided with a viewport to check the internal state of the exhaust line.

이하, 본 발명의 바람직한 실시예를 첨부된 도 2 내지 도 5를 참조하여 더욱 상세히 설명한다. 본 발명의 실시예는 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 상술하는 실시예로 인해 한정되어 지는 것으로 해석돼서는 안 된다. 본 실시예는 당 업계에서 평균적인 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위해서 제공되는 것이다. 따라서 도면에서의 요소의 형상은 보다 명확한 설명을 강조하기 위해서 과장된 것이다.Hereinafter, preferred embodiments of the present invention will be described in more detail with reference to FIGS. 2 to 5. Embodiment of the present invention may be modified in various forms, the scope of the present invention should not be construed as being limited by the embodiments described below. This embodiment is provided to more completely explain the present invention to those skilled in the art. Therefore, the shape of the elements in the drawings are exaggerated to emphasize a clearer description.

도 2는 본 발명에 따른 배기 가스 처리 시스템을 나타내는 측면도이며, 도 3은 도 2에 나타난 덮개부(40)를 확대한 도면이고, 도 4는 본 발명에 따른 덮개부(40)를 제2배기라인(10)에 체결하는 모습을 나타내는 도면이며, 도 5는 본 발명에 따른 덮개부(40)가 제2배기라인(10)에 체결된 모습을 나타내는 도면이다.2 is a side view showing an exhaust gas treatment system according to the present invention, FIG. 3 is an enlarged view of the cover part 40 shown in FIG. 2, and FIG. 4 is a second exhaust of the cover part 40 according to the present invention. 5 is a view showing a state of fastening to the line 10, Figure 5 is a view showing a state in which the cover 40 according to the present invention is fastened to the second exhaust line (10).

본 발명은 공정을 수행하기 위한 공정 챔버(도시안됨)와 연결되어 공정 도중 발생하는 미반응 가스 및 반응 부산물을 배출하기 위한 배기라인(10)과, 배기라인(10) 상에 설치되는 밸브를 포함한다. The present invention includes an exhaust line (10) connected to a process chamber (not shown) for carrying out a process to discharge unreacted gas and reaction by-products generated during the process, and a valve installed on the exhaust line (10). do.

배기라인(10)은 상기 공정 챔버와 연결되는 제1배기라인(11)과, 제1배기라인(11)과 수직하며 지면과 평행한 제2배기라인(12)과, 제2배기라인(12)과 수직하며 배기펌프와 연결되는 제3배기라인(13)을 포함한다. 또한, 밸브는 배기라인(10)의 개폐 정도를 조절할 수 있는 스로틀 밸브(throttle valve)(20)와, 배기라인(10) 상 에 설치되며 온-오프(on-off) 동작에 의해 개폐를 수행하는 게이트 밸브(gate valve)(30)를 포함한다.The exhaust line 10 includes a first exhaust line 11 connected to the process chamber, a second exhaust line 12 perpendicular to the first exhaust line 11 and parallel to the ground, and a second exhaust line 12. ) And a third exhaust line 13 perpendicular to the exhaust pump. In addition, the valve is installed on the throttle valve (throttle valve) 20 that can adjust the opening and closing degree of the exhaust line 10, the exhaust line 10 and performs the opening and closing by the on-off operation (on-off) And a gate valve 30.

상기한 바와 같이 제2배기라인(12)의 내부에 적체된 반응 부산물 등을 제거하기 위하여 상기 제2배기라인(12)의 일측에는 상기 제2배기라인(12)의 내부에 접근할 수 있는 홀(15)을 형성한다. 홀(15)은 제2배기라인(12)의 내부에 반응 부산물 등이 적체된 경우 제2배기라인(12)의 내부에 직접 접근하여 적체된 부산물 등을 제거하기 위한 것이다. 따라서, 종전과 같이 배기라인(10) 전체를 교체해야 하는 문제점을 해결할 수 있다.As described above, in order to remove reaction by-products accumulated in the inside of the second exhaust line 12, one side of the second exhaust line 12 has a hole accessible to the inside of the second exhaust line 12. (15) is formed. The hole 15 is for removing the accumulated by-products by directly approaching the inside of the second exhaust line 12 when the reaction by-products and the like accumulate in the second exhaust line 12. Therefore, it is possible to solve the problem of replacing the entire exhaust line 10 as before.

배기라인(10)의 기밀을 유지할 필요가 있을 때에는 형성된 홀(12)을 폐쇄할 필요가 있는 바, 이를 위하여 덮개부(40)가 제공된다.When it is necessary to maintain the airtightness of the exhaust line 10, it is necessary to close the formed hole 12, for this purpose, a cover portion 40 is provided.

덮개부(40)는 배기라인(10)의 기밀을 유지하기 위하여 여러가지 방법에 의하여 체결될 수 있다. 그러나 배기라인(10) 상의 높은 압력을 견디기 위하여 강한 체결력이 제공될 수 있는 체결방법이 선택되어야 한다.The cover part 40 may be fastened by various methods to maintain the airtightness of the exhaust line 10. However, a fastening method in which a strong fastening force can be provided to withstand the high pressure on the exhaust line 10 should be selected.

본 실시예의 체결방법은 상기 덮개부(40)의 원주를 따라 복수개의 체결홀(42)을 형성하며, 상기 배기라인(10)의 일측에는 상기 체결(42)홀에 상응하는 체결홈(14)을 형성하여, 체결구(46)에 의하여 체결홀(42)과 체결홈(14)이 체결될 수 있다. 상기 체결구(46)는 나사 또는 리벳일 수 있다. 그러나, 본 실시예에서는 배기라인(10)의 높은 압력에도 기밀이 유지될 수 있도록 함과 동시에 덮개부(40)를 용이하게 탈착할 수 있도록 하기 위하여 나사를 이용하여 체결하도록 한다.The fastening method of the present embodiment forms a plurality of fastening holes 42 along the circumference of the cover part 40, and fastening grooves 14 corresponding to the fastening 42 holes on one side of the exhaust line 10. By forming the fastening hole 42 and the fastening groove 14 may be fastened by the fastener 46. The fastener 46 may be a screw or rivet. However, in the present embodiment, the airtightness is maintained even at a high pressure of the exhaust line 10 and at the same time, the cover part 40 is fastened by using screws to easily detach the cover 40.

덮개부(40)는 배기라인(10)의 내부상태를 모니터링할 수 있도록 뷰포트(44) 를 구비한다. 배기라인(10) 상에 반응 부산물 등이 적체되었는지 여부를 모니터링하여 적체되었을 경우에 덮개부(40)를 제거한 후 이를 제거할 수 있도록 하기 위함이다.The cover part 40 includes a viewport 44 so as to monitor the internal state of the exhaust line 10. This is to monitor whether the reaction by-products, etc. are accumulated on the exhaust line 10 to remove the cover portion 40 when it is accumulated.

상술한 바와 같이 본 발명에 의하면, 뷰포트(44)를 통하여 제2배기라인(12) 상에 반응 부산물 등이 적체되었는 지를 모니터링할 수 있다. 적체가 확인되면 체결구(46)를 이용하여 덮개부(40)를 제2배기라인(12)으로부터 분리한 이후에 홀(12)을 통하여 반응 부산물 등을 제거한다. 제2배기라인(12) 상의 반응 부산물 등이 제거되면 별도로 배기라인(10)을 교체할 필요 없이 종전의 배기라인(10)을 그대로 이용할 수 있다.As described above, according to the present invention, it is possible to monitor whether the reaction by-products and the like accumulate on the second exhaust line 12 through the viewport 44. When the accumulation is confirmed, after removing the cover portion 40 from the second exhaust line 12 using the fastener 46, reaction by-products are removed through the holes 12. When the reaction by-products and the like on the second exhaust line 12 are removed, the conventional exhaust line 10 may be used as it is without having to replace the exhaust line 10 separately.

본 발명에 의하면 상기 배기라인 상에 적체된 반응 부산물 등을 직접 육안으로 확인한 이후에, 별도로 배기라인을 교체하는 과정을 거칠 필요 없이 반응 부산물 등을 손쉽게 제거할 수 있다.According to the present invention, after directly checking the reaction by-products accumulated on the exhaust line, etc., the reaction by-products can be easily removed without having to go through the process of replacing the exhaust line separately.

Claims (2)

배기 가스 처리 시스템에 있어서,In an exhaust gas treatment system, 공정을 수행하기 위한 공정 챔버와 연결되어 공정 도중 발생하는 미반응 가스 및 반응 부산물을 배출하기 위한 배기라인과;An exhaust line connected with a process chamber for performing the process to discharge unreacted gases and reaction by-products generated during the process; 상기 배기라인 상에 설치되는 밸브를 포함하되,Including a valve installed on the exhaust line, 상기 배기라인은 상기 공정 챔버와 연결되는 제1배기라인과, 제1배기라인과 수직하며 지면과 평행한 제2배기라인과, 제2배기라인과 수직하며 배기펌프와 연결되는 제3배기라인을 포함하며,The exhaust line includes a first exhaust line connected to the process chamber, a second exhaust line perpendicular to the first exhaust line and parallel to the ground, and a third exhaust line perpendicular to the second exhaust line and connected to the exhaust pump. Include, 상기 제2배기라인의 일측에는 상기 제2배기라인의 내부에 접근하여 적체된 반응 부산물을 제거할 수 있는 홀이 형성되며, 상기 홀은 착탈가능한 덮개부에 의하여 밀폐가능한 것을 특징으로 하는 배기 가스 처리 시스템.One side of the second exhaust line is formed with a hole for removing the reaction by-products accumulated by accessing the inside of the second exhaust line, the hole is an exhaust gas treatment, characterized in that the sealable by a removable cover portion system. 제1항에 있어서,The method of claim 1, 상기 덮개부는 상기 배기라인의 내부상태를 확인할 수 있도록 뷰포트를 구비하는 것을 특징으로 하는 배기 가스 처리 시스템.And the cover part includes a viewport to check an internal state of the exhaust line.
KR1020050063416A 2005-07-13 2005-07-13 Discharging system of waste gas KR20070008301A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020050063416A KR20070008301A (en) 2005-07-13 2005-07-13 Discharging system of waste gas

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050063416A KR20070008301A (en) 2005-07-13 2005-07-13 Discharging system of waste gas

Publications (1)

Publication Number Publication Date
KR20070008301A true KR20070008301A (en) 2007-01-17

Family

ID=38010539

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050063416A KR20070008301A (en) 2005-07-13 2005-07-13 Discharging system of waste gas

Country Status (1)

Country Link
KR (1) KR20070008301A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100784392B1 (en) * 2007-01-22 2007-12-11 삼성전자주식회사 Collecting method and collecting unit of particles, and substrate treatment apparatus including the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100784392B1 (en) * 2007-01-22 2007-12-11 삼성전자주식회사 Collecting method and collecting unit of particles, and substrate treatment apparatus including the same
US8114203B2 (en) 2007-01-22 2012-02-14 Samsung Electronics Co., Ltd. Units for collecting particles, apparatus including the same and methods for collecting particles using the same

Similar Documents

Publication Publication Date Title
US8382938B2 (en) Gate valve cleaning method and substrate processing system
KR101213689B1 (en) Apparatus for cleaning exhaust portion and vacuum pump of the semiconductor and LCD process reaction chamber
US20090229759A1 (en) Annular assembly for plasma processing, plasma processing apparatus, and outer annular member
US11908679B2 (en) Atomic oxygen and ozone device for cleaning and surface treatment
JPH11204508A (en) Method and device for manufacturing semiconductor device
US7201807B2 (en) Method for cleaning a deposition chamber and deposition apparatus for performing in situ cleaning
US7182879B2 (en) Plasma processing method
KR20070008301A (en) Discharging system of waste gas
JP2010003807A (en) Method of manufacturing semiconductor apparatus
KR102616693B1 (en) Substrate processing apparatus and method of purging gas supply pipes
CN101764042B (en) Air sucking device and semiconductor processing device
US20070221332A1 (en) Plasma processing apparatus
KR100267583B1 (en) Multi-chamber process equipment
KR20070093499A (en) Exhaust system
JP2520592Y2 (en) Decompression exhaust device
KR100511525B1 (en) Apparatus for cleaning exhaust portion of chemical reaction chamber
KR20050087059A (en) Apparatus for processing substrate having double processing chamber
US20230352275A1 (en) Apparatus and method for processing substrate
KR20060131075A (en) Vacuum forming equipment for semiconductor manufacturing equipment
JP7220603B2 (en) METHOD AND PLASMA PROCESSING APPARATUS FOR ETCHING FILM
US20210391537A1 (en) Method for cleaning a vacuum system, method for vacuum processing of a substrate, and apparatus for vacuum processing a substrate
US20090209108A1 (en) Substrate processing method
KR20020075123A (en) A chemical vapor deposition apparatus
WO2021240210A1 (en) Method for cleaning a vacuum chamber, method for vacuum processing of a substrate, and apparatuses for vacuum processing a substrate
KR20080006067A (en) Gas injector connection device

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination