KR20050081721A - Apparatus using pll for scan testing at speed of semiconductor chip - Google Patents
Apparatus using pll for scan testing at speed of semiconductor chip Download PDFInfo
- Publication number
- KR20050081721A KR20050081721A KR1020040010084A KR20040010084A KR20050081721A KR 20050081721 A KR20050081721 A KR 20050081721A KR 1020040010084 A KR1020040010084 A KR 1020040010084A KR 20040010084 A KR20040010084 A KR 20040010084A KR 20050081721 A KR20050081721 A KR 20050081721A
- Authority
- KR
- South Korea
- Prior art keywords
- clock signal
- test
- capture
- scan
- signal
- Prior art date
Links
- 238000012360 testing method Methods 0.000 title claims abstract description 131
- 239000004065 semiconductor Substances 0.000 title claims abstract description 22
- 230000003111 delayed effect Effects 0.000 claims description 2
- 230000007274 generation of a signal involved in cell-cell signaling Effects 0.000 abstract description 7
- 238000000034 method Methods 0.000 description 34
- 230000007704 transition Effects 0.000 description 32
- 238000010586 diagram Methods 0.000 description 14
- 229920000729 poly(L-lysine) polymer Polymers 0.000 description 14
- 238000010998 test method Methods 0.000 description 6
- 239000013598 vector Substances 0.000 description 6
- 238000013461 design Methods 0.000 description 5
- 238000005070 sampling Methods 0.000 description 5
- 238000001514 detection method Methods 0.000 description 4
- 230000008569 process Effects 0.000 description 4
- 230000007547 defect Effects 0.000 description 3
- 230000001360 synchronised effect Effects 0.000 description 3
- 230000006870 function Effects 0.000 description 2
- 238000009825 accumulation Methods 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 230000006835 compression Effects 0.000 description 1
- 238000007906 compression Methods 0.000 description 1
- 230000002950 deficient Effects 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 210000004185 liver Anatomy 0.000 description 1
- 230000007257 malfunction Effects 0.000 description 1
- 230000000873 masking effect Effects 0.000 description 1
- 238000012545 processing Methods 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 230000000630 rising effect Effects 0.000 description 1
- 238000003786 synthesis reaction Methods 0.000 description 1
Classifications
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01R—MEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
- G01R31/00—Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
- G01R31/28—Testing of electronic circuits, e.g. by signal tracer
- G01R31/317—Testing of digital circuits
- G01R31/3181—Functional testing
- G01R31/3185—Reconfiguring for testing, e.g. LSSD, partitioning
- G01R31/318533—Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01R—MEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
- G01R31/00—Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
- G01R31/28—Testing of electronic circuits, e.g. by signal tracer
- G01R31/317—Testing of digital circuits
- G01R31/3181—Functional testing
- G01R31/319—Tester hardware, i.e. output processing circuits
- G01R31/31917—Stimuli generation or application of test patterns to the device under test [DUT]
-
- H—ELECTRICITY
- H03—ELECTRONIC CIRCUITRY
- H03L—AUTOMATIC CONTROL, STARTING, SYNCHRONISATION OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
- H03L7/00—Automatic control of frequency or phase; Synchronisation
- H03L7/06—Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
- H03L7/08—Details of the phase-locked loop
- H03L7/081—Details of the phase-locked loop provided with an additional controlled phase shifter
- H03L7/0812—Details of the phase-locked loop provided with an additional controlled phase shifter and where no voltage or current controlled oscillator is used
Landscapes
- Engineering & Computer Science (AREA)
- General Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Tests Of Electronic Circuits (AREA)
Abstract
본 발명은 스캔 구조를 사용하여 비메모리 반도체 칩을 테스트하는 시스템에서 고속의 클럭신호를 사용하여 테스트할 수 있도록 하고, 지연 고장의 발생 빈도를 줄이며, 고속 지연 고장 테스트가 가능하도록 한 기술에 관한 것이다. 이러한 본 발명은, 시스템 클럭신호(SYS_CLK)의 위상을 제어하여 서로 다른 위상 및 주기의 동작속도 클럭신호(CKA),(CKB)를 출력하는 피엘엘(PLL)(61A),(61B)과; 외부로부터 입력되는 스캔이동 클럭신호(SCK)와 내부에서 생성된 상기 동작속도 클럭신호(CKA),(CKB)를 동기화시키기 위한 테스트 클럭신호 발생부(62)와; 외부로부터 입력되는 포획신호(SE)를 상기 테스트 클럭신호 발생부(62)에서 생성된 스캔이동 클럭신호(SCKA),(SCKB)에 동기화시키는 포획신호 발생부(63)와; 테스트 클럭신호 발생부(62)에서 출력되는 동작속도 클럭신호(tCKA),(tCKB)와 포획신호 발생부(63)에서 출력되는 포획신호(SEA),(SEB)를 입력받는 테스트 대상회로(64)에 의해 달성된다.The present invention relates to a technology that enables a test using a high-speed clock signal in a system for testing a non-memory semiconductor chip using a scan structure, reduces the frequency of occurrence of a delay failure, and enables a fast delay failure test. . The present invention includes a PEL (PLL) (61A), (61B) for controlling the phase of the system clock signal (SYS_CLK) to output the operating speed clock signal (CKA), (CKB) of different phases and periods; A test clock signal generator 62 for synchronizing the scan movement clock signal SCK input from the outside with the operation speed clock signals CKA and CKB generated therein; A capture signal generation unit 63 for synchronizing the capture signal SE input from the outside with the scan movement clock signals SCKA and SCKB generated by the test clock signal generation unit 62; Test target circuit 64 for receiving the operation speed clock signals tCKA and tCKB output from the test clock signal generator 62 and the capture signals SEA and SEB output from the capture signal generator 63. Is achieved by
Description
본 발명은 스캔 구조를 사용하여 비메모리 반도체 칩을 테스트하는 기술에 관한 것으로, 특히 고속의 클럭신호를 사용하여 테스트할 수 있도록 하고, 지연 고장의 발생 빈도를 줄이며, 고속 지연 고장 테스트가 가능하도록 한 피엘엘을 이용한 반도체 칩의 동작속도 스캔 테스트 장치에 관한 것이다. The present invention relates to a technique for testing a non-memory semiconductor chip using a scan structure, and more particularly, to test using a high-speed clock signal, to reduce the frequency of occurrence of a delay failure, and to enable a fast delay failure test. The present invention relates to a scanning speed test apparatus for a semiconductor chip using PLEL.
스캔(SCAN) 구조는 비메모리 반도체의 테스트를 위해 가장 널리 사용되고 있는 기술로 고착 고장에 대한 ATPG(ATPG: Automatic Test Pattern Generation)를 수행하여 반도체 공정상의 불량을 검출할 수 있다. 하지만, 반도체 기술이 미세화하고 클럭신호의 주파수가 높아짐에 따라 반도체 결함들 중에 지연 고장(delay fault)이 차지하는 비중이 높아지고 있다. 최근의 많은 실험적 증거들은 더 이상 지연 고장을 무시하던가 테스트하지 않고 최종 반도체를 출하하면 원하는 품질을 보장할 수 없음을 나타내고 있다.The scan (SCAN) structure is the most widely used technique for the test of non-memory semiconductors and can detect defects in the semiconductor process by performing Automatic Test Pattern Generation (ATPG) for fixing failures. However, as semiconductor technology becomes smaller and the frequency of clock signals increases, the proportion of delay faults among semiconductor defects increases. Many recent experimental evidence indicates that shipping the final semiconductor without ignoring or testing delay failures can no longer guarantee the desired quality.
상기 지연 고장은 크게 천이 고장(transition fault)와 경로 지연 고장(path delay fault)으로 분류된다. 지연 고장은 경로상의 지연 결함이 누적되어 칩의 오동작을 일으키는 고장을 검출하는 것으로, 회로내에 존재하는 경로의 수가 무한대에 가까워 실제 반도체 테스트에 적용하는데 한계를 갖는다. 따라서, 산업계에서 주로 사용하는 지연 고장 모델은 천이 고장이다.The delay faults are largely classified into transition faults and path delay faults. Delay failure detects a failure that causes chip malfunction due to accumulation of delay defects on a path, and the number of paths existing in a circuit is close to infinity, which limits its application to actual semiconductor tests. Therefore, the delay failure model mainly used in the industry is a transition failure.
천이 고장을 검출하기 위한 기술적 방법은 크게 3가지로 구분할 수 있다. 첫째, 기능 패턴(functional patterns)을 사용하는 것이다. 하지만, 클럭신호의 주파수가 높아짐에 따라 동작 속도 테스트를 위한 데이터량이 많아지는 단점을 갖는다. 더구나 기능 패턴을 개발하기 위한 비용, 개발된 패턴의 디버깅(debugging)의 어려움, 그리고 초고가 테스트 장비의 필요성으로 인하여 기능 패턴을 이용한 동작 속도 테스트 기술은 점차 관심권에서 멀어지고 있다.Technical methods for detecting a transition failure can be classified into three types. The first is to use functional patterns. However, as the frequency of the clock signal increases, the amount of data for the operation speed test increases. Moreover, due to the cost of developing functional patterns, the difficulty of debugging the developed patterns, and the need for ultra-high cost test equipment, the operation speed test technique using the functional patterns is gradually moving away from the interest.
둘째는 도 1과 같이 테스트 대상 회로를 칩 내부에서 자체적으로 테스트할 수 있는 로직 BIST(BIST: Built-In Self Test)를 사용하는 방식이 있다. 반도체의 동작 속도로 패턴을 생성하고 그 응답을 분석하여 회로내에 고장 유무를 판별할 수 있다. 이와 같이 BIST를 사용하면 높은 테스트 품질을 얻는 것이 가능하고, 내부의 PLL을 사용하여 테스트 장비의 성능과 무관하게 테스트를 수행할 수 있다. 하지만, BIST를 사용하는 방식의 경우, 다중 클럭신호, 혼합 에지(edge) 설계, 과다한 전력 소모, 계층 구조, 설계 방식 등 많은 제약 조건을 극복해야 한다. 따라서, BIST를 구현하기 위해서는 보다 많은 인력과 시간, 비용이 필요하다. Second, there is a method using a logic built-in self test (BIST) that can test the circuit under test in-chip itself as shown in FIG. 1. A pattern can be generated at the operating speed of the semiconductor and the response can be analyzed to determine whether there is a failure in the circuit. In this way, using BIST enables high test quality, and the internal PLL enables the test to be performed regardless of the performance of the test equipment. However, in the case of using the BIST, many constraints such as multiple clock signals, mixed edge design, excessive power consumption, hierarchical structure, and design method must be overcome. Therefore, more manpower, time, and cost are required to implement BIST.
마지막으로, 스캔 기반 동작 속도 테스트 방식이 있는데, 이는 이미 업계에서 널리 사용되고 있는 테스트 용이화(design for testability) 기법으로서 이를 기반한 동작 속도 테스트 기술에 대하여 산업계에서는 그 유용성에 주목하고 있다. Finally, there is a scan-based speed test method, which is a design for testability technique that is widely used in the industry, and the industry is paying attention to the usefulness of the speed test technology based thereon.
스캔 기반 동작 속도 테스트에 대한 종래 기술은 크게 두 가지로 구분할 수 있다. 도 2에 전역 지연 테스트(broadside delay test) 방법을 나타내었고, 도 3과 도 4에는 스큐 로드 천이 테스트(skewed load transition test, launch-off-shift) 방법을 나타내었다. 기본적으로 지연 고장 테스트를 위해 주입력(primary input)이나 스캔 플립플롭(flipflop)에서 천이를 발생시켜 주출력(primary output)이나 플립플롭에서 해당 천이를 포획(capture)하는 방식을 취한다.The prior art for the scan-based speed test can be divided into two categories. FIG. 2 shows a method of a global delay test, and FIGS. 3 and 4 show a skewed load transition test and a launch-off-shift method. Basically, for the delay failure test, a transition is generated at the primary input or the scan flip-flop to capture the transition at the primary output or the flip-flop.
따라서, 동작 속도 테스트를 수행하기 위한 주요 기술은 천이의 발생과 포획을 위해 한 쌍의 클럭을 생성하는 방법이라 할 수 있다. 도 2에 나타낸 전역 지연 테스트 방법은 정상 동작 모드(functional mode)에서 한 쌍의 동작 속도 클럭 펄스를 사용한다. 처음 펄스는 천이를 발생시키고 두 번째 펄스는 스캔 셀(cell)에서 천이를 포획한다. 이 방법은 발생과 포획 클럭 사이클 내에서 조기 스큐잉(earlay skewing) 및 만기 스큐잉(late skewing)이 가능하다. 이 기술의 주요 장점은 포획신호 SE(SE: scan enable)의 시간 제약(timing constraints) 조건이 스큐 로드 천이 테스트에 비해 느슨해서 스캔 사슬(chain)을 구성하는데 용이하는 것이다. Therefore, the main technique for performing the operation speed test is a method of generating a pair of clocks for generation and capture of a transition. The global delay test method shown in FIG. 2 uses a pair of operating speed clock pulses in a normal mode of operation. The first pulse generates a transition and the second pulse captures the transition in the scan cell. This method allows for early skewing and late skewing within the generation and capture clock cycles. The main advantage of this technique is that the timing constraints of the capture signal scan (SE) are loose compared to the skew load transition test, making it easier to construct a scan chain.
하지만, Jacob Savir는 전역 지연 테스트 연구(Broad-side delay test, IEEE Trans. on CAD,Vol.13, No.8, Aug,1994, pp.1057 SIM 1064)에서 실험을 통해 이 방식이 일반적으로 낮은 고장 검출율을 갖고, 특히, 스큐 로드 천이 테스트에 비해 열악한 성능을 갖는다고 발표하였다. 전역 지연 테스트는 천이를 회로의 동작 기능(fuction)을 이용하기 때문에 천이를 발생시키기 위한 플립플롭이 낮은 조절용이도(controllability)를 갖고, 이로 인해 낮은 고장 검출율을 갖는다. 또한 전역 지연 테스트 방식은 외부의 장비를 사용하는 경우, 주파수가 다른 두 개의 클럭신호를 한 개의 클럭 핀에 공급할 수 있는 기능을 테스트 장비가 갖추고 있어야 하지만 그렇지 못한 경우가 많다. However, Jacob Savir has shown that this approach is generally low in experiments in the broad-side delay test (IEEE Trans. On CAD, Vol. 13, No. 8, Aug, 1994, pp. 1057 SIM 1064). It has a failure detection rate and, in particular, has poor performance compared to the skew rod transition test. The global delay test utilizes the circuit's operating function of the transition so that the flip-flop for generating the transition has low controllability, resulting in low failure detection rate. In addition, the global delay test method requires the test equipment to be able to supply two clock signals having different frequencies to one clock pin when using external equipment.
특히, 현재 출시되어 사용되고 있는 고속 동작 반도체의 경우 대부분 PLL(PLL: Phase Lock Loop)을 내장하여 낮은 주파수의 클럭신호를 내부의 높은 주파수의 클럭신호로 전환하여 사용한다. 따라서 동작 속도 스캔 테스트를 가능하게 하기 위해서는, 전역 지연 테스트의 경우 내부 PLL 클럭으로 천이를 발생하고 이를 포획하여야 한다. 하지만, 테스트 장비의 성능상 내부의 고속 PLL 클럭신호 주파수로 칩의 입력 핀에 벡터를 가할 수 없으며, 또한 출력에서 값을 포획할 수 없다. 이로 인하여 더욱 낮은 고장 검출율을 얻게 되는 단점을 갖는다. In particular, most high-speed operation semiconductors currently on the market are equipped with a PLL (PLL) to convert a low frequency clock signal into an internal high frequency clock signal. Therefore, in order to enable an operating speed scan test, a global delay test must generate and capture a transition to the internal PLL clock. However, due to the performance of the test equipment, internal fast PLL clock signal frequencies do not allow vectors to be applied to the chip's input pins, nor are they capable of capturing values at the output. This has the disadvantage of obtaining a lower failure detection rate.
내부 PLL을 사용한 전역 지연 테스트는 두 개의 연구, (1) Xijiang Lin et al., "Novel techniques for achieving high at speed transition fault test coverage for motorola's microprocessors based on PowerPC instruction set architecture," IEEE VLSI Test Symp., 2002, (2) Nandu Tendolkar et al., "High-frequency, at-speed scan testing," IEEE Design & Test of computers, Sep.-Oct.2003에 적용되었으며 앞에서 언급한 단점을 해결하지 못했다. 또한, 이 연구에서 사용한 방식들은 기존의 ATPG 툴(tool)을 그대로 사용할 수 없는 큰 단점을 갖는다. 특히, 한 개의 동작 속도 클럭신호만을 갖는 회로만을 대상으로 하였으며 다중 클럭신호를 처리하는 방법이 아직 개발되지 않았다.Global delay tests using internal PLLs are described in two studies, (1) Xijiang Lin et al., "Novel techniques for achieving high at speed transition fault test coverage for motorola's microprocessors based on PowerPC instruction set architecture," IEEE VLSI Test Symp., 2002, (2) Nandu Tendolkar et al., "High-frequency, at-speed scan testing," was applied to IEEE Design & Test of computers, Sep.-Oct. 2003, and did not solve the aforementioned disadvantages. In addition, the methods used in this study have a big disadvantage that the existing ATPG tool cannot be used as it is. In particular, only a circuit having only one operating speed clock signal is used and a method for processing multiple clock signals has not been developed yet.
한편, 도 3과 도 4에 나타난 스큐 로드 천이 테스트에 대해서는 지금까지 테스트 장비를 사용하는 방법만이 개발되었으며, PLL과 같이 칩 내부에서 생성되는 고속의 클럭신호를 사용한 방식은 아직 개발되지 않았다. 스캔 기반 테스트의 경우 스캔 이동(shifting) 속도는 장비가 지원할 수 있는 최대 속도를 넘지 못한다. 대부분의 반도체 테스트 장비는 낮은 주파수의 스캔 이동 속도만을 지원할 수 있기 때문에 일반적으로 스캔 이동은 낮은 속도로 수행한다. 동작 속도 테스트를 위해서는 포획 클럭신호를 동작 속도로 공급해야 하기 때문에 도 3과 도 4와 같이 클럭신호의 스큐를 조정하는 방식을 사용한다. Meanwhile, only methods using test equipment have been developed for the skew load transition test shown in FIGS. 3 and 4, and a method using a high speed clock signal generated inside a chip such as a PLL has not been developed yet. For scan-based tests, the scan shifting speed does not exceed the maximum speed that the device can support. Most semiconductor test equipment can only support low frequency scan movement speeds, so scan movements are typically performed at low speeds. Since the capture clock signal must be supplied at the operation speed for the operation speed test, a method of adjusting the skew of the clock signal is used as shown in FIGS. 3 and 4.
도 3과 도 4에서 보는 바와 같이 스캔 이동, 천이 발생, 포획 구간으로 구분되는 사이클은 모두 같은 주파수를 갖지만 오직 클럭신호의 상(phase)의 지연 정도로 구분한다. 따라서, 테스트 장비에서 각각의 사이클에 맞는 상을 갖는 클럭신호를 발생시키고, 구간에 따라 멀티플렉서(MUX)를 사용하여 스캔 이동, 천이 발생, 포획 사이클에 맞는 클럭신호를 공급하는 구조를 갖는다. 스큐 로드 천이 테스트 방식은 ATPG 과정에서 클럭의 주파수를 고려하지 않아도 되기 때문에 기존의 ATPG를 그대로 사용할 수 있으며 단지, 이종 클럭신호간 구역(interclock domain), 다중 사이클 경로(MCP: Multi-Cycle Path), 무제약 경로(false path)에 대한 고려가 필요하다. 이러한 고려는 전역 지연 테스트도 마찬가지로 고려해야 하는 사항이다.As shown in FIG. 3 and FIG. 4, the cycles divided into the scan movement, the transition, and the capture intervals all have the same frequency, but only the phase delay of the clock signal. Therefore, the test equipment generates a clock signal having a phase suitable for each cycle, and supplies a clock signal suitable for scan movement, transition generation, and capture cycles using a multiplexer (MUX) according to a section. Since the skew load transition test method does not need to consider the frequency of the clock during the ATPG process, the existing ATPG can be used as it is, except for the interclock domain, the multi-cycle path (MCP), Consideration should be given to a false path. This consideration must be taken into account for global delay testing as well.
외부 장비를 이용한 스큐 로드 천이 테스트 방식은 칩 내부에서 생성되는 클럭신호를 사용할 수 없기 때문에 고속 동작을 하는 칩에 대한 동작 속도 테스트가 불가능하다. 또한 앞에서 언급한 바와 같이 전역 지연 테스트는 여러 단점을 갖고 있다. 이러한 문제를 해결하기 위해서는 스큐 로드 천이 테스트 방식을 내부 생성 클럭신호를 갖는 칩에서 적용할 수 있는 기술의 개발이 필요하다. 또한 대부분의 칩이 다중 클럭 구조로 되어 있으므로 이에 대한 동작 속도 테스트 기술의 개발이 필요하다. The skew load transition test method using external equipment cannot use the clock signal generated inside the chip, so it is impossible to test the operation speed of the chip having high speed operation. Also, as mentioned earlier, global delay testing has several drawbacks. To solve this problem, it is necessary to develop a technology that can apply the skew load transition test method to a chip having an internally generated clock signal. In addition, since most chips have multiple clock structures, it is necessary to develop an operation speed test technique.
한편, 도 5는 종래 기술에 의한 테스트 대상 회로를 나타낸 것이다. 테스트 대상 회로는 2개의 피엘엘(PLL)(51A),(51B)과 한 개의 시스템 클럭신호(SYS_CLK)를 사용하는 회로를 예로 하였다. 통상의 저속 테스트 회로에서는 테스트 모드 신호(TM)에 의해 클럭신호(SCK)가 멀티플렉서(52A),(52B)를 통해 테스트 대상 회로(53)로 공급되어 스캔 이동 클럭신호와 포획 클럭신호로 동시에 사용되었다. 여기에서는 상기 클럭신호(SCK)가 한 개의 핀을 통해 두 개의 정상동작 클럭신호(CKA),(CKB)와 멀티플렉싱되는 것을 예로하였으나, 각각의 정상동작 클럭신호(CKA),(CKB)에 대해 대응하는 테스트 클럭신호를 사용할 수도 있다. On the other hand, Figure 5 shows a test target circuit according to the prior art. The circuit under test is an example of a circuit using two PLLs 51A and 51B and one system clock signal SYS_CLK. In a typical low speed test circuit, the clock signal SCK is supplied to the test target circuit 53 through the multiplexers 52A and 52B by the test mode signal TM and used simultaneously as a scan shift clock signal and a capture clock signal. It became. In this example, the clock signal SCK is multiplexed with two normal operation clock signals CKA and CKB through one pin, but corresponding to each of the normal operation clock signals CKA and CKB. A test clock signal may be used.
따라서, 본 발명의 제1목적은 통상의 스큐 로드 천이 테스트의 단점을 해결하는데 있다.Therefore, the first object of the present invention is to solve the disadvantage of the conventional skew rod transition test.
본 발명의 제2목적은 통상의 전역 로드 테스트의 단점을 해결하는데 있다.A second object of the present invention is to solve the shortcomings of a typical global load test.
본 발명의 제3목적은 통상의 ATPG 툴을 사용하여 스캔 기반 동작 속도 테스트를 가능케 하는데 있다.A third object of the present invention is to enable scan-based speed test using conventional ATPG tools.
본 발명의 제4목적은 스캔 기반 동작 속도 테스트에서 순간 전력 소모를 줄이는데 있다.A fourth object of the present invention is to reduce instantaneous power consumption in scan based operating speed test.
본 발명의 제5목적은 고속의 내부 생성 클럭을 갖는 칩에 대하여 스큐로드 천이 테스트 형태의 동작속도 테스트를 가능하게 하는데 있다.A fifth object of the present invention is to enable an operation speed test in the form of a skew load transition test for a chip having a high speed internally generated clock.
본 발명의 제1특징에 따르면, 외부로부터 공급되는 스캔이동 클럭신호 및 내부에서 생성된 포획 클럭신호를 동기화하기 위한 테스트 클럭신호 발생부와; 외부로부터 입력되는 포획신호를 상기 테스트 클럭신호 발생부에서 생성된 스캔이동 클럭신호에 동기화시켜 출력하는 포획신호 발생부를 포함하여 구성된다.According to a first aspect of the invention, a test clock signal generator for synchronizing a scan movement clock signal supplied from the outside and the capture clock signal generated therein; And a capture signal generation unit configured to output a capture signal input from the outside in synchronization with a scan movement clock signal generated by the test clock signal generation unit.
본 발명의 제2특징에 따르면, 스큐 로드 천이 테스트를 위한 타이밍을 내부 PLL을 사용하여 구현한다.According to a second aspect of the present invention, timing for the skew load transition test is implemented using an internal PLL.
본 발명의 제3특징에 따르면, 동기화 회로는 각 포획 클럭신호에 스캔 이동 클럭신호를 동기화시켜 포획 클럭별 스캔 이동 신호를 발생시킨다. According to the third aspect of the present invention, the synchronization circuit generates a scan movement signal for each capture clock by synchronizing the scan movement clock signal with each capture clock signal.
본 발명의 제4특징에 따르면, 포획신호 발생부는 각 클럭별 포획 구간을 구분할 수 있으며 포획 구간에서 단 한번의 포획 클럭이 발생하도록 한다.According to the fourth aspect of the present invention, the capture signal generation unit can distinguish a capture section for each clock, and only one capture clock is generated in the capture section.
본 발명의 제5특징에 따르면, 한 개의 외부 신호를 사용하여 동작 속도 테스트와 통상의 저속 테스트 모드를 구분한다. According to a fifth aspect of the invention, one external signal is used to distinguish between an operating speed test and a normal low speed test mode.
본 발명의 제6특징에 따르면, 기존의 클럭 구역(domain)별로 생성하던 ATPG를 전체 회로에 대하여 생성한다.According to the sixth aspect of the present invention, an ATPG generated for each existing clock domain is generated for the entire circuit.
이하, 첨부한 도면을 참조하여 본 발명을 설명한다. Hereinafter, the present invention will be described with reference to the accompanying drawings.
도 6은 본 발명에 의한 피엘엘을 이용한 반도체 칩의 동작속도 스캔 테스트 장치의 일실시 구현예를 나타낸 블록도로서 이에 도시한 바와 같이, 시스템 클럭신호(SYS_CLK)의 위상을 제어하여 서로 다른 위상 및 주기의 동작속도 클럭신호(CKA),(CKB)를 출력하는 피엘엘(PLL)(61A),(61B)과; 외부로부터 입력되는 스캔이동 클럭신호(SCK)와 내부에서 생성된 상기 동작속도 클럭신호(CKA),(CKB)를 동기화시키기 위한 테스트 클럭신호 발생부(62)와; 외부로부터 입력되는 포획신호(SE)를 상기 테스트 클럭신호 발생부(62)에서 생성된 스캔이동 클럭신호(SCKA),(SCKB)에 동기화시키는 포획신호 발생부(63)와; 테스트 클럭신호 발생부(62)에서 출력되는 동작속도 클럭신호(tCKA),(tCKB)와 포획신호 발생부(63)에서 출력되는 포획신호(SEA),(SEB)를 입력받는 테스트 대상회로(64)로 구성한 것으로, 이와 같이 구성한 본 발명의 작용을 첨부한 도 2 내지 도 10을 참조하여 상세히 설명하면 다음과 같다.FIG. 6 is a block diagram illustrating an exemplary embodiment of an apparatus for scanning a scan speed of a semiconductor chip using a PEL according to the present invention. As shown in FIG. PLL (PLL) 61A, 61B which outputs the operation speed clock signal CKA, CKB of a period; A test clock signal generator 62 for synchronizing the scan movement clock signal SCK input from the outside with the operation speed clock signals CKA and CKB generated therein; A capture signal generation unit 63 for synchronizing the capture signal SE input from the outside with the scan movement clock signals SCKA and SCKB generated by the test clock signal generation unit 62; Test target circuit 64 for receiving the operation speed clock signals tCKA and tCKB output from the test clock signal generator 62 and the capture signals SEA and SEB output from the capture signal generator 63. When described in detail with reference to FIGS. 2 to 10 attached to the operation of the present invention configured as described above as follows.
도 6은 본 발명에 의한 피엘엘을 이용한 반도체 칩의 동작속도 스캔 테스트 장치의 블록도를 나타낸 것으로, 크게 테스트 클럭신호 발생부(62)와 포획신호 발생부(63)로 구분할 수 있다.FIG. 6 is a block diagram of an apparatus for scanning a scan speed of a semiconductor chip using a PEL according to the present invention, and may be classified into a test clock signal generator 62 and a capture signal generator 63.
상기 테스트 클럭신호 발생부(62)의 구현예를 도 7에 나타내었다. 도 7은 하나의 클럭신호(tCKA)에 대한 회로만 나타내었으며, 다른 클럭신호에 대해서도 동일한 구조로 구성된다. 여기서, (AC,TM)의 값이 (1,X)이면 동작 속도 테스트 모드이고, (0,1)이면 통상의 저속 테스트 모드이고, (0,0)이면 정상 동작 모드를 나타낸다. 도 7에 나타낸 회로의 주요 기능은 상 지연(phase delay)이 일치하지 않는 두 개의 클럭신호 즉, 스캔이동 클럭신호(SCK)와 내부에서 생성된 동작속도 클럭신호(CKA)를 동기시키는 것이다. 그런데, 상기 동작속도 클럭신호(CKA)의 상지연을 칩 외부에서 알 수 없기 때문에, 외부 클럭신호인 스캔이동 클럭신호(SCK)와 내부 클럭신호인 그 스캔이동 클럭신호(CKA)간의 동기화를 통해 스캔 이동과 포획을 용이하게 한다. 샘플링(sampling) 이론에 의해 느린 클럭신호인 스캔이동 클럭신호(SCK)는 샘플링 클럭신호인 동작속도 클럭신호(CKA) 주파수의 1/2보다 작아야 한다. 하지만 동작의 정확성을 보장하기 위해서는 최대 1/4보다 작은 것을 사용하여야 샘플링 오류를 없앨 수 있다. An embodiment of the test clock signal generator 62 is illustrated in FIG. 7. 7 shows only a circuit for one clock signal tCKA, and has the same structure for another clock signal. Here, if the value of (AC, TM) is (1, X), it is an operation speed test mode, if (0, 1) is a normal low speed test mode, and (0, 0) represents a normal operation mode. The main function of the circuit shown in FIG. 7 is to synchronize two clock signals that do not match the phase delay, that is, the scan shift clock signal SCK and the internally generated operating speed clock signal CKA. However, since the phase delay of the operation speed clock signal CKA is unknown from the outside of the chip, the synchronization between the scan shift clock signal SCK, which is an external clock signal, and the scan shift clock signal CKA, which is an internal clock signal, is achieved. Facilitate scan movement and capture. According to the sampling theory, the scan shift clock signal SCK, which is a slow clock signal, should be smaller than half of the frequency of the operation speed clock signal CKA, which is a sampling clock signal. However, in order to guarantee the operation accuracy, the sampling error should be eliminated by using the smaller than 1/4 maximum.
또한, 회로의 정확한 동작을 보장하기 위해 상기 스캔이동 클럭신호(SCK)의 파형이 1일 때의 구간과, 0일 때의 구간의 비가 40%-60%를 갖도록 하였다. 이는 스캔이동 클럭신호(SCK)의 1 혹은 0인 구간이 동작속도 클럭신호(CKA)의 주기보다 작은 경우 두 클럭신호 간의 상 지연으로 인해 샘플링 동작시 같은 값을 샘플링하는 것을 방지하기 위함이다. In addition, in order to ensure the correct operation of the circuit, the ratio of the section when the waveform of the scan shift clock signal SCK is 1 and the section when 0 is 40% to 60%. This is to prevent sampling of the same value during the sampling operation due to the phase delay between the two clock signals when the period of 1 or 0 of the scan movement clock signal SCK is smaller than the period of the operation speed clock signal CKA.
도 7에서 생성된 동작속도 클럭신호(tCKA)는 동작 속도 테스트에서 해당 클럭신호를 사용하는 플립플롭의 테스트 클럭신호로 사용된다. 또한, 스캔이동 클럭신호로 SCKA가 사용되고 포획 클럭신호로 CKA가 사용된다. 정상 동작 모드에서 동작속도 클럭신호(CKB)를 사용하는 플립플롭은 도 7과 같은 방식으로 생성된 tCKB를 테스트 클럭신호로 사용한다. The operation speed clock signal tCKA generated in FIG. 7 is used as a test clock signal of a flip-flop using the clock signal in the operation speed test. In addition, SCKA is used as the scan shift clock signal and CKA is used as the capture clock signal. The flip-flop using the operating speed clock signal CKB in the normal operation mode uses tCKB generated in the same manner as in FIG. 7 as a test clock signal.
도 6에서는 단지 2개의 정상 동작 클럭신호를 갖는 회로에 대해서만 블록도를 나타내었다. 다른 실시예로써, 많은 수의 클럭신호를 갖는 회로에 대해서도 도 7과 같이 각 클럭신호(SCKA),(tCKA)를 생성할 수 있다. 도 7에서 입력으로 사용된 포획신호(SEA)는 포획 구간을 나타내는 신호로서 이는 스캔 플립플롭의 SE 단자에 공급되는 신호이다. 각 내부 클럭신호별 해당 스캔이동 클럭신호를 발생시킴으로써 각 스캔 사슬이 다른 클럭신호와 동기화할 필요없이 스캔 이동을 수행할 수 있으며, 이로 인하여 동기화에 따른 동적 전력(dynamic power) 손실을 크게 줄일 수 있다.6 shows a block diagram only for a circuit having two normal operating clock signals. In another embodiment, the clock signals SCKA and tCKA may be generated as shown in FIG. 7 even for a circuit having a large number of clock signals. The capture signal SEA used as an input in FIG. 7 is a signal representing a capture section, which is a signal supplied to the SE terminal of the scan flip-flop. By generating the corresponding scan movement clock signal for each internal clock signal, each scan chain can perform scan movement without synchronizing with other clock signals, thereby greatly reducing the dynamic power loss due to synchronization. .
상기 포획신호(SEA)는 포획신호 발생부(63)에서 생성되는데, 이의 일실시 구현예를 도 8에 나타내었다. 외부에서 입력되는 포획신호(SE)를 상기 테스트 클럭신호 발생부(62)에서 생성된 스캔이동 클럭신호(SCKA)에 동기화시킨다. 이와 같이 동기화 클럭신호로 스캔 이동 클럭신호(SCKA)를 사용하는 이유는 도 7에서 동작속도 클럭신호(CKA)와 스캔이동 클럭신호(SCK)의 동기화 과정에서 두 클럭신호의 상승 천이가 동시에 일어날 수 있고, 이 때 스캔이동 클럭신호(SCKA)의 값이 동작속도 클럭신호(CKA)의 주기만큼 지연될 수 있기 때문이다. 이러한 경우 포획신호(SEA)를 동작속도 클럭신호(SCKA)로 동기화시켜 그 포획신호(SEA)가 항상 스캔이동 클럭신호(SCKA)보다 시간적으로 지연되어서 발생되도록 하여야 한다. 그렇지 않은 경우에는 스캔 이동 구간과 포획 구간이 겹쳐지는 문제가 발생한다. The capture signal SEA is generated by the capture signal generator 63, and an embodiment thereof is illustrated in FIG. 8. The capture signal SE input from the outside is synchronized with the scan movement clock signal SCKA generated by the test clock signal generator 62. The reason why the scan shift clock signal SCKA is used as the synchronization clock signal is as follows. In FIG. 7, the rising transition of the two clock signals may occur simultaneously during the synchronization of the operation speed clock signal CKA and the scan shift clock signal SCK. This is because the value of the scan movement clock signal SCKA may be delayed by the period of the operation speed clock signal CKA at this time. In this case, the capture signal SEA is synchronized with the operation speed clock signal SCKA so that the capture signal SEA is always generated in time delay than the scan movement clock signal SCKA. Otherwise, a problem occurs where the scan movement section and the capture section overlap.
상기 테스트 클럭신호 발생부(62)의 다른 구현예로써, 스캔이동 클럭신호(SCK)를 별도로 사용하지 않고 PLL의 입력 클럭신호를 사용하는 것을 들 수 있다. 또한 각 PLL은 별도의 입력 클럭신호를 갖을 수도 있다. As another embodiment of the test clock signal generator 62, an input clock signal of the PLL may be used instead of the scan movement clock signal SCK. Each PLL may also have a separate input clock signal.
도 8에서와 같이 정상동작 모드에서, 포획신호(SE)를 직접 멀티플렉서(82)의 일측 입력단자에 공급함과 아울러, 그 포획신호(SE)를 스캔이동클럭신호(SCKA)에 동기시켜 그 멀티플렉서(82)의 타측 입력단자에 공급하고, 모드신호(AC)로 그 멀티플렉서(82)의 스위칭을 제어하여 포획신호(SEA)를 생성한다. 이와 동일한 방식으로 또 다른 포획신호(SEB)를 생성한다. 결국, 포획신호(SE)를 두 개의 포획신호(SEA),(SEB)로 구분하여 사용하게 된다. 따라서, 설계 단계에서 스큐 로드 천이 테스트를 사용할 경우 클럭별로 포획신호(SE) 단자를 따로 만들어 사용하는 것이 용이하다. 이는 회로 내부의 신호가 하나 추가되는 것으로 칩의 핀수는 변화가 없다. 또한 클럭별로 생성된 포획신호(SE)에 대해 배치 및 배선 단계에서 HFNCTS(HFNCTS: High Fanout Net Clock Tree Synthesis)를 수행하게 되므로 보다 정확하게 상지연 및 스큐를 조정할 수 있게 된다. In the normal operation mode as shown in FIG. 8, the capture signal SE is directly supplied to one input terminal of the multiplexer 82, and the capture signal SE is synchronized with the scan shift clock signal SCKA to allow the multiplexer ( It is supplied to the other input terminal of 82, and the capture signal SEA is generated by controlling the switching of the multiplexer 82 with the mode signal AC. In the same manner, another capture signal SEB is generated. As a result, the capture signal SE is divided into two capture signals SEA and SEB. Therefore, when the skew load transition test is used in the design stage, it is easy to make and use a capture signal (SE) terminal for each clock. This adds one signal inside the circuit, and the pin count of the chip remains unchanged. In addition, since the HFNCTS (High Fanout Net Clock Tree Synthesis) is performed on the capture signal SE generated for each clock in the placement and wiring stages, the phase delay and skew may be more accurately adjusted.
다른 실시예로써, 상기 포획신호(SEA),(SEB)의 시간 제약 조건을 만족시키기 힘든 경우에는 여러 단의 플립플롭을 거치는 파이프라인 기법을 사용한다. 이 경우 플립플롭의 단의 개수만큼 SCK 주기를 곱해 SE 신호를 미리 발생시켜야 한다.In another embodiment, when it is difficult to satisfy the time constraints of the capture signals SEA and SEB, a pipeline technique that passes through multiple stages of flip-flops is used. In this case, the SE signal must be generated in advance by multiplying the SCK period by the number of stages of the flip-flop.
또 다른 실시예로써, 통상의 테스트 대상 회로의 포획신호(SE)를 그대로 사용하는 방법이 있을 수 있다. 이는 도 6에서 하나의 포획신호(SE)를 두 개의 포획신호(SEA),(SEB)로 분리하여 사용하는 것과 달리 하나의 포획신호(SE)만을 사용하는 방식이다. 이 방식도 각 클럭신호별로 테스트클럭신호 발생부(62)와 포획신호 발생부(63)를 도 7 및 도 8과 같이 각기 구성한 후 테스트 동작시에 한 개의 클럭신호만을 선택할 수 있는 구조를 취한다. 클럭신호가 2개인 경우 실시예를 도 9에 나타내었다.As another embodiment, there may be a method of using the capture signal SE of a conventional test target circuit as it is. In FIG. 6, unlike the single capture signal SE divided into two capture signals SEA and SEB, only one capture signal SE is used. In this method, the test clock signal generator 62 and the capture signal generator 63 are configured as shown in FIGS. 7 and 8 for each clock signal, and then only one clock signal can be selected during the test operation. . In the case of two clock signals, an embodiment is shown in FIG.
도 10은 상기 도 6에서 각부 신호의 타이밍도를 나타낸 것이다. 정상동작 별로 테스트 클럭신호가 각각 존재하는 경우에도 각 테스트 클럭신호에 같은 스캔 이동 클럭신호를 사용하면 본 발명을 그대로 적용할 수 있다.FIG. 10 is a timing diagram of each part signal in FIG. 6. Even when a test clock signal exists for each normal operation, the same scan shift clock signal may be used for each test clock signal.
ATPG 과정은 두 가지 종류로 구분할 수 있다. 우선 테스트 대상 회로의 클럭신호에 따라 포획신호를 구분한 경우이다. 이는 도 6과 같이 하나의 포획신호(SE)를 두 개의 포획신호(SEA),(SEB)로 구분하여 사용하는 경우로써, 스캔 기반 동작 속도 테스트를 수행하는 경우 무제약 경로, 다중 경로, 이종 클럭신호간 구역은 동작 속도로 테스트할 수 없다. 따라서, 우선 ATPG 수행시 고장 리스트에서 무제약 경로, 다중 사이클 경로, 이종 클럭신호간 구역의 경계에 있는 플립플롭을 마스킹(masking)한다. 마스킹으로 인해 검출되지 못한 고착 고장 리스트를 이용하여 ATPG를 수행한다. 두 번의 ATPG를 통해 생성된 테스트 벡터 중 처음에 생성된 벡터는 고착 고장 및 천이 고장을 검출할 수 있는 테스트 벡터로 동작 속도 테스트 모드에서 테스트를 수행한다. 두 번째로 생성된 벡터는 무제약 경로, 다중 사이클 경로, 이종 클럭신호간 구역에 존재하는 고착 고장을 테스트하기 위한 것으로 저속 테스트 모드에서 테스트를 수행한다. 이와 같은 과정을 통해 기존과 같은 고착 고장 검출율을 얻을 수 있으며 회로내의 대부분을 차지하는 클럭신호 구역내의 천이고장을 검출할 수 있다. The ATPG process can be divided into two types. First, the capture signal is classified according to the clock signal of the circuit under test. This is a case in which one capture signal SE is divided into two capture signals SEA and SEB, as shown in FIG. 6, and when a scan-based operation speed test is performed, an unconstrained path, a multipath, and a heterologous clock signal. Liver zones cannot be tested at operating speed. Therefore, first, when performing ATPG, the flip-flop is masked at the boundary between the unconstrained path, the multi-cycle path, and the inter-clock signal region. ATPG is performed using a list of stuck failures not detected due to masking. The first of the test vectors generated by the two ATPGs is a test vector capable of detecting stuck and transition failures and is tested in the speed test mode. The second generated vector is intended to test for stuck failures in unconstrained paths, multi-cycle paths, and inter-clockwise intersecting zones. Through this process, it is possible to obtain a fixation failure detection rate as before, and to detect a fault in the clock signal region that occupies most of the circuit.
다른 실시예로써, 도 9에 나타낸 방법에 대한 ATPG 방법에서는 클럭신호 구역별로 테스트 벡터를 생성하여야 한다. 앞서 설명한 방법은 오직 무제약 경로, 다중 사이클 경로, 이종 클럭간 구역만을 마스킹하였으나, 도 9와 같은 방법을 사용하기 위해서는 위에서 언급한 것과 함께 한 개의 클럭 구역만을 테스트 대상으로 삼는다.In another embodiment, in the ATPG method for the method shown in FIG. 9, a test vector should be generated for each clock signal region. Although the method described above only masks the unconstrained path, the multi-cycle path, and the inter-clock region, only one clock region is tested as mentioned above in order to use the method shown in FIG. 9.
따라서, 클럭신호 개수만큼 ATPG를 수행하여 이를 동작 속도 테스트 모드에서 테스트를 실시하고 검출하지 못한 고착 고장에 대한 ATPG를 수행한 벡터는 저속 테스트 모드에서 테스트를 수행한다. 이 방법은 기존의 외부 테스트 장비의 클럭신호를 사용하여 동작 속도 테스트를 수행하는 방법(예: Jayashree, "Scan-based transition fault testing - implementation and low cost test challenged," IEEE Intl, Test Conf., 2002, pp.1120-1129)과 유사하다. 통상의 ATPG 툴은 본 발명에서 제시한 회로 구성을 인식할 수 없다. 따라서, 이를 해결하기 위해서는 저속 테스트 모드로 설정하고 천이 고장에 대한 ATPG를 수행하면 된다.Accordingly, the vector performing the ATPG as many as the clock signal and performing the test in the operation speed test mode and performing the ATPG for the undetected fixation failure performs the test in the low speed test mode. This method uses the clock signal of an existing external test equipment to perform the operation speed test (e.g. Jayashree, "Scan-based transition fault testing-implementation and low cost test challenged," IEEE Intl, Test Conf., 2002 , pp. 1120-1129). Conventional ATPG tools cannot recognize the circuit configuration presented in the present invention. Therefore, to solve this problem, set the low speed test mode and perform ATPG for the transition failure.
이상에서 상세히 설명한 바와 같이 본 발명은 스큐 로드 천이 테스트를 위한 타이밍 신호를 내부 PLL을 사용하여 구현함으로써, 내부에서 생성되는 고속의 클럭신호를 사용하여 반도체 칩을 테스트할 수 있는 효과가 있다.As described in detail above, the present invention implements a timing signal for skew load transition test using an internal PLL, and thus, the semiconductor chip may be tested using a high speed clock signal generated therein.
또한, 각 포획 클럭신호에 스캔 이동 클럭신호를 동기화하여 포획 클럭신호별 스캔 이동 신 호를 발생시키고, 포획 신호 발생기가 각 클럭신호별 포획 구간을 구분하고 포획 구간에서 단 한번의 포획 클럭신호가 발생되도록 함으로써, 지연 고장에 의한 불량칩의 반출을 방지할 수 있는 효과가 있다.In addition, by synchronizing the scan movement clock signal to each capture clock signal to generate a scan movement signal for each capture clock signal, the capture signal generator distinguishes the capture interval for each clock signal, and generates only one capture clock signal in the capture interval. By doing so, it is possible to prevent the removal of the defective chip due to the delay failure.
또한, 고속 테스트 클럭신호를 칩 내부에서 사용하므로, 고가의 테스트 장비를 구입하지 않고도 고속 지연 고장 테스트가 가능하게 되어 테스트 비용을 절감할 수 있는 효과가 있다.In addition, since the high-speed test clock signal is used in the chip, high-speed delay failure test can be performed without purchasing expensive test equipment, thereby reducing test cost.
또한, 본 발명을 응용하여 로직 BIST 기술과 테스트 압축 기술에 적용할 수 있고, 고속 동작 테스트시 문제점인 테스트 전력 소모 문제를 해결할 수 있는 효과가 있다.In addition, the present invention can be applied to a logic BIST technique and a test compression technique, and has an effect of solving a test power consumption problem, which is a problem in a high speed operation test.
도 1은 종래 기술에 의한 로직 BIST의 블록도.1 is a block diagram of a logic BIST according to the prior art.
도 2는 전역 지연 테스트를 위한 클럭신호의 타이밍도.2 is a timing diagram of a clock signal for a global delay test.
도 3은 스큐 로드 천이 테스트를 위한 타이밍도.3 is a timing diagram for a skew rod transition test.
도 4는 스큐 로드 천이 테스트를 위한 또 다른 타이밍도.4 is another timing diagram for a skew rod transition test.
도 5는 종래 기술에 의한 반도체 칩의 테스트 장치의 블록도.5 is a block diagram of a test apparatus for a semiconductor chip according to the prior art.
도 6은 본 발명에 의한 피엘엘을 이용한 반도체 칩의 동작속도 스캔 테스트 장치의 블록도.Figure 6 is a block diagram of the operation speed scan test apparatus of the semiconductor chip using the PL.
도 7은 도 6에서 테스트 클럭신호 발생부의 상세 블록도.FIG. 7 is a detailed block diagram of a test clock signal generator of FIG. 6. FIG.
도 8은 도 6에서 포획신호 발생부의 상세 블록도.FIG. 8 is a detailed block diagram of a capture signal generator in FIG. 6. FIG.
도 9는 한 개의 포획신호를 사용하는 경우의 구현 예시도.9 is a diagram illustrating an implementation in the case of using one capture signal.
도 10의 (a)-(n)은 도 6도 각부 신호의 타이밍도.(A)-(n) is a timing diagram of each part signal of FIG.
***도면의 주요 부분에 대한 부호의 설명*** *** Description of the symbols for the main parts of the drawings ***
61A,61B : 피엘엘 62 : 테스트 클럭신호 발생부61A and 61B: PL 62: Test clock signal generator
63 : 포획신호 발생부 64 : 테스트 대상 회로 63: capture signal generator 64: the circuit to be tested
Claims (4)
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR1020040010084A KR20050081721A (en) | 2004-02-16 | 2004-02-16 | Apparatus using pll for scan testing at speed of semiconductor chip |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR1020040010084A KR20050081721A (en) | 2004-02-16 | 2004-02-16 | Apparatus using pll for scan testing at speed of semiconductor chip |
Publications (1)
Publication Number | Publication Date |
---|---|
KR20050081721A true KR20050081721A (en) | 2005-08-19 |
Family
ID=37268280
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020040010084A KR20050081721A (en) | 2004-02-16 | 2004-02-16 | Apparatus using pll for scan testing at speed of semiconductor chip |
Country Status (1)
Country | Link |
---|---|
KR (1) | KR20050081721A (en) |
-
2004
- 2004-02-16 KR KR1020040010084A patent/KR20050081721A/en not_active Application Discontinuation
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US9128154B2 (en) | Apparatus for at-speed testing, in inter-domain mode, of a multi-clock-domain digital integrated circuit according to BIST or SCAN techniques | |
US6966021B2 (en) | Method and apparatus for at-speed testing of digital circuits | |
US9316688B2 (en) | Multiple-capture DFT system for detecting or locating crossing clock-domain faults during scan-test | |
KR100870037B1 (en) | Easily testable semiconductor device, method and apparatus for testing semiconductor device, method and apparatus for generating internall testing clock | |
US7536617B2 (en) | Programmable in-situ delay fault test clock generator | |
US20090132880A1 (en) | Multiple-capture DFT system for detecting or locating crossing clock-domain faults during self-test or scan-test | |
EP1890234B1 (en) | Microcomputer and method for testing the same | |
US8458544B2 (en) | Multiple-capture DFT system to reduce peak capture power during self-test or scan test | |
US9264049B2 (en) | Synchronous on-chip clock controllers | |
Pei et al. | An on-chip clock generation scheme for faster-than-at-speed delay testing | |
US7228476B2 (en) | System and method for testing integrated circuits at operational speed using high-frequency clock converter | |
US7380189B2 (en) | Circuit for PLL-based at-speed scan testing | |
US9891279B2 (en) | Managing IR drop | |
JP2006329737A (en) | Semiconductor integrated circuit device and its test method | |
US6671841B1 (en) | Method for on-line circuit debug using JTAG and shadow scan in a microprocessor | |
Furukawa et al. | A novel and practical control scheme for inter-clock at-speed testing | |
KR20050081721A (en) | Apparatus using pll for scan testing at speed of semiconductor chip | |
US6662325B1 (en) | Apparatus for on-line circuit debug using JTAG and shadow scan in a microprocessor | |
JP5540740B2 (en) | Clock generation circuit, semiconductor integrated circuit and test system thereof | |
Cho et al. | A scan cell architecture for inter-clock at-speed delay testing | |
JP3573692B2 (en) | Scan path circuit, scan path circuit generation method, and recording medium recording the program | |
Lin et al. | A new circuit for at-speed scan SoC testing | |
Petre et al. | Increasing the fault coverage in multiple clock domain systems by using on-line testing of synchronizers | |
Stang et al. | An implementation of IEEE 1149.1 to avoid timing violations and other practical in-compliance improvements |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
WITN | Withdrawal due to no request for examination |