KR20040089556A - Method and apparatus for capturing and using design intent in an integrated circuit fabrication process - Google Patents

Method and apparatus for capturing and using design intent in an integrated circuit fabrication process Download PDF

Info

Publication number
KR20040089556A
KR20040089556A KR1020040024775A KR20040024775A KR20040089556A KR 20040089556 A KR20040089556 A KR 20040089556A KR 1020040024775 A KR1020040024775 A KR 1020040024775A KR 20040024775 A KR20040024775 A KR 20040024775A KR 20040089556 A KR20040089556 A KR 20040089556A
Authority
KR
South Korea
Prior art keywords
integrated circuit
design
equipment
manufacturing
design intent
Prior art date
Application number
KR1020040024775A
Other languages
Korean (ko)
Inventor
존에이취 매독
데니스제이 요스트
로빈더블유 쳉
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020040024775A priority Critical patent/KR20040089556A/en
Publication of KR20040089556A publication Critical patent/KR20040089556A/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/16Constructional details or arrangements
    • G06F1/1613Constructional details or arrangements for portable computers
    • G06F1/1632External expansion units, e.g. docking stations
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/16Constructional details or arrangements
    • G06F1/1613Constructional details or arrangements for portable computers
    • G06F1/1626Constructional details or arrangements for portable computers with a single-body enclosure integrating a flat display, e.g. Personal Digital Assistants [PDAs]
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/38Transceivers, i.e. devices in which transmitter and receiver form a structural unit and in which at least one part is used for functions of transmitting and receiving
    • H04B1/3827Portable transceivers
    • H04B1/3883Arrangements for mounting batteries or battery chargers
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04MTELEPHONIC COMMUNICATION
    • H04M1/00Substation equipment, e.g. for use by subscribers
    • H04M1/02Constructional features of telephone sets
    • H04M1/04Supports for telephone transmitters or receivers

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Human Computer Interaction (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

PURPOSE: A method and a device for capturing a design intention on an IC manufacturing process and optimizing the manufacturing process by using the same are provided to use design intention information to optimize the manufacturing process in order to optimize a critical feature designed from a designer by producing the design intention information with design distributions from a design company. CONSTITUTION: The design company(108) captures the design intention used during a design process by developing the design distributions, and produces the design distributions by a path(120) and the design intention information(202). The design intention information is connected to an equipment maker(130) or an IC manufacturing equipment(122) after the equipment is installed. The design intention information is used in the equipment by optimizing the IC manufacturing process in order to obtain a specified design standard confirmed as a decisive factor in the design intention information. The design intention information of a manufacturing capacity information format is transferred to the design company from the equipment maker and/or the IC manufacturing equipment.

Description

집적회로 제작 공정에서의 설계 의도를 포착하고 사용하는 방법 및 장치{METHOD AND APPARATUS FOR CAPTURING AND USING DESIGN INTENT IN AN INTEGRATED CIRCUIT FABRICATION PROCESS}TECHNICAL AND APPARATUS FOR CAPTURING AND USING DESIGN INTENT IN AN INTEGRATED CIRCUIT FABRICATION PROCESS}

본 발명은 2003년 4월 11일 출원된 미국 가특허출원 제60/462,393호의 이익을 청구하고, 상기 출원은 본 명세서에서 참조로서 포함되었다.The present invention claims the benefit of US Provisional Patent Application No. 60 / 462,393, filed April 11, 2003, which application is incorporated herein by reference.

본 발명은 집적회로(IC) 제작 공정 및 기술에 관한 것이다. 보다 상세하게, 본 발명은 IC 제작 공정에서 설계 의도를 포착하고 사용하는 방법 및 장치에 관한 것이다.The present invention relates to integrated circuit (IC) fabrication processes and techniques. More specifically, the present invention relates to methods and apparatus for capturing and using design intent in IC fabrication processes.

현대의 집적회로(IC) 설계 및 제작 공정은 복잡하고, 많은 실체의 입력을 요구한다. 일반적으로, 설계 회사는 집적회로 설계를 준비하고, 이들 설계는 이후 IC 제조설비에 배포되며, 배포된 IC 제조설비는 집적회로를 제작하기 위하여 설계 배포물에 의해 한정된 방식으로 집적회로 제작 장비를 사용한다. 많은 경우, 설계 배포물이 집적회로의 특정 레이아웃(layout)을 포착하고 있지만, 설계자의 설계 의도는 포착하지 못한다. 왜냐하면 설계 의도는 회로 요소의 단순히 물리적인 레이아웃 이외의 다양한 파라미터를 포함하기 때문인데, 예컨대 설계 의도는 그 중에서도 특히 회로 수율, 속도 및 소비전력, 타미밍 클로저(timing closure)와 같은 표준에근접한 가이드라인을 포함한다. 따라서, 회로요소의 물리적인 배치가 설계로부터 제작에 정확하게 변환되었다 할지라도, 제작된 IC가 설계자 의도의 모든 파라미터를 반드시 구현한다는 것을 확인하는 것은 아니다. 그것만으로, 설계자에 의해 고려되었던 집적회로의 중요한 양상은 시험되지 않고, 또한 IC 제작 도중에 IC 제조 설비에 의해 중요한 것으로 고려되지도 않는다. 결과적으로, IC는 설계자가 의도한 대로 동작하지 않을 수도 있다.Modern integrated circuit (IC) design and fabrication processes are complex and require input from many entities. In general, design companies prepare integrated circuit designs, which are then distributed to IC manufacturing facilities, where the distributed IC manufacturing equipment uses integrated circuit fabrication equipment in a manner defined by the design distribution to produce integrated circuits. do. In many cases, the design distribution captures the specific layout of the integrated circuit, but not the designer's design intent. Because design intent involves a variety of parameters other than simply the physical layout of the circuit elements, for example design intent is a guideline, among other things, in close proximity to standards such as circuit yield, speed and power consumption, and timing closure. It includes. Thus, even if the physical arrangement of circuit elements has been correctly converted from design to fabrication, it is not a confirmation that the fabricated IC necessarily implements all the parameters of the designer's intent. By itself, the important aspects of integrated circuits considered by the designer are not tested, nor are they considered important by the IC manufacturing facility during IC fabrication. As a result, the IC may not work as intended by the designer.

도 1은 IC 제작 공정의 블록도를 도시한다. 공정(100)은 회로설계 단계(102)와 회로제작 단계(104)로 분류된다. 장비(106)는 IC의 제작을 용이하게 하기 위하여 회로제작 단계(104)에 제공된다. 회로설계 단계(102)에서, 설계회사(108)는 집적회로를 설계하기 위하여, 전자 설계 자동화(electronic design automation : EDA) 도구(110)와 구성요소 매크로 모듈(112)을 사용한다. 기술 파일(114)과 구성요소 매크로 모듈(112)에 의존하는 EDA 툴은 기술 파일(116)에 의존하게 된다. 구성요소 매크로 모듈(112)은 복수의 매크로를 포함하는데, 여기에서 각 매크로는 정적 랜덤 액세스 메모리(SRAM), 메모리 관리 유니트(MMU) 및 기타 표준 논리 회로와 같은 특정 형태의 집적회로를 한정한다. 설계를 지원하기 위해 사용되는 기술 파일(114 또는 116)은, IC 제조 설비(122)에 의해 제공되는 회로와 트랜지스터 모델 및 모델 파라미터로 보강된다. 이들 모델은 물리적인 디바이스가 이론적으로 원하는 전기 특성을 가지는 것을 보장하는 변환을 사용하여 개발되고 시험된다. 이들 모델은 측정 가능한 물리적인 특징을 설계 또는 성능 요건에 서로 관련시키기 위하여 물리학적인 유도 및 경험적인 분석을 사용하여 생성된다. 트랜지스터를 모델링하기 위한 이러한 한 가지 모델 형태는 SPICE 모델이다. 기타 모델들은 포토리쏘그라피, 상호연결 구조 등을 모델링하기 위하여 사용될 수 있다. 설비(122)는 매크로가 특정 설비의 장비를 위해 최적화되게 개발되도록 이러한 정보를 제공한다. 그것만으로, 구성요소 매크로는 개발되어 무상으로 설계회사에 제공된다. 매크로 개발자는 그들의 구성요소 매크로를 위해 직접 지불 받지는 않지만, 그러한 매크로를 사용하는 각 집적회로가 IC 제조 설비에 의해 생산됨에 따라 로얄티 기준으로 지불 받는다. 선택적으로, 액세스 요금이 그러한 구성요소 매크로에 부과될 수 있다.1 shows a block diagram of an IC fabrication process. Process 100 is divided into circuit design step 102 and circuit fabrication step 104. Equipment 106 is provided to circuit fabrication step 104 to facilitate fabrication of the IC. In the circuit design step 102, the designer 108 uses the electronic design automation (EDA) tool 110 and the component macro module 112 to design the integrated circuit. EDA tools that depend on the description file 114 and the component macro module 112 will rely on the description file 116. Component macro module 112 includes a plurality of macros, where each macro defines a particular type of integrated circuit, such as static random access memory (SRAM), memory management unit (MMU), and other standard logic circuits. The technical file 114 or 116 used to support the design is augmented with circuitry and transistor models and model parameters provided by the IC manufacturing facility 122. These models are developed and tested using transformations to ensure that physical devices have theoretically desired electrical characteristics. These models are created using physical derivation and empirical analysis to correlate measurable physical features to design or performance requirements. One such model form for modeling transistors is the SPICE model. Other models can be used to model photolithography, interconnect structures, and the like. Facility 122 provides this information so that macros are developed to be optimized for the equipment of a particular facility. By itself, component macros are developed and provided to the design firm at no cost. Macro developers are not directly paid for their component macros, but are paid on a royalty basis as each integrated circuit using such macros is produced by an IC manufacturing facility. Optionally, access fees may be charged to such component macros.

궁극적인 설계 배포물은 집적회로를 형성하기 위하여 구성요소를 상호 연결하는 복수의 구성요소 매크로와 기타 논리 회로를 사용하는 레이아웃이다. 설계 배포물은 경로(120)를 따라 IC 제조 설비(122)로 보내진다.The ultimate design distribution is a layout that uses a plurality of component macros and other logic circuits that interconnect the components to form an integrated circuit. The design distribution is sent to IC manufacturing facility 122 along path 120.

집적회로를 제작하기 위하여 IC 제조 설비(122)는, 집적회로의 제작을 위한 마스크를 생산하기 위하여 설계 배포물을 사용하는 EDA 도구(124)와, 이러한 마스크를 사용하는 웨이퍼 제작 센터(126)와, 경로(128)를 따라 장비 제조자(130)에 의해 제공된 장비를 포함한다. 선택적으로, EDA 도구(124)는 IC 제조 설비와는 다른 설비에서 사용될 수도 있다. 장비 제조자(130)는 제작 도구(132), 도구(132)를 사용하는 방법(134), 및 다양한 도량형 장비(136)를 제공하는데, 이들은 웨이퍼와 회로를 제작하고 시험하기 위하여 함께 사용된다. 시험 결과는 제작 도구(132)에 의해 수행되는 집적회로 제작 공정을 최적화하기 위하여 사용될 수 있다.To fabricate an integrated circuit, the IC fabrication facility 122 includes an EDA tool 124 that uses the design distribution to produce a mask for fabrication of the integrated circuit, a wafer fabrication center 126 that uses such a mask, , Equipment provided by the equipment manufacturer 130 along the path 128. Optionally, EDA tool 124 may be used in a facility other than an IC manufacturing facility. Equipment manufacturer 130 provides fabrication tool 132, method 134 of using tool 132, and various metrological equipment 136, which are used together to fabricate and test wafers and circuits. Test results can be used to optimize the integrated circuit fabrication process performed by the fabrication tool 132.

IC 제조 설비(122)는 마스크를 제작하고 궁극적으로는 집적회로를 제작하기 위하여 경로(128)상에 제공된 장비를 사용한다.IC fabrication facility 122 uses the equipment provided on path 128 to fabricate the mask and ultimately to fabricate the integrated circuit.

상술한 바와 같이, 다양한 트랜지스터 모델과 기생 커패시턴스 모델 및 모델 파라미터가 IC 제조 설비(122)로부터 기술 파일(114, 116)로서 회로설계 단계(102)에 제공된다. 모델 및 모델 파라미터의 이러한 피드백은 설계 회사로 하여금, IC 제조 설비(122)에 의해 제작될 수 있는 트랜지스터 설계를 생산할 수 있도록 한다.As discussed above, various transistor models, parasitic capacitance models, and model parameters are provided from the IC fabrication facility 122 to the circuit design step 102 as description files 114, 116. This feedback of the model and model parameters enables the design firm to produce transistor designs that can be fabricated by the IC manufacturing facility 122.

IC 제조 설비에 의해 생산된 집적 회로는 설계 회사가 설계 배포물에서 얻으려고 노력한 설계 규격에 부합해야만 한다. 그러나, IC 설계는 IC 치수가 절대적이고 불변한 것으로 간주하지만, 집적회로의 물리적인 특성은 사실상 일반적으로 통계학적이어서, 설계 회사는 설계되었던 정확한 물리적인 특성을 결코 달성하지 못한다. 물리적인 특성(예컨대 레이아웃)의 통계학적인 특성은 집적회로의 전기적인 특성에서 통계학적인 변동을 초래한다. 더욱이, 설계 회사는 임계 특성(예, 임계 영역 또는 임계 통로)을 가졌을 수도 있는데, 집적회로가 이들 주위에 설계되었고, 제조 설비는 IC를 제작할 때 이들 임계 특성을 알지 못하고 고려하지도 못한다. 결과적으로, IC 제조 설비는 궁극적으로 이들 임계 특성에 대해 최적화되지 못한 집적회로를 생산한다.The integrated circuit produced by the IC manufacturing facility must meet the design specifications that the design company tried to obtain from the design distribution. However, while IC design regards IC dimensions as absolute and invariant, the physical characteristics of integrated circuits are generally statistical in nature, so that the design firm never achieves the exact physical characteristics that were designed. Statistical properties of physical properties (such as layout) cause statistical variations in the electrical properties of integrated circuits. Moreover, design firms may have critical characteristics (eg, critical areas or critical passages) where integrated circuits have been designed around them and manufacturing facilities do not know and consider these critical characteristics when fabricating ICs. As a result, IC manufacturing facilities ultimately produce integrated circuits that are not optimized for these critical characteristics.

그러므로, 기술 분야에서 설계자의 설계 의도를 포착하고 사용함으로써 공장에서 생산되는 집적회로가 이러한 설계 의도를 사용하여 최적화될 필요가 있다.Therefore, integrated circuits produced in a factory by capturing and using designers' design intent in the technical field need to be optimized using this design intent.

도 1은 종래 기술에 따른 집적회로 제작 공정의 구성요소의 블록도.1 is a block diagram of components of an integrated circuit fabrication process according to the prior art.

도 2는 본 발명에 따른 집적회로 제작 공정의 구성요소의 블록도.2 is a block diagram of components of an integrated circuit fabrication process in accordance with the present invention.

도 3은 반도체 집적회로 제작 설비의 일반적인 배치의 블록도.3 is a block diagram of a general layout of a semiconductor integrated circuit fabrication facility.

도 4는 도량형 장비가 동작할 때 설계 의도 정보를 사용하는, 장비 배치의 블록도.4 is a block diagram of the equipment layout, using design intent information when the metrological equipment is operating.

도 5는 집적회로 제조 공정 내에서 설계 규칙을 사용하기 위한 공정의 흐름도.5 is a flow chart of a process for using design rules within an integrated circuit fabrication process.

도 6은 설계 규칙을 생성하기 위한 예시적인 방법의 흐름도.6 is a flow diagram of an example method for generating a design rule.

<도면 주요 부분에 대한 부호의 설명><Explanation of symbols for the main parts of the drawings>

100 : IC 제작 공정 102 : 회로 설계 단계100: IC manufacturing process 102: circuit design step

104 : 회로 제작 단계 106 : 장비104: circuit fabrication step 106: equipment

108 : 설계 회사 110,124 : EDA 도구108: Design Company 110124: EDA Tools

112 : 구성요소 매크로 모델 114,116 : 기술 파일112: Component macro model 114,116: Description file

122 : 제조 설비 126 : 웨이퍼 제작 센터122: manufacturing facility 126: wafer fabrication center

130 : 장비 제조자 132 : 제작 도구130: Equipment Manufacturers 132: Production Tools

134 : 사용방법 136 : 도량형 장비134: how to use 136: metrological equipment

202 : 설계 의도 정보 204 : 마스크 제조202: Design Intent Information 204: Mask Manufacturing

206 : 웨이퍼 제조206: Wafer Fabrication

본 발명은 IC 제작 공정 내에서 설계 의도를 포착하고 사용하는 방법 및 장치를 제공한다. 설계 의도 정보는 설계 회사에 의해 설계 배포물과 함께 생산된다. 설계 배포물과 설계 의도 정보는 IC 제조 설비에 연결되고, 여기에서 설계 배포물은 집적회로의 레이아웃을 생성하기 위하여 사용되고, 설계 의도 정보는 IC 제조 설비 내의 장비 특히 도량형 장비에 연결된다. 그것만으로, 설계 의도 정보는, 설계자에 의해 의도된 임계 특성의 최적화를 얻기 위하여 IC 제작 도중의 공정을 최적화하는데 사용될 수 있다. 따라서, 회로 수율, 속도, 소비 전력 등과 같은 회로 설계자에 의해 규정된 파라미터는 제작된 회로 내에서 실질적으로 달성된다.The present invention provides a method and apparatus for capturing and using design intent within an IC fabrication process. Design intent information is produced by the design company along with the design distribution. The design distribution and design intent information are linked to the IC fabrication facility, where the design distribution is used to generate the layout of the integrated circuit, and the design intent information is linked to equipment in the IC fabrication facility, in particular metrological equipment. As such, design intent information can be used to optimize the process during IC fabrication to obtain optimization of critical characteristics intended by the designer. Thus, parameters defined by the circuit designer, such as circuit yield, speed, power consumption, etc., are substantially achieved within the fabricated circuit.

본 발명의 일실시예에 있어서, 설계 의도 정보는, 일정한 임계 특성이 제작 도중에 달성되는 것을 보장하기 위하여, 도량형 장비에 의해 초점이 맞춰져야 하는 집적회로 내의 특수한 임계 구성요소의 확인을 포함한다. 본 발명의 다른 실시예에 있어서, 하나의 집적회로 내에서 가장 긴 속도 경로의 최적화를 달성하는 설계 의도는 장비에 연결되어, 도량형 장비가 결정적으로 중요한 위치와 가장 긴 속도 경로의 임계 수치를 모니터링할 수 있어서, 집적회로가 설계 회사에 의해 특징지워진 대로 동작하는 것을 보장한다. 또 다른 실시예에 있어서, 장비 내의 회로의 특정 형태를 최적화하기 위하여, 특정 설계 규칙이 장비 제조자에 의해 개발될 수 있다. 이들 설계 규칙은 설계 회사에 연결되고, 설계 회사는 이들 설계 규칙을 구성요소 매크로 모듈 또는 다른 구성요소 모델에 삽입하여, 매크로에 의해 개발된 특정 구조가 장비 제조 성능의 설계 규칙 요건을 고려하도록 보장한다. 모델과 매크로는 제조 능력 정보를 포함할 것이고, 이러한 정보는 IC를 생산하기 위해 사용될 장비와 관련된다. 그것만으로, 이들 매크로 모듈이 집적회로 내의 구성요소를 설계하기 위하여 사용될 때, IC 제조 설비 내의 장비는 설계 규칙 파라미터를 자동적으로 고려하고, 회로 제조 공정 또는 레이아웃을 최적화한다. 설계 규칙에 대한 지불은 구성요소 매크로 모듈 설계자가 지급 받을 때(즉, 공장에서 생산되는 집적회로에 대한 로얄티가 지불될 때) 동시에 지불된다.In one embodiment of the present invention, design intent information includes identification of specific critical components in the integrated circuit that must be focused by metrological equipment to ensure that certain critical characteristics are achieved during fabrication. In another embodiment of the invention, the design intent to achieve the optimization of the longest speed path within one integrated circuit is connected to the equipment so that the metrological equipment can monitor critically important locations and threshold values of the longest speed path. To ensure that the integrated circuit operates as characterized by the design firm. In yet another embodiment, specific design rules may be developed by the equipment manufacturer to optimize certain types of circuits in the equipment. These design rules are linked to the design firm, who in turn inserts these design rules into the component macro module or other component model to ensure that the particular structure developed by the macro takes into account the design rule requirements of the equipment manufacturing performance. . Models and macros will contain manufacturing capability information, which is related to the equipment that will be used to produce the IC. As such, when these macro modules are used to design components in an integrated circuit, the equipment in the IC fabrication facility automatically considers the design rule parameters and optimizes the circuit fabrication process or layout. Payment for the design rules is simultaneously paid when the component macro module designer is paid (ie when loyalty is paid for an integrated circuit produced at the factory).

본 발명의 상술한 특징이 달성되고, 보다 상세히 이해될 수 있는 방식으로, 위에서 간단히 요약되고, 첨부된 도면에서 설명된 이들의 실시예를 참조하여 본 발명의 보다 상세한 설명이 이루어진다.In a way that the above-described features of the present invention can be achieved and understood in more detail, a more detailed description of the invention is made with reference to their embodiments, which are briefly summarized above and described in the accompanying drawings.

그러나, 본 발명이 동등하게 유효한 다른 실시예를 허용할 수 있기 때문에 첨부된 도면은 본 발명의 전형적인 실시예만을 설명한 것이고, 본 발명의 범주를 제한하는 것으로 간주되어서는 안됨을 주목해야 한다.It should be noted, however, that the appended drawings illustrate only typical embodiments of the invention and are not to be considered as limiting the scope of the invention, as the invention may permit other embodiments that are equally effective.

도 2는 본 발명에 따른 집적회로 제작 공정(200)의 블록도를 도시한다. 공정(200)은 도 1에 관해 논의된 바와 같이 설계 회사(108), IC 제조 설비(122) 및 장비 제조자(130)를 포함한다. 본 발명에 따라, 설계 회사는 설계 배포물을 개발하면서, 설계 공정 도중에 사용된 설계 의도를 포착한다. 설계 회사는 경로(120)와 설계 의도 정보(202)를 따라 설계 배포물을 생산한다. 설계 의도 정보(202)는 장비가 설치된 이후, 장비 제조자(130) 또는 IC 제조 설비(122)에 연결된다. 설계 의도 정보(202)는 IC 제조 설비 내에서 사용되기 전에 처리(예, 필터링 또는 최적화)될 수 있다. 설계 의도 정보는, IC 제작 공정{마스크 및 웨이퍼 제조(204 및 206)}을최적화하여 설계 의도 정보(202) 내에서 결정적인 것으로 확인되는 특정 설계 기준을 얻기 위하여, 장치 제조자(130)에 의해 공급된 장비에 의해 사용될 것이다. 도 5에 대해 아래에서 설명되는 다른 실시예에 있어서, 제조 능력 정보 형태의 설계 의도 정보는 또한 장비 제조자(130) 및/또는 IC 제조 설비(122)로부터 설계 회사(108)로 전달된다.2 shows a block diagram of an integrated circuit fabrication process 200 in accordance with the present invention. Process 200 includes design firm 108, IC manufacturing facility 122 and equipment manufacturer 130 as discussed with respect to FIG. 1. In accordance with the present invention, design firms capture design intent used during the design process while developing a design distribution. The design company produces the design distribution along the path 120 and design intent information 202. Design intent information 202 is connected to equipment manufacturer 130 or IC manufacturing facility 122 after the equipment is installed. Design intent information 202 may be processed (eg, filtered or optimized) before being used in an IC manufacturing facility. The design intent information is supplied by the device manufacturer 130 to optimize the IC fabrication process (mask and wafer fabrication 204 and 206) to obtain specific design criteria identified as critical within the design intent information 202. Will be used by the equipment. In another embodiment described below with respect to FIG. 5, design intent information in the form of manufacturability information is also communicated from equipment manufacturer 130 and / or IC manufacturing facility 122 to design company 108.

도 3은 본 발명에 따라 설계 의도 정보를 사용하는 장비 제조자(130)에 의해 공급되는 IC 제작 장비의 일반적인 배치(300)를 도시한다. 장비 배치(300)는 제어기(302)와 처리 장비(304)를 포함한다. 제어기(302)는 중앙 처리 유니트(CPU)(306), 지원 회로(308) 및 메모리(310)를 포함한다. CPU(306)는 일반적으로 하나 이상의 프로세서, 마이크로프로세서, 또는 메모리(310)에 저장된 지령에 따라 동작하는 마이크로-컨트롤러이다. 지원 회로(308)는 캐쉬, 전원, 클럭 회로, 입/출력 인터페이스 회로 등등을 포함하는 잘 알려진 지원 회로이다. 메모리(310)는 랜덤 억세스 메모리, 판독 전용 메모리, 소거가능 메모리, 디스크 드라이브 또는 이들의 조합을 포함한다. 메모리(310)는 장비 제어 소프트웨어(312) 및 설계 의도 파라미터(314)를 포함하는 다양한 형태의 소프트웨어를 저장한다. 제어기(302)는 장비 제어 소프트웨어(312)를 실행할 때, 경로(316)를 따라 제어 메시지를 도 1의 IC 제조 설비(122) 내의 다양한 공정 장비(304)에 전달한다. 공정 장비(304)는 증착 장비, 에칭 장비, 연마(polishing) 장비, 도량형 장비, 리쏘그래피 장비 등을 포함할 수 있다.3 shows a general arrangement 300 of IC fabrication equipment supplied by equipment manufacturer 130 using design intent information in accordance with the present invention. Equipment arrangement 300 includes a controller 302 and processing equipment 304. The controller 302 includes a central processing unit (CPU) 306, a support circuit 308, and a memory 310. The CPU 306 is typically a micro-controller that operates according to instructions stored in one or more processors, microprocessors, or the memory 310. Support circuit 308 is a well known support circuit including a cache, a power supply, a clock circuit, an input / output interface circuit, and the like. Memory 310 includes random access memory, read-only memory, erasable memory, disk drive, or a combination thereof. Memory 310 stores various types of software, including equipment control software 312 and design intent parameters 314. When the controller 302 executes the equipment control software 312, it transmits a control message along the path 316 to the various process equipment 304 in the IC manufacturing facility 122 of FIG. 1. Process equipment 304 may include deposition equipment, etching equipment, polishing equipment, metrological equipment, lithographic equipment, and the like.

IC 제조 설비 내에는, 처리 장비의 다양한 조합을 제어하는 하나 이상의 제어기가 존재할 수 있다. 공정 장비(304)를 제어할 때, 공정 장비가 설계자에 의해 공급된 설계 의도 정보가 충족되는 방식으로 동작하는 것을 보장하기 위하여, 장비 제어 소프트웨어(312) 내에서 설계 의도 파라미터(314)가 사용된다. 필수적으로, 설계 의도 정보는 제어기에 공급되어, 처리 도구가 제품을 최적화하기 위하여 해야할 것을 처리 도구에게 알려주는 설계 의도 파라미터(314)의 생성을 용이하게 한다. 이러한 정보는 장비 제조자에게 공급되어, 설계 의도 정보의 사용이 용이하도록 도구가 설계된다. 설계 의도 정보는 파라미터(314)를 생성하기 위하여 처리(예, 필터링 또는 최적화)될 수 있다. 부가적으로 또는 선택적으로, 특정 설계를 위한 설계 의도 정보는 설계 배포물과 함께 IC 제조 설비에 공급되어, 설비로 하여금 집적 회로 제작 공정을 최적화하게 한다.Within an IC manufacturing facility, there may be one or more controllers that control various combinations of processing equipment. When controlling process equipment 304, design intent parameters 314 are used within equipment control software 312 to ensure that the process equipment operates in a manner where the design intent information supplied by the designer is met. . Essentially, design intent information is supplied to the controller to facilitate the creation of design intent parameters 314 that inform the processing tool what the processing tool should do to optimize the product. This information is supplied to the equipment manufacturer and the tool is designed to facilitate the use of design intent information. Design intent information may be processed (eg, filtered or optimized) to generate the parameter 314. Additionally or alternatively, design intent information for a particular design is supplied to the IC manufacturing facility along with the design distribution, allowing the facility to optimize the integrated circuit fabrication process.

도 4는 도량형 장비 내의 설계 의도 정보를 사용하는 본 발명의 한 실시예의 블록도를 도시한다. 장비 배치(400)는 제어기(302), 처리 장비(402) 및 도량형 장비(406)를 포함한다. 처리 장치(402)는, 에칭 반응기, 증착 반응기, 화학-기계적 연마(CMP) 장비, 리쏘그래피 장비 등을 포함하는 하나 이상의 집적회로 제작 공정 도구를 포함한다. 제어기(302)는 장비 제어 소프트웨어를 사용하여 경로(410)를 따라 종래의 방식으로 처리 장비를 제어한다. 부가적으로, 제어기(302)는 경로(408)를 따라 설계 의도 정보를 도량형 장비(406)로 공급한다. 이러한 정보는 도량형 장비(406)로 하여금, 설계 의도 정보의 관점에서 처리 장비(402)에 의해 생산된 대로 웨이퍼의 시험을 최적화하도록 한다.4 shows a block diagram of one embodiment of the present invention using design intent information in metrological equipment. Equipment arrangement 400 includes a controller 302, processing equipment 402, and metrological equipment 406. Processing apparatus 402 includes one or more integrated circuit fabrication process tools, including etching reactors, deposition reactors, chemical-mechanical polishing (CMP) equipment, lithography equipment, and the like. The controller 302 controls the processing equipment in a conventional manner along the path 410 using equipment control software. Additionally, controller 302 supplies design intent information to metrological equipment 406 along path 408. This information allows metrological equipment 406 to optimize the testing of the wafer as produced by processing equipment 402 in terms of design intent information.

예컨대, 만약 SRAM 모듈이 처리 장비(402)에 의해 제작되고 있는 특정 집적회로 상에서 제작되려 한다면, SRAM 모듈은 NMOS 및 PMOS 트랜지스터가 균형을 이루도록 하기 위한 임계 치수 요건을 갖는다. 설계 의도 정보는 특정 부품 번호(예, SRAM 부품 번호)가 처리 장비(402)에 의해 생성되는 것을 나타낸다. 부품 번호는, 제조되고 있는 특정 SRAM 모듈을 시험하기 위하여 사용될 수 있는 특정 시험 파라미터를 나타내는 룩업 테이블(LUT)(412)과 같은 데이터베이스에 인가될 수 있다. 상기 SRAM은 IC의 임계 구성요소로 확인되기 때문에, 도량형 장비는 이러한 구성요소에 시험을 초점을 맞춘다. 예컨대, 선폭 시험은 상기 SRAM의 위치 근처에서 수행될 수 있다. LUT(412)이 도량형 장비 내에 위치하는 것으로 도시되었지만, 당업자라면 LUT(412)가 제어기(302) 또는 다른 곳(예, LAN 또는 WAN을 통해)에 위치할 수 있음을 알 수 있을 것이다.For example, if an SRAM module is to be fabricated on a particular integrated circuit being fabricated by the processing equipment 402, the SRAM module has a critical dimension requirement to balance the NMOS and PMOS transistors. Design intent information indicates that a particular part number (eg, SRAM part number) is generated by the processing equipment 402. The part number may be applied to a database, such as a lookup table (LUT) 412 that represents the specific test parameters that may be used to test the particular SRAM module being manufactured. Because the SRAM is identified as a critical component of the IC, metrological equipment focuses testing on these components. For example, a linewidth test can be performed near the location of the SRAM. Although the LUT 412 is shown as being located within metrological equipment, those skilled in the art will appreciate that the LUT 412 may be located at the controller 302 or elsewhere (eg, via a LAN or WAN).

이와 같이 요구에 따른 시험을 행할 정도로 충분히 유연한 도량형 장비로는 캘리포니아, 산타클라라에 소재하는 어플라이드 머티리얼즈(Applied Materials)사에 의해 제작된 TRASFORMA 도량형 장비를 들 수 있다. 집적회로 상에 사용되는 모듈에 대한 부품 번호를 확인함으로써, 도량형 장비는 예컨대 NMOS 및 PMOS 트랜지스터가 균형을 이루는 것을 보장하기 위하여 최적화되는 시험 파라미터를 사용할 수 있다. 그 자체로, 특정 도량형 시험은 SRAM 모듈의 NMOS 및 PMOS 트랜지스터의 임계 치수에 대해 수행될 것이다. 상기 시험은 또한 제작 도중에 웨이퍼의 처리를 최적화하는데 사용될 수 있거나, 마스크 생성 처리를 최적화하는데 사용될 수 있는데, 여기에서 예컨대 마스크 트리밍은 상기 도량형 장비에 의해 이루어지는 측정의 관점에서 최적화될 수 있다.Such metrological instruments that are flexible enough to perform tests on demand include TRASFORMA metrological instruments manufactured by Applied Materials, Inc. of Santa Clara, California. By identifying part numbers for modules used on integrated circuits, metrological equipment can use test parameters that are optimized to ensure, for example, that NMOS and PMOS transistors are balanced. As such, certain metrological tests will be performed on the critical dimensions of the NMOS and PMOS transistors of the SRAM module. The test may also be used to optimize the processing of the wafer during fabrication, or may be used to optimize the mask generation process, where, for example, mask trimming may be optimized in terms of the measurements made by the metrological equipment.

본 발명의 다른 실시예에 있어서, 설계자는 집적회로 상의 논리 회로 내의 가장 긴 속도 경로를 설계 의도 정보로서 확인한다. 이러한 가장 긴 속도 경로는 집적회로의 임계 특성으로 확인된다. 설계 의도 정보는, 가장 긴 속도 경로의 최적화를 얻기 위하여 시험이 수행되는 것을 보장하기 위하여, 도량형 장비(406)로 전달된다. 그 자체로, 도량형 장비(406)는 가장 긴 속도 경로를 따르는 회로 구성요소와 선의 임계 치수를 모니터링하도록 지시를 받을 것이다. 도량형 장비(406)에 의해 이루어진 측정은, 가장 긴 경로의 파라미터와 임계 치수가 처리 장비에 의해 부합되는 것을 보장하도록, 정보의 데이터베이스(DB)(414)와 부합될 수 있다. 이러한 도량형은, 데이터베이스 내에 저장된 이상적인 라인 또는 트랜지스터의 영상을 처리 장비(402)에 의해 생성되어진 측정 또는 포착된 라인 또는 트랜지스터와 비교함으로써, 수행될 수 있다. 비교 결과는, 최적의 긴 경로 성능을 제공하는 이상적인 라인 및/또는 트랜지스터 구조를 달성하도록, 처리 장비(402)를 제어하는데 사용될 수 있다.In another embodiment of the present invention, the designer identifies the longest speed path in the logic circuit on the integrated circuit as design intent information. This longest speed path is identified by the critical characteristics of the integrated circuit. Design intent information is passed to metrological equipment 406 to ensure that the test is performed to obtain the optimization of the longest speed path. As such, metrological equipment 406 will be instructed to monitor the critical dimensions of the circuit components and lines along the longest speed path. The measurements made by metrological equipment 406 may be matched with a database of information (DB) 414 to ensure that the parameters of the longest path and critical dimensions are met by the processing equipment. Such metrology may be performed by comparing an image of an ideal line or transistor stored in a database with a measured or captured line or transistor generated by processing equipment 402. The comparison results can be used to control the processing equipment 402 to achieve ideal line and / or transistor structures that provide optimal long path performance.

도 5는 설계자의 설계 의도 정보를 포착하는 설계 규칙을 사용하기 위한 처리(500)의 흐름도를 도시한다. 특정 경우에 있어서, 반도체 웨이퍼 처리 장비는 집적회로 레이아웃이 특정 방법 또는 방식으로 생성되었을 때 최적으로 수행된다. 예컨대, 화학-기계적인 연마(CMP) 장비를 사용할 때 오목화(dishing)를 제어하기 위하여, 더미 구조 예컨대 복수의 도전성 조각이 집적회로 내의 도전성 라인 근처에 위치한다. 다른 경우, 이들 설계 규칙 또는 모델은 회로 구조, 특별한 처리 비법, 구성요소 모델 등등이 될 수 있다. 단계(502)에서, 장비 제조자는 설계 규칙(DR),예컨대 특정 길이의 라인을 따른 더미 구조의 필요성을 포함할 수 있는 CMP 연마를 위한 설계 규칙을 생성한다. 상기 설계 규칙은 설계자에게 설계 파라미터가 제조 장비의 성능을 초과할 때를 알려주는 제조 능력 정보를 포함할 수도 있다. 이 경우, 설계자는 수율과 성능 사이의 임의의 균형에 대해 통보 받을 수 있는데, 이러한 균형은 제안된 설계 파라미터를 사용하는 것으로부터 초래된다.5 shows a flowchart of a process 500 for using a design rule to capture designer's design intent information. In certain cases, semiconductor wafer processing equipment is optimally performed when the integrated circuit layout has been created in a particular method or manner. For example, in order to control dishing when using chemical-mechanical polishing (CMP) equipment, a dummy structure, such as a plurality of conductive pieces, is positioned near conductive lines in an integrated circuit. In other cases, these design rules or models may be circuit structures, special processing recipes, component models, and so forth. In step 502, the equipment manufacturer creates a design rule DR, such as a design rule for CMP polishing, which may include the need for a dummy structure along a line of a particular length. The design rule may include manufacturing capability information that informs the designer when design parameters exceed the performance of the manufacturing equipment. In this case, the designer can be informed of any balance between yield and performance, which results from using the proposed design parameters.

도 6은 방법(500)에 의해 사용되는 설계 규칙을 생성하기 위한 예시적인 방법을 도시한다. 방법(600)은 IC 제조 설비, 장비 제조자, 디바이스 설계자, 또는 이들과 관련되지 않는 제 3자 중 적어도 한 곳에 의해 실행된다. 방법(600)은 단계(602)에서 시작되는데, 이 단계에서 사용되거나 사용될 장비 목록이 IC 제조 설비에 의해 생성된다. 단계(604)에서, 열거된 장비의 성능 특성이 확인된다. 단계(606)에서, 디바이스가 제작될 설계 규칙이 생성되는데, 특정 목록화된 장비와, 이러한 장비의 성능 특성(예, 성능 한계점)을 고려한다. 설계 규칙의 생성은 단계(606)에서 사용되는 디바이스 모듈(610)에 의해 용이하게 이루어진다. 이들 디바이스 모듈은 물리학적인 유도 및 경험적인 분석을 사용하여 개발된다. 생성되는 설계 규칙에 의존하여, 상기 모듈은 SPICE 모델, 포토레지스트 마스크 모델, 상호 연결 구조 모델 등을 포함할 수 있다. 이러한 모델은 측정가능한 물리적인 특성을 설계 또는 성능 요건에 상호 관련시킨다. 단계(608)에서, 방법(600)은 설계 규칙을 출력한다.6 illustrates an example method for generating design rules used by method 500. The method 600 is performed by at least one of an IC manufacturing facility, an equipment manufacturer, a device designer, or a third party not associated with them. The method 600 begins at step 602, where a list of equipment to be used or used in this step is generated by the IC manufacturing facility. In step 604, performance characteristics of the listed equipment are identified. In step 606, a design rule is generated in which the device will be fabricated, taking into account the particular listed equipment and the performance characteristics of that equipment (eg, performance thresholds). Generation of design rules is facilitated by the device module 610 used in step 606. These device modules are developed using physical derivation and empirical analysis. Depending on the design rules generated, the module may include a SPICE model, a photoresist mask model, an interconnect structure model, and the like. These models correlate measurable physical characteristics to design or performance requirements. At step 608, the method 600 outputs a design rule.

도 5의 방법(500)으로 되돌아가, 설계 규칙은 단계(504)에서 구성요소 매크로 설계자에게 주어지는데, 단계(504)는 상기 설계 규칙을 매크로에 통합시킨다.예컨대, 특정 길이의 라인을 포함하는 매크로는, 장비를 사용할 때 최적의 라인 제작을 달성하기 위하여, 설계자에 의해 사용될 때 레이아웃에 적절한 더미 구조를 자동적으로 부가한다. 다른 응용에 있어서, 설계자는 특정 모델을 사용할 수 있거나, 또는 특별한 세트의 장비를 위해 회로 설계를 최적화시키는 삽입된 특정 공정의 비법을 사용할 수 있다.Returning to the method 500 of FIG. 5, a design rule is given to the component macro designer at step 504, which incorporates the design rule into the macro. The macro automatically adds the appropriate dummy structure to the layout when used by the designer to achieve optimal line production when using the equipment. In other applications, the designer may use a specific model, or use the embedded specific process recipe to optimize the circuit design for a particular set of equipment.

예컨대, 설계 규칙 내의 제조 능력 정보는 IC를 제조하기 위하여 사용될 IC 제조 장비를 위한 공정 모델을 제공할 수 있다. 이들 공정 모델은 수율 대 성능의 비교를 가능케 하여, 설계자가 수율과 성능 간 균형의 레벨을 선택할 수 있게 한다. 부가적으로, 제조 능력 정보의 관점에서, 설계자는 층 계획, RTL 코드, 레이아웃, 라우팅(routing), 선폭, 구멍의 개수 및 위치, 층 두께, 등등과 같은 설계 속성을 변경시킬 수 있다. 제조 능력 정보는, 3 차원에서, 예컨대 기판의 위치, 형상의 폭 및 형상의 두께에서 작용하는 설계 및 확인 모델 또는 규칙의 모델에 기초한 통계학적인 세트 의 개발과 사용을 가능케 한다. 이러한 제조 능력 정보를 포함하는 공정 모델은, CMP, 리쏘그래피, 에칭, 도금, 화학 및 물리 증기, 증착, 산화 등을 수행하는 장비를 위하여 형성될 수 있다.For example, manufacturing capability information in design rules may provide a process model for IC manufacturing equipment to be used to manufacture the IC. These process models allow a comparison of yield versus performance, allowing designers to choose the level of balance between yield and performance. Additionally, in terms of manufacturability information, designers can change design attributes such as floor plans, RTL codes, layouts, routing, line widths, number and location of holes, layer thicknesses, and the like. Manufacturing capability information enables the development and use of statistical sets based on models of design and validation models or rules that operate in three dimensions, such as the position of the substrate, the width of the shape and the thickness of the shape. Process models that include such manufacturing capability information may be formed for equipment that performs CMP, lithography, etching, plating, chemical and physical vapors, deposition, oxidation, and the like.

단계(506)에서, 구조가 설계 규칙을 자동적으로 따르게 되는 매크로 라이브러리로부터 설계자가 특정 구조를 선택할 때와 같이, 집적회로를 설계하기 위해서 설계 규칙이 사용된다.In step 506, design rules are used to design the integrated circuit, such as when the designer selects a particular structure from a macro library in which the structure will automatically follow the design rules.

단계(508)에서, IC 설계는 IC 제조 설비에 전달된다. 단계(510)에서, 설계 규칙을 포함하는 매크로를 사용하여 생성된 각 IC에 관해, 매크로에 대해서는 IP회사에게, 그리고 설계 규칙의 사용에 대해서는 장비 제조자에게 로얄티가 지불된다. 선택적으로, 장비 제조자는 설계 규칙에 대한 억세스 비용 또는 다른 형태의 로얄티를 지급 받을 수 있다.At step 508, the IC design is passed to an IC manufacturing facility. In step 510, for each IC created using a macro containing design rules, royalties are paid to the IP company for the macros and to the equipment manufacturer for the use of the design rules. Optionally, the equipment manufacturer may be paid for access to design rules or other forms of royalties.

제조 능력 정보는 장비 제조자의 설비에서 EDA 시험을 수행함으로써 모델을 위해 생성될 수 있다. 일반적으로, EDA 시험은 장비 제조자의 설비에서 완성되고, 모델은 EDA 회사 또는 장비 제조자에 의해 EDA 데이터를 사용하여 생성될 수 있다. 그 자체로, 모델은 생산되어, 장비를 구비하는 IC 제조 설비에 앞서 설계자에 배포된다. 설계자는 따라서 장비 제조자의 모델을 사용하여 IC를 설계할 수 있고, IC 제조 설비로 하여금 설계에서 지정된 장비를 사용하도록 요구할 수 있다. 모델은 특정 장비의 하위-모델(예, 특정 에칭 반응기, 특정 증착 반응기 등등)에 밀접하게 얽매이기 때문에, 물리적인 속성의 통계학적인 분포는, 설계자가 제조 능력 정보에 기초한 모델 없이 IC를 설계(즉, IC 제조 설비에 의해 사용되는 모르는 장비에 대한 설계)한 경우보다 더 엄격하다. 고려하는 특정 하위 모델로 설계함으로써, 설계 파라미터의 입도(granularity)는 감소되어, 설계가 매우 높은 성능, 재현성 및 수율을 갖는 IC 생산을 초래하도록 한다.Manufacturing capability information can be generated for the model by performing an EDA test at the equipment manufacturer's facility. In general, EDA tests are completed at the equipment manufacturer's facility, and models can be generated using EDA data by the EDA company or equipment manufacturer. As such, the model is produced and distributed to the designer prior to the IC manufacturing facility having the equipment. The designer can therefore design the IC using the model of the equipment manufacturer and require the IC manufacturing facility to use the equipment specified in the design. Since the model is closely tied to a sub-model of a particular equipment (e.g., a specific etch reactor, a specific deposition reactor, etc.), the statistical distribution of physical properties allows the designer to design the IC without the model based on manufacturing capability information (i.e. The design is more stringent than the design of unknown equipment used by IC manufacturing facilities. By designing with the particular submodel under consideration, the granularity of the design parameters is reduced, allowing the design to produce ICs with very high performance, reproducibility and yield.

본 발명의 다양한 실시예에 대하여 상술하였지만, 본 발명의 다른 실시예가 본 발명의 기본 범주를 벗어남이 없이 고려될 수 있고, 이러한 본 발명의 범주는 다음의 청구범위에 의해 결정된다.While various embodiments of the invention have been described above, other embodiments of the invention can be considered without departing from the basic scope thereof, and the scope of the invention is determined by the following claims.

본 발명은 IC 제작 공정 내에서 설계 의도를 포착하고 사용하는 방법 및 장치를 제공한다. 설계 의도 정보는 설계 회사에 의해 설계 배포물과 함께 생산된다. 설계 의도 정보는, 설계자에 의해 의도된 임계 특성의 최적화를 얻기 위하여 IC 제작 도중의 공정을 최적화하는데 사용될 수 있다. 따라서, 회로 수율, 속도, 소비 전력 등과 같은 회로 설계자에 의해 규정된 파라미터는 제작된 회로 내에서 실질적으로 달성된다.The present invention provides a method and apparatus for capturing and using design intent within an IC fabrication process. Design intent information is produced by the design company along with the design distribution. Design intent information can be used to optimize the process during IC fabrication to obtain optimization of critical characteristics intended by the designer. Thus, parameters defined by the circuit designer, such as circuit yield, speed, power consumption, etc., are substantially achieved within the fabricated circuit.

본 발명의 일실시예에 있어서, 설계 의도 정보는, 일정한 임계 특성이 제작 도중에 달성되는 것을 보장하기 위하여, 도량형 장비에 의해 초점이 맞춰져야 하는 집적회로 내의 특수한 임계 구성요소의 확인을 포함한다. 본 발명의 다른 실시예에 있어서, 하나의 집적회로 내에서 가장 긴 속도 경로의 최적화를 달성하는 설계 의도는 장비에 연결되어, 도량형 장비가 결정적으로 중요한 위치와 가장 긴 속도 경로의 임계 수치를 모니터링할 수 있어서, 집적회로가 설계 회사에 의해 특징지워진 대로 동작하는 것을 보장한다. 또 다른 실시예에 있어서, 장비 내의 회로의 특정 형태를 최적화하기 위하여, 특정 설계 규칙이 장비 제조자에 의해 개발될 수 있다. 이들 설계 규칙은 설계 회사에 연결되고, 설계 회사는 이들 설계 규칙을 구성요소 매크로 모듈 또는 다른 구성요소 모델에 삽입하여, 매크로에 의해 개발된 특정 구조가 장비 제조 성능의 설계 규칙 요건을 고려하도록 보장한다. 이들 매크로 모듈이 집적회로 내의 구성요소를 설계하기 위하여 사용될 때, IC 제조 설비 내의 장비는 설계 규칙 파라미터를 자동적으로 고려하고, 회로 제조 공정 또는 레이아웃을 최적화한다.In one embodiment of the present invention, design intent information includes identification of specific critical components in the integrated circuit that must be focused by metrological equipment to ensure that certain critical characteristics are achieved during fabrication. In another embodiment of the invention, the design intent to achieve the optimization of the longest speed path within one integrated circuit is connected to the equipment so that the metrological equipment can monitor critically important locations and threshold values of the longest speed path. To ensure that the integrated circuit operates as characterized by the design firm. In yet another embodiment, specific design rules may be developed by the equipment manufacturer to optimize certain types of circuits in the equipment. These design rules are linked to the design firm, who in turn inserts these design rules into the component macro module or other component model to ensure that the particular structure developed by the macro takes into account the design rule requirements of the equipment manufacturing performance. . When these macro modules are used to design components in an integrated circuit, the equipment in the IC manufacturing facility automatically considers the design rule parameters and optimizes the circuit manufacturing process or layout.

Claims (48)

집적회로를 제작하는 방법으로서,As a method of manufacturing an integrated circuit, 설계 배포물에 관해 집적회로 설계자의 설계 의도 정보를 포착하는 단계와;Capturing design intent information of the integrated circuit designer regarding the design distribution; 상기 설계 의도 정보와 상기 설계 배포물을 집적회로 제조 설비에 전달하는 단계와;Communicating the design intent information and the design distribution to an integrated circuit manufacturing facility; 상기 설계 배포물과 상기 설계 의도에 따라 생산된 집적회로를 최적화하기 위하여, 상기 집적회로 제조 설비의 집적회로 제조 장비 내의 상기 설계 의도 정보를 사용하는 단계를 포함하는, 집적회로를 제작하는 방법.Using the design intent information in integrated circuit fabrication equipment of the integrated circuit fabrication facility to optimize the design distribution and the integrated circuit produced in accordance with the design intent. 제 1항에 있어서, 상기 설계 의도 정보는 임계 회로 요소(critical circuit element)의 적어도 하나의 위치를 포함하는, 집적회로를 제작하는 방법.The method of claim 1, wherein the design intent information comprises at least one location of a critical circuit element. 제 1항에 있어서, 상기 설계 의도 정보는 상기 집적회로 내의 임계 회로 요소의 확인을 포함하는, 집적회로를 제작하는 방법.The method of claim 1, wherein the design intent information includes identifying critical circuit elements in the integrated circuit. 제 1항에 있어서, 상기 설계 의도 정보는 수율, 속도 및 전력소비의 명세(specification)로 이루어진 그룹으로부터 선택된 적어도 하나의 회로 속성을 포함하는, 집적회로를 제작하는 방법.The method of claim 1, wherein the design intent information comprises at least one circuit property selected from the group consisting of specifications of yield, speed, and power consumption. 제 2항에 있어서, 상기 적어도 하나의 위치는 상기 집적회로 제조 장비 내의 도량형 장비(metrology equipment)에 의해 측정되는, 집적회로를 제작하는 방법.The method of claim 2, wherein the at least one location is measured by metrology equipment in the integrated circuit manufacturing equipment. 제 2항에 있어서, 상기 임계 회로 요소는 상기 집적회로 제조 장비 내의 도량형 장비에 의해 측정되는, 집적회로를 제작하는 방법.3. The method of claim 2 wherein the critical circuit element is measured by metrological equipment in the integrated circuit manufacturing equipment. 제 2항에 있어서, 상기 설계 의도 정보는 상기 집적회로 내의 특정 전도성 라인의 위치와 임계 치수를 포함하는, 집적회로를 제작하는 방법.3. The method of claim 2, wherein the design intent information includes the location and critical dimensions of a particular conductive line in the integrated circuit. 제 7항에 있어서, 상기 위치와 임계 치수는 상기 집적회로 제조 장비 내의 도량형 장비에 의해 사용되는, 집적회로를 제작하는 방법.8. The method of claim 7, wherein the location and critical dimensions are used by metrological equipment in the integrated circuit manufacturing equipment. 제 1항에 있어서, 상기 설계 의도 정보는, 집적회로 제조 장비 제조자에 의해 상기 집적회로 설계자에 제공된 장비 사용 정보를 포함하는, 집적회로를 제작하는 방법.The method of claim 1, wherein the design intent information includes equipment usage information provided to the integrated circuit designer by an integrated circuit manufacturing equipment manufacturer. 제 9항에 있어서, 상기 장비 사용 정보는, 상기 집적회로 제조 장비에 의해 형성되는 최적의 회로 구성을 규정하는 설계 규칙인, 집적회로를 제작하는 방법.10. The method of claim 9, wherein the equipment usage information is a design rule that defines an optimal circuit configuration formed by the integrated circuit manufacturing equipment. 제 10항에 있어서, 상기 설계 규칙은, 집적회로를 제조하기 위한 화학-기계적 연마 도구를 사용할 때 오목화(dishing)를 방지하기 위한 더미 구조를 위치시킬 위치를 확인하는, 집적회로를 제작하는 방법.The method of claim 10, wherein the design rule identifies where to place a dummy structure to prevent dishing when using a chemical-mechanical polishing tool for fabricating an integrated circuit. . 제 9항에 있어서, 상기 장비 사용 정보는 제조 능력 정보를 포함하는, 집적회로를 제작하는 방법.10. The method of claim 9 wherein the equipment usage information includes manufacturing capability information. 집적회로를 제작하기 위한 장치로서,An apparatus for manufacturing an integrated circuit, 상기 집적회로의 구조를 검사하기 위한 적어도 하나의 도량형 장비를 포함하는 집적회로 제조 장비와;Integrated circuit manufacturing equipment comprising at least one metrological equipment for inspecting the structure of the integrated circuit; 상기 적어도 하나의 도량형 장비에 연결되는 설계 의도 정보 소스로서, 상기 설계 의도 정보와 제조되는 집적회로의 한 부분으로부터 얻어진 적어도 하나의 속성 사이의 비교가 상기 집적회로 제조 장비를 제어하는데 사용되는 설계 의도 정보 소스를A design intent information source connected to the at least one metrological equipment, wherein a comparison between the design intent information and at least one attribute obtained from a portion of the integrated circuit being manufactured is used to control the integrated circuit manufacturing equipment. Source 포함하는 집적회로를 제작하기 위한 장치.Apparatus for manufacturing an integrated circuit comprising. 제 13항에 있어서, 상기 설계 의도 정보 소스는 상기 집적회로 상에 위치한 임계 요소의 데이터베이스를 포함하는, 집적회로를 제작하기 위한 장치.The apparatus of claim 13, wherein the design intent information source comprises a database of critical elements located on the integrated circuit. 제 14항에 있어서, 상기 설계 의도 정보 소스는 상기 집적회로 상에 위치한 임계 요소의 룩업 테이블(look-up-table)을 포함하는, 집적회로를 제작하기 위한장치.15. The apparatus of claim 14, wherein the design intent information source comprises a look-up-table of critical elements located on the integrated circuit. 집적회로를 제작하기 위한 사업 방법으로서,As a business method for manufacturing an integrated circuit, 집적회로 처리 도구를 위한 설계 규칙을 생성하는 단계와,Creating design rules for the integrated circuit processing tool, 설계 배포물에 의해 규정되는 집적회로를 개발하기 위하여 상기 설계 규칙을 사용하는 단계와,Using the design rules to develop an integrated circuit defined by a design distribution; 상기 집적회로 회로 처리 도구를 사용하는 집적회로 제조 설비에 상기 설계 배포물을 보내는 단계와,Sending the design distribution to an integrated circuit fabrication facility using the integrated circuit circuit processing tool; 상기 설계 배포물에 따라 집적회로를 제작하는 단계와,Fabricating an integrated circuit in accordance with the design distribution; 설계 의도와, 제작되는 상기 집적회로의 한 부분으로부터 얻어진 속성 사이의 비교에 응답하여, 상기 집적회로 처리 도구에 의해 수행되는 상기 제작하는 단계를 조정하는 단계와,In response to a comparison between a design intent and attributes obtained from a portion of the integrated circuit being fabricated, adjusting the fabrication step performed by the integrated circuit processing tool; 상기 설계 규칙을 사용하여 제작되는 각 집적회로에 대해, 상기 집적회로 제조 설비로부터 상기 집적회로 장비 제조자에게 로얄티를 지불하는 단계를 포함하는, 집적회로를 제작하기 위한 사업 방법.For each integrated circuit fabricated using the design rule, paying a royalty to the integrated circuit equipment manufacturer from the integrated circuit fabrication facility. 제 16항에 있어서, 상기 설계 규칙은 상기 집적회로 상의 회로 요소를 위한 최적의 위치를 규정하는, 집적회로를 제작하기 위한 사업 방법.17. The method of claim 16 wherein the design rule defines an optimal location for a circuit element on the integrated circuit. 제 16항에 있어서, 상기 설계 규칙은 상기 집적회로 상의 회로 요소에 관한더미 구조의 위치를 규정하는, 집적회로를 제작하기 위한 사업 방법.17. The method of claim 16, wherein the design rule defines the location of a dummy structure relative to a circuit element on the integrated circuit. 제 16항에 있어서, 상기 설계 규칙은, 집적회로 장비 제조자, 상기 집적회로 제조 설비 및 상기 장비 제조 설비와 관련이 없는 제 3자 중 적어도 하나에 의해 생성되는, 집적회로를 제작하기 위한 사업 방법.The method of claim 16, wherein the design rule is generated by at least one of an integrated circuit equipment manufacturer, the integrated circuit manufacturing facility, and a third party not associated with the equipment manufacturing facility. 제 16항에 있어서, 상기 생성 단계는,The method of claim 16, wherein the generating step, 상기 집적회로 제조 설비에 의해 사용되거나 및/또는 사용될 장비의 목록을 생성하는 단계와,Generating a list of equipment to be used and / or to be used by the integrated circuit manufacturing facility; 상기 목록화된 장비의 성능 특성을 확인하는 단계와,Identifying performance characteristics of the listed equipment; 상기 목록화된 장비의 상기 성능 특성과, 상기 설계 규칙에 의해 규정되는 집적회로 구조의 디바이스 모델을 사용하여 상기 설계 규칙을 생성하는 단계를 더 포함하는, 집적회로를 제작하기 위한 사업 방법.Generating the design rule using the performance characteristics of the listed equipment and a device model of an integrated circuit structure defined by the design rule. 집적회로(IC) 제조 방법을 개선하는 방법으로서,As a method of improving an integrated circuit (IC) manufacturing method, 집적회로 제조 장비를 위한 제조 능력 정보를 포착하는 단계와,Capturing manufacturing capability information for the integrated circuit manufacturing equipment; 상기 제조 능력 정보를 집적회로(IC)를 설계하기 위한 설계 규칙에 통합시키는 단계와,Incorporating the manufacturing capability information into design rules for designing an integrated circuit (IC); 설계 배포물에 관해 집적회로 설계자의 설계 의도 정보를 포착하는 단계와,Capturing the design intent information of the integrated circuit designer about the design distribution; 상기 제조 능력 정보를 상기 설계 의도 정보의 일부로서 포함하는 단계와,Including the manufacturing capability information as part of the design intent information; 상기 설계 의도 정보와 상기 설계 배포물을 집적회로 제조 설비에 전달하는 단계와,Communicating the design intent information and the design distribution to an integrated circuit manufacturing facility; 상기 설계 배포물과 상기 설계 의도에 따라 상기 집적회로를 최적화하기 위하여, 상기 집적회로 제조 설비의 상기 집적회로 제조 장비를 통해 상기 설계 의도 정보를 사용하는 단계를 포함하는, 집적회로(IC) 제조 방법을 개선하는 방법.Using the design intent information through the integrated circuit manufacturing equipment of the integrated circuit manufacturing facility to optimize the integrated circuit in accordance with the design distribution and the design intent. How to improve it. 제 21항에 있어서, 상기 설계 의도 정보는 임계 회로 요소의 적어도 하나의 위치를 포함하는, 집적회로(IC) 제조 방법을 개선하는 방법.22. The method of claim 21 wherein the design intent information includes at least one location of critical circuit elements. 제 21항에 있어서, 상기 설계 의도 정보는 상기 집적회로 내의 임계 회로 요소의 확인을 포함하는, 집적회로(IC) 제조 방법을 개선하는 방법.22. The method of claim 21 wherein the design intent information includes identifying critical circuit elements in the integrated circuit. 제 21항에 있어서, 상기 설계 의도 정보는, 수율, 속도 및 전력 소비의 명세로 이루어진 그룹으로부터 선택된 적어도 하나의 회로 속성을 포함하는, 집적회로(IC) 제조 방법을 개선하는 방법.22. The method of claim 21, wherein the design intent information includes at least one circuit attribute selected from the group consisting of specifications of yield, speed, and power consumption. 제 22항에 있어서, 상기 적어도 하나의 위치는 상기 집적회로 제조 장비 내의 도량형 장비에 의해 측정되는, 집적회로(IC) 제조 방법을 개선하는 방법.23. The method of claim 22, wherein the at least one location is measured by metrological equipment in the integrated circuit manufacturing equipment. 제 22항에 있어서, 상기 임계 회로 요소는 상기 집적회로 제조 장비 내의 도량형 장비에 의해 측정되는, 집적회로(IC) 제조 방법을 개선하는 방법.23. The method of claim 22, wherein the critical circuit element is measured by metrological equipment in the integrated circuit manufacturing equipment. 제 22항에 있어서, 상기 설계 의도 정보는 상기 집적 회로 내의 특정 전도성 라인의 위치와 임계 치수를 포함하는, 집적회로(IC) 제조 방법을 개선하는 방법.23. The method of claim 22, wherein the design intent information includes the location and critical dimensions of a particular conductive line in the integrated circuit. 제 27항에 있어서, 상기 위치와 임계 치수는 상기 집적회로 제조 장비 내의 도량형 장비에 의해 사용되는, 집적회로(IC) 제조 방법을 개선하는 방법.28. The method of claim 27, wherein the location and critical dimensions are used by metrological equipment in the integrated circuit manufacturing equipment. 제 21항에 있어서, 상기 설계 의도 정보는 집적 회로 제조 장비 제조자에 의해 상기 집적회로 설계자에게 제공되는 장비 사용 정보를 포함하는, 집적회로(IC) 제조 방법을 개선하는 방법.22. The method of claim 21, wherein the design intent information includes equipment usage information provided to the integrated circuit designer by an integrated circuit manufacturing equipment manufacturer. 제 29항에 있어서, 상기 장비 사용 정보는 상기 집적회로 제조 장비에 의해 형성될 최적의 회로 구성을 규정하는 설계 규칙인, 집적회로(IC) 제조 방법을 개선하는 방법.30. The method of claim 29 wherein the equipment usage information is a design rule that defines an optimal circuit configuration to be formed by the integrated circuit manufacturing equipment. 제 30항에 있어서, 상기 설계 규칙은 상기 집적회로를 제조하기 위한 화학-기계적 연마 도구를 사용할 때 오목화(dishing)를 방지하기 위한 더미 구조를 위치시킬 위치를 확인하는, 집적회로(IC) 제조 방법을 개선하는 방법.31. The integrated circuit (IC) fabrication of claim 30, wherein the design rule identifies a location to place a dummy structure to prevent dishing when using a chemical-mechanical polishing tool for fabricating the integrated circuit. How to improve. 제 29항에 있어서, 상기 장비 사용 정보는 제조 능력 정보를 포함하는, 집적회로(IC) 제조 방법을 개선하는 방법.30. The method of claim 29, wherein the equipment usage information comprises fabrication capability information. 제 21항에 있어서, 상기 집적회로 제조 설비에 의해 사용되거나 및/또는 사용될 장비의 목록을 생성하는 단계와,22. The method of claim 21, further comprising: generating a list of equipment to be used and / or to be used by the integrated circuit manufacturing facility; 상기 목록화된 장비의 성능 특성을 확인하는 단계와,Identifying performance characteristics of the listed equipment; 상기 목록화된 장비의 상기 성능 특성과, 상기 설계 규칙에 의해 규정되는 집적회로 구조의 디바이스 모델을 사용하여 상기 설계 규칙을 생성하는 단계를 더 포함하는, 집적회로(IC) 제조 방법을 개선하는 방법.Generating the design rule using the performance characteristics of the listed equipment and a device model of an integrated circuit structure defined by the design rule. . 집적회로 제조 설비로서,As an integrated circuit manufacturing facility, 집적회로 설계 배포물과 설계 의도 정보에 따라 동작하도록 적응된 집적회로 제조 장비를 포함하는, 집적회로 제조 설비.An integrated circuit manufacturing facility comprising integrated circuit manufacturing equipment adapted to operate in accordance with an integrated circuit design distribution and design intent information. 제 34항에 있어서, 상기 설계 의도 정보는 임계 회로 요소의 적어도 하나의 위치를 포함하는, 집적회로 제조 설비.35. The integrated circuit fabrication facility of claim 34, wherein the design intent information includes at least one location of critical circuit elements. 제 34항에 있어서, 상기 설계 의도 정보는 상기 집적회로 내의 임계 회로 요소의 확인을 포함하는, 집적회로 제조 설비.35. The integrated circuit fabrication facility of claim 34, wherein the design intent information includes identification of critical circuit elements within the integrated circuit. 제 34항에 있어서, 상기 설계 의도 정보는 수율, 속도 및 전력 소비의 명세로 이루어진 그룹으로부터 선택된 적어도 하나의 회로 속성을 포함하는, 집적회로 제조 설비.35. The integrated circuit fabrication facility of claim 34, wherein the design intent information includes at least one circuit attribute selected from the group consisting of specifications of yield, speed, and power consumption. 제 35항에 있어서, 도량형 장비를 더 포함하되, 상기 적어도 하나의 위치는 상기 도량형 장비에 의해 측정되는, 집적회로 제조 설비.36. The integrated circuit manufacturing facility of claim 35, further comprising metrological equipment, wherein the at least one location is measured by the metrological equipment. 제 35항에 있어서, 도량형 장비를 더 포함하되, 상기 임계 회로 요소는 상기 도량형 장비에 의해 측정되는, 집적회로 제조 설비.36. The integrated circuit manufacturing facility of claim 35, further comprising metrological equipment, wherein the critical circuit element is measured by the metrological equipment. 제 35항에 있어서, 상기 설계 의도 정보는 상기 집적회로 내의 특정 전도성 라인의 위치와 임계 치수를 포함하는, 집적회로 제조 설비.36. The integrated circuit fabrication facility of claim 35, wherein the design intent information includes a location and critical dimension of a particular conductive line in the integrated circuit. 제 40항에 있어서, 도량형 장비를 더 포함하되, 상기 위치와 임계 치수는 상기 도량형 장비에 의해 사용되는, 집적회로 제조 설비.41. The integrated circuit manufacturing facility of claim 40, further comprising metrological equipment, wherein the location and critical dimensions are used by the metrological equipment. 제 34항에 있어서, 상기 설계 의도 정보는, 집적회로 제조 장비 제조자에 의해 상기 집적회로 설계자에게 제공되는 장비 사용 정보를 포함하는, 집적회로 제조 설비.35. The integrated circuit manufacturing facility of claim 34, wherein the design intent information includes equipment usage information provided to the integrated circuit designer by an integrated circuit manufacturing equipment manufacturer. 제 39항에 있어서, 상기 장비 사용 정보는 상기 집적회로 제조 장비에 의해 형성될 최적의 회로 구성을 규정하는 설계 규칙인, 집적회로 제조 설비.40. The integrated circuit manufacturing facility of claim 39, wherein the equipment usage information is a design rule that defines an optimal circuit configuration to be formed by the integrated circuit manufacturing equipment. 제 43항에 있어서, 상기 설계 규칙은 상기 집적 회로를 제조하기 위하여 화학-기계적 연마 도구를 사용할 때 오목화를 방지하기 위한 더미 구조를 위치시킬 위치를 확인하는, 집적회로 제조 설비.44. The integrated circuit manufacturing facility of claim 43, wherein the design rule identifies a location to place a dummy structure to prevent recession when using a chemical-mechanical polishing tool to manufacture the integrated circuit. 제 42항에 있어서, 상기 장비 사용 정보는 제조 능력 정보를 포함하는, 집적회로 제조 설비.43. The integrated circuit manufacturing facility of claim 42, wherein the equipment usage information includes manufacturing capability information. 제 35항에 있어서, 설계 의도 정보 소스를 더 포함하는, 집적회로 제조 설비.36. The integrated circuit manufacturing facility of claim 35, further comprising a design intent information source. 제 46항에 있어서, 상기 소스는 상기 집적회로 상에 위치하는 임계 요소의 데이터베이스를 포함하는, 집적회로 제조 설비.47. The integrated circuit fabrication facility of claim 46, wherein the source comprises a database of critical elements located on the integrated circuit. 제 46항에 있어서, 상기 소스는 상기 집적회로 상에 위치하는 임계 요소의 룩업테이블을 포함하는, 집적회로 제조 설비.47. The integrated circuit fabrication facility of claim 46, wherein the source comprises a look up table of critical elements located on the integrated circuit.
KR1020040024775A 2004-04-10 2004-04-10 Method and apparatus for capturing and using design intent in an integrated circuit fabrication process KR20040089556A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020040024775A KR20040089556A (en) 2004-04-10 2004-04-10 Method and apparatus for capturing and using design intent in an integrated circuit fabrication process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040024775A KR20040089556A (en) 2004-04-10 2004-04-10 Method and apparatus for capturing and using design intent in an integrated circuit fabrication process

Publications (1)

Publication Number Publication Date
KR20040089556A true KR20040089556A (en) 2004-10-21

Family

ID=37370959

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040024775A KR20040089556A (en) 2004-04-10 2004-04-10 Method and apparatus for capturing and using design intent in an integrated circuit fabrication process

Country Status (1)

Country Link
KR (1) KR20040089556A (en)

Similar Documents

Publication Publication Date Title
US8156450B2 (en) Method and system for mask optimization
US7694244B2 (en) Modeling and cross correlation of design predicted criticalities for optimization of semiconductor manufacturing
US7665048B2 (en) Method and system for inspection optimization in design and production of integrated circuits
US20080059261A1 (en) Method for capturing and using design intent in an integrated circuit fabrication process
US8146024B2 (en) Method and system for process optimization
US20060110837A1 (en) Method and system for topography-aware reticle enhancement
KR20080067624A (en) Designer&#39;s intent tolerance bands for proximity correction and checking
US20090144686A1 (en) Method and apparatus for monitoring marginal layout design rules
JP4353498B2 (en) Management apparatus and method, device manufacturing method, and computer program
Dutton et al. Perspectives on technology and technology-driven CAD
US20090082897A1 (en) Method and apparatus for generating metrology tags to allow automatic metrology recipe generation
Onodera Variability modeling and impact on design
KR20040089556A (en) Method and apparatus for capturing and using design intent in an integrated circuit fabrication process
KR20090069095A (en) Method for manufacturing semiconductor device
US20090100399A1 (en) Design structure for partitioned dummy fill shapes for reduced mask bias with alternating phase shift masks
Salem Electrical Design for Manufacturability Solutions: Fast Systematic Variation Analysis and Design Enhancement Techniques
Teh et al. Performance-based optical proximity correction methodology
Monahan et al. Design and process limited yield at the 65-nm node and beyond
US8108807B2 (en) Delay time adjusting method of semiconductor integrated circuit
Doong et al. Scaling variance, invariance and prediction of design rule: from 0.25-/spl mu/m to 0.10-/spl mu/m nodes in the era of foundry manufacturing
US10546082B1 (en) Resistor network reduction for full-chip simulation of current density
Geng et al. Density Optimization for Analog Layout Based on Transistor-Array
Hurat et al. A genuine design manufacturability check for designers
Zhang et al. Model-based lithography verification using the new manufacturing sensitivity model
Shauly Layout Design Rules: Definition, Setting, and Scaling

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
NORF Unpaid initial registration fee