KR20030090650A - Method for producing parts and a vacuum processing system - Google Patents

Method for producing parts and a vacuum processing system Download PDF

Info

Publication number
KR20030090650A
KR20030090650A KR10-2003-7011145A KR20037011145A KR20030090650A KR 20030090650 A KR20030090650 A KR 20030090650A KR 20037011145 A KR20037011145 A KR 20037011145A KR 20030090650 A KR20030090650 A KR 20030090650A
Authority
KR
South Korea
Prior art keywords
plasma
process chamber
vacuum
chamber
gas
Prior art date
Application number
KR10-2003-7011145A
Other languages
Korean (ko)
Inventor
와그너루돌프
월트쉬시그프리드
램쥐르겐
Original Assignee
어낵시스 발처스 악티엔게젤샤프트
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어낵시스 발처스 악티엔게젤샤프트 filed Critical 어낵시스 발처스 악티엔게젤샤프트
Publication of KR20030090650A publication Critical patent/KR20030090650A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/503Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using dc or ac discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

에피택셜 층을 코팅하는 경우와 같이 그 코팅에 대해 동일한 요건이 요구되는 코팅된 부품을 제조하려면, 반응성 가스를 공정실(PR) 중에 유입하여 그 반응성 가스를 저 에너지 플라즈마 방전에 의해 활성화시킨다. 그러한 방법의 산업적 유용성을 증진시키기 위해, 그러한 방법에서 공정실(PR)을 주위에 놓인 용기(1)의 내벽으로부터 격리시킨다(14).To produce a coated part that requires the same requirements for the coating as in the case of coating an epitaxial layer, a reactive gas is introduced into the process chamber PR to activate the reactive gas by low energy plasma discharge. In order to enhance the industrial utility of such a process, the process chamber PR is isolated (14) from the inner wall of the surrounding container 1 in such a method.

Description

부품 제조 방법 및 진공 처리 시스템{METHOD FOR PRODUCING PARTS AND A VACUUM PROCESSING SYSTEM}METHOD FOR PRODUCING PARTS AND A VACUUM PROCESSING SYSTEM

본 출원인의 WO98/58099(첨부됨)로부터 서두에 언급된 형식의 방법과 더불어 그를 위한 시스템이 공지되어 있다. 그 문헌에는 공정실 중에 유입된 반응성 가스 또는 반응성 가스 혼합물을 부품 표면에서의 이온 에너지 E가A system therefor as well as a method of the type mentioned at the outset from Applicant's WO98 / 58099 (attached) are known. The document describes the reactive gas or reactive gas mixture introduced into the process chamber as the ion energy E at the part surface.

0 eV < E ≤ 15 eV0 eV <E ≤ 15 eV

로 되도록 하는 저 에너지 플라즈마 방전에 의해 활성화시키는 하나 이상의 플라즈마 촉진 처리 단계로서 피가공재를 에피택시(epitaxy)에 충분한 품질로 코팅하는 것만이 상세하게 기재 및 청구되어 있다. 저 에너지 플라즈마 방전에 의해 발생되는 플라즈마는 본질적으로 전자, 단일 및 다중 하전된 이온, 중성 입자(원자, 해리된 분자), 및 여기되었지만 이온화되지는 않은 중성 입자로 이뤄진다. 그 문헌에 개시된 플라즈마에 있어서는 단일 이온화된 이온의 에너지 대역이It is only described and claimed in detail to coat the workpiece with a quality sufficient for epitaxy as one or more plasma accelerated treatment steps activated by a low energy plasma discharge that results in The plasma generated by the low energy plasma discharge consists essentially of electrons, single and multiple charged ions, neutral particles (atoms, dissociated molecules), and neutral particles that are excited but not ionized. In the plasma disclosed in that document, the energy band of a single ionized ion

0 eV < E ≤ 15 eV0 eV <E ≤ 15 eV

라는 것이 특징적이다. 15 eV는 기판에 이온이 작용할 때에 그 값으로부터 기판에 손상이 생길 수 있는 소위 스퍼터링 한계이다. 전자 그 자체는 100 eV까지는 주로 기판을 가열하는데만 기여할 뿐이다. 또한, 이제 막 설명하려는 바와 같은 매우 바람직한 본 발명의 DC 저압 플라즈마 발생 장치에서는 단일 하전된 이온의 전술된 에너지 대역이 동시에 플라즈마 중에 존재하는 중성 입자 및 여기된 중성 입자의 에너지 대역을 상한 측으로 한정한다는 것이 알려져 있다. 그 이유는 중성 입자가 그 에너지의 주된 몫을 이온과의 충돌에 의해 얻기 때문이다.It is characteristic. 15 eV is the so-called sputtering limit that can cause damage to the substrate from its value when ions act on the substrate. The electrons themselves only contribute to heating the substrate up to 100 eV. In addition, in the highly preferred DC low pressure plasma generating apparatus of the present invention as will now be described, the above-described energy band of a single charged ion simultaneously limits the energy band of neutral particles and excited neutral particles present in the plasma to the upper limit. Known. The reason is that the neutral particles get a major share of their energy by collision with ions.

WO98/58099에는 전술된 코팅을 위한 진공 처리 시스템도 상세히 설명되어 있는데, 그러한 진공 처리 시스템은 피가공재 지지대가 들어 있는 진공실, 진공실 중에 플라즈마를 발생시키는 플라즈마 발생 장치, 하나 이상의 반응성 가스가 담긴 가스 탱크 장치와 접속되어 진공실에 가스를 넣는 가스 유입 장치를 구비한다. 플라즈마 발생 장치는 저압 플라즈마 발생 장치로서 특정되어 기재되어 있다(음극실이 셔터를 경유하여 공정실과 통해 있음). 음극실 중에는 열 음극이 조립되고, 공정실 중에는 양극 장치가 조립된다. 공간상으로 아래쪽으로 정향되는 피가공재는 전기 절연된 채로 배치된다.WO 98/58099 also describes in detail the vacuum treatment system for the coatings described above, which includes a vacuum chamber containing a workpiece support, a plasma generating device for generating plasma in the vacuum chamber, and a gas tank device containing one or more reactive gases. It is provided with the gas inflow apparatus which is connected with and puts gas into a vacuum chamber. The plasma generator is specified and described as a low pressure plasma generator (the cathode chamber is located with the process chamber via the shutter). The thermal cathode is assembled in the cathode chamber, and the anode device is assembled in the process chamber. Workpieces which are oriented downward in space are arranged with electrical insulation.

그러한 저압 플라즈마 발생 장치의 원리는 역시 이미 공지되어 있는 다른 플라즈마 발생 방법(예컨대, 마이크로파 플라즈마)에 비해 본 명세서에서 설명되는 방법에 훨씬 더 바람직한데, 왜냐하면 그것이 전술된 에너지 특성을 월등하게 잘 충족시킬 수 있기 때문이다.The principle of such a low pressure plasma generating device is also much more desirable for the method described herein compared to other known plasma generating methods (e.g. microwave plasma), since it can meet the above-mentioned energy properties significantly better. Because there is.

따라서, 본 발명은 한편으로는 그러한 형식의 방법 및 시스템으로부터 출발하고 있고, 다른 한편으로는 이제 막 설명하려는 바와 같이 본 발명의 목적에 따라 추가의 기준이 충족되어야 하기는 하지만, 특히 WO98/58099에 개시된 방법을 본 출원에 의해서도 구현하려고 한다.Thus, the present invention starts on the one hand with such a type of method and system and on the other hand, although further criteria must be met according to the purpose of the present invention as will now be described, in particular in WO98 / 58099. The disclosed method is also to be implemented by the present application.

본 명세서에는 WO98/58099가 방법 명세서로서 첨부된다.WO98 / 58099 is hereby attached as a method specification.

본 발명은 청구항 1의 전제부에 따른 바와 같이 공정실 중에 유입된 반응성 가스 또는 반응성 가스 혼합물을 부품 표면에서의 이온 에너지 E가In accordance with the preamble of claim 1, the present invention relates to a reactive gas or a mixture of

0 eV < E ≤ 15 eV0 eV <E ≤ 15 eV

로 되도록 하는 저 에너지 플라즈마 방전에 의해 활성화시키는 하나 이상의 플라즈마 촉진 처리 단계를 사용하여 전자 소자, 광전 소자, 광 소자, 또는 정밀 기계 소자로서의 또는 그 중간재로서의 부품을 제조하는 방법에 관한 것이다.A method of manufacturing a component as an electronic device, an optoelectronic device, an optical device, or a precision mechanical device, or an intermediate material thereof, using one or more plasma accelerated processing steps activated by a low energy plasma discharge to cause

또한, 본 발명은 청구항 28의 전제부에 따른 바와 같이 가상 기판(virtual substrate), 바람직하게는 실리콘/게르마늄계 가상 기판 또는 그로 이뤄진 부품을 제조하는 방법으로서, 하나 이상의 세정 단계를 포함하는 그러한 제조 방법에 관한 것이다. 아울러, 본 발명은 청구항 29 또는 청구항 30의 전제부에 따른 진공 처리 시스템에 관한 것이다.The invention furthermore provides a method for producing a virtual substrate, preferably a silicon / germanium based virtual substrate or a component thereof, as in accordance with the preamble of claim 28, wherein the method comprises at least one cleaning step. It is about. The present invention further relates to a vacuum processing system according to the preamble of claim 29 or 30.

기본적으로, 본 발명은 부품에 에피택셜 층(epitaxial layer)을 코팅하는 경우에서와 같이 동일한 요건이 요구되는 부품의 제조 방법과 관련되어 있다.Basically, the present invention relates to a method for manufacturing a part in which the same requirements are required as in the case of coating an epitaxial layer on the part.

이하, 본 발명을 첨부 도면에 의거하여 더욱 상세히 설명하기로 한다. 첨부 도면 중에서,Hereinafter, the present invention will be described in more detail with reference to the accompanying drawings. Among the accompanying drawings,

도 1은 본 발명에 따른 방법을 행하는 본 발명에 따른 공정 모듈의 제1 실시예를 개략적으로 나타낸 도면이고;1 shows schematically a first embodiment of a process module according to the invention for carrying out the method according to the invention;

도 2는 본 발명에 따른 방법을 행하는 도 1에 따른 공정 모듈의 바람직한 실시예를 나타낸 도 1의 도면과 유사한 도면이며;FIG. 2 is a view similar to that of FIG. 1 showing a preferred embodiment of the process module according to FIG. 1 for carrying out the method according to the invention; FIG.

도 3은 본 발명에 따른 방법, 즉 본 발명에 따른 세정을 행하는 본 발명에 따른 또 다른 공정 모듈 유형을 나타낸 도 1 또는 도 2의 도면과 유사한 도면이고;FIG. 3 is a view similar to that of FIG. 1 or FIG. 2, showing another process module type according to the invention for carrying out the process according to the invention, ie the cleaning according to the invention; FIG.

도 4는 본 발명에 따른 방법, 즉 본 발명에 따른 세정을 행하는 도 3에 도시된 공정 모듈의 변형 실시예를 나타낸 도 1 내지 도 3의 도면과 유사한 도면이며;FIG. 4 is a view similar to the one of FIGS. 1 to 3 showing a variant embodiment of the process module shown in FIG. 3 for carrying out the process according to the invention, ie the cleaning according to the invention;

도 5는 본 발명에 따른 방법을 행하는, 도 3 또는 도 4의 공정 모듈로 변경될 수 있는 도 2의 본 발명에 따른 공정 모듈의 바람직한 실시예를 간략히 나타낸 도면이고;5 is a simplified illustration of a preferred embodiment of the process module according to the invention of FIG. 2, which may be modified to the process module of FIG. 3 or 4, carrying out the method according to the invention;

도 6은 도 5에 따른 공정 모듈의 방출구 축선 "A"를 기준으로 하여 축선 "A"에 평행한 자계 성분이 제어에 의해 방출구 축선 "A"에 수직한 평면에 걸쳐 위치 및 시간 변조되는 것을 나타낸 그래프이며;FIG. 6 shows that the magnetic field component parallel to the axis “A” with respect to the outlet axis “A” of the process module according to FIG. 5 is controlled and position modulated over a plane perpendicular to the outlet axis “A”. A graph showing that;

도 7은 피가공재가 도 1 내지 도 5에 따른 공정 모듈에 연속적으로 장입되는 것과, 미리 주어진 수의 처리 단계를 행한 후에 또는 필요에 따라 공정 모듈을 자정하는 것을 시간 축을 따라 나타낸 도면이고;FIG. 7 is a view along the time axis that the workpiece is loaded continuously into the process module according to FIGS. 1 to 5, and after performing a predetermined number of processing steps or to self-clean the process module as needed;

도 8은 도 1 내지 도 5에 따른 공정 모듈을 인라인(inline) 연속 설비로 조합시킨 것을 나타낸 도면이며;8 shows the combination of the process module according to FIGS. 1 to 5 into an inline continuous installation;

도 9는 특히 본 발명에 따라 가상 기판 또는 가상 기판에 기초한 부품을 제조하기 위해 도 1 내지 도 5에 따른 공정 모듈을 원형 설비 또는 클러스터 설비로 조합시킨 것을 간단하게 평면도로 나타낸 도면이다.9 is a simplified plan view of a combination of a process module according to FIGS. 1 to 5 into a circular plant or a cluster plant, in particular for producing a virtual substrate or a component based on the virtual substrate according to the invention.

즉, 본 발명의 목적은 특히 긴 내구 수명 및 높은 단위 시간당 처리량이라는 경제적인 기준의 측면에서 그 산업적 유용성이 현격히 증대되는 전술된 형식의 방법 내지 시스템을 제공하는 것이다.In other words, it is an object of the present invention to provide a method or system of the type described above in which its industrial utility is significantly increased, particularly in terms of economic criteria of long durability life and high unit time throughput.

따라서, 요구되는 긴 내구 수명 동안 전술된 형식의 방법에 대해 얻고자 하는 높은 시스템 일관성이 보장되어야 한다. 또한, 자동화된 제조 과정에서 한편으로 방법의, 다른 한편으로 시스템의 통합 가능성이 최적으로 구현되어야 한다.Therefore, the high system consistency that is desired to be obtained for the method of the type described above for the long service life required is to be ensured. In addition, the possibility of integrating the system on the one hand and on the other hand in an automated manufacturing process should be optimally implemented.

그러한 목적은 서두에 언급된 형식의 방법에서 플라즈마 촉진 처리 단계 동안 공정 분위기를 주위에 놓인 진공 용기의 내벽으로부터 격리시킴으로써 달성된다. 그러한 방법에서는 한편으로 주위 압력에 대해 필요한 진공 기술적 압력 관계를 확보하는 구조물을, 다른 한편으로 처리 공정에 직접 노출되는 구조물을 기능적으로 격리시킴으로써 전술된 목적을 달성하는 것을 그 기본 인식으로 하고 있다.Such an object is achieved by isolating the process atmosphere from the inner wall of the surrounding vacuum vessel during the plasma promoting treatment step in the method of the type mentioned at the outset. In such a method, the basic recognition is to achieve the above-mentioned object by functionally isolating a structure which secures the necessary vacuum technical pressure relationship to the ambient pressure on the one hand and, on the other hand, the structure directly exposed to the treatment process.

WO98/58099에 따르면, 통상 스테인리스 강 또는 이녹스(Inox)로 제조되는 진공실의 내면은 공정 분위기에 직접 접한다. 플라즈마 촉진 처리 단계 동안 특히 피가공재 또는 부품이 저 에너지 플라즈마 방전에 의해 코팅되는 곳에서 진공실 벽이 가열되고, 그에 따라 내면이 가열된다. 그로 인해, 산업적 제조에 적용되는 경우에는 전술된 공정 노출 동안 예컨대 내면의 흡수 거동과 같은 여러 가지 영향에 의거하여 처리 단계 공정 분위기가 허용될 수 없을 정도로 오염되거나, 허용될 수 없을 정도의 잔류 가스 분압이 형성되는 결과를 가져오게 된다. 여기에서, 공정 분위기 중의 잔류 가스란 예컨대 아르곤과 같은 플라즈마 방전 작업 가스로부터 유래되지도 않고, 유입된 반응성 가스 또는 반응성 가스 혼합물 및 그 가스상 반응 생성물로부터 유래되지도 않은 가스 부분을 말한다. 본 발명에 따른 조치에 의해, 이제는 진공실 벽에 의해 공정에 미쳐지는 영향을 최소화시키는 것이 가능하게 된다.According to WO98 / 58099, the inner surface of a vacuum chamber, usually made of stainless steel or Inox, is in direct contact with the process atmosphere. During the plasma promoting treatment step the vacuum chamber wall is heated, in particular where the workpiece or part is coated by a low energy plasma discharge, thus heating the inner surface. Therefore, when applied to industrial manufacturing, the treatment step process atmosphere is unacceptably contaminated or unacceptably residual gas partial pressure during the above-described process exposure, for example, based on various influences such as internal absorption behavior. This results in the formation. Here, the residual gas in the process atmosphere refers to a gas part which is neither derived from a plasma discharge working gas such as, for example, argon, nor derived from the introduced reactive gas or reactive gas mixture and its gas phase reaction product. By the measures according to the invention, it is now possible to minimize the effect on the process by the wall of the vacuum chamber.

본 발명에 따른 방법은 청구항 2의 취지에 따라 (a) 부품을 코팅하거나, (b)미리 주어진 침투 깊이까지 재료 조성을 변경하거나, (c) 특히 부품의 패터닝 식각을 위한 것과 같이 부품의 표면을 식각하는데 사용되는 것이 매우 바람직하다. 언급된 그 모든 경우, 에피택셜 층의 성장에 필요한 것과 같은 공정 조건을 유지하는 것이 본 발명에 따라 얻고자 하는 제조 공정의 범위에 있어 필수적이다. 여기에서, 본 발명에 따라 (b)에 따른 재료 조성을 변경한다는 것은 미리 주어진 타깃 재료에 재료를 주입하는 것을 말한다.The method according to the invention etches the surface of the part, such as (a) coating the part, (b) changing the material composition up to a predetermined penetration depth, or (c) in particular for patterning etching of the part in accordance with the spirit of claim 2. Very preferably used. In all of those mentioned, maintaining process conditions such as those necessary for the growth of the epitaxial layer is essential for the scope of the manufacturing process to be obtained according to the invention. Here, changing the material composition according to (b) according to the invention means injecting the material into a given target material in advance.

또한, 본 발명에 따라 행하는 플라즈마 촉진 처리 단계로서 청구항 3에 따른 세정 단계가 제공되거나, 본 발명에 따른 플라즈마 촉진 처리 단계에 추가하여 청구항 4에 따른 세정 단계가 제공된다.Further, the cleaning step according to claim 3 is provided as a plasma acceleration treatment step performed according to the present invention, or the cleaning step according to claim 4 is provided in addition to the plasma promotion step according to the present invention.

본 발명에 따른 방법의 바람직한 구성에서는 청구항 5의 취지에 따라 가상 기판(virtual substrate)을 제조한다. 가상 기판이란 일관된 단결정 반도체 재료로 이뤄진 웨이퍼와는 다르게 특정의 층 구조로 되지만, 기능적으로는 역시 반도체 소자에 대한 출발 재료(starting material)로서 사용되는 반도체 웨이퍼를 말한다.In a preferred configuration of the method according to the invention a virtual substrate is produced in accordance with the spirit of claim 5. A virtual substrate refers to a semiconductor wafer that has a specific layer structure, unlike a wafer made of a consistent single crystal semiconductor material, but which is also functionally used as a starting material for a semiconductor device.

반도체 재료 "A", 예컨대 웨이퍼의 형태의 단결정 실리콘은 출발 기판으로서의 역할을 한다. 그 출발 기판 상에 연속적으로 변하는 분율의 반도체 "A"와 추가의 반도체 "B"로 이뤄지는 것이 바람직한 버퍼 층(buffer layer)을 침착하는데, 통상적으로 높은 분율의 "A"와 낮은 분율의 "B"로부터 높은 분율의 "B"와 낮은 분율의 "A" 쪽으로 이행되도록 조치한다. 그것은 "구배진 버퍼 층(gradient buffer layer)"으로서 지칭된다. 그러한 버퍼 층의 구조는 완전 결함(full defect)이다. 버퍼 층 상에 그 조성이 최상단 버퍼 층 구역의 조성과 대략 일치하는 커버 층을성장시킨다. 그와 같이 하는 목적은 전위(dislocation)가 없는 무결함 혼합 결정 층을 얻으려는데 있다. 그러한 베이스 또는 기판, 버퍼 층, 및 커버 층의 3개의 구성 요소가 가상 기판을 형성한다.Semiconductor material "A", for example, single crystal silicon in the form of a wafer serves as a starting substrate. On the starting substrate it is desirable to deposit a buffer layer, preferably consisting of a continuously varying fraction of semiconductor "A" and an additional semiconductor "B", typically a high fraction "A" and a low fraction "B". From the higher fraction "B" and lower fraction "A". It is referred to as a "gradient buffer layer." The structure of such a buffer layer is full defect. On the buffer layer grow a cover layer whose composition approximately matches that of the top buffer layer zone. The purpose of doing so is to obtain a defect-free mixed crystal layer without dislocations. Three components of such a base or substrate, a buffer layer, and a cover layer form a virtual substrate.

당업자에게 주지된 바와 같이, 추가의 중간 층을 침착하는 것도 가능하다. 가상 기판 상에 반도체 재료의 얻으려는 특성에 필요한 조성으로 된 고유의 유용 층(useful layer)을 부착한다. 유용 층 재료로서는 역시 2개의 반도체의 혼합물을 사용할 수 있지만, 순수한 반도체, 예컨대 "B"로 이뤄진 층을 사용할 수도 있다. 통상, 그러한 층은 그 층에서 전위가 일어나지 않고, 그 층에서의 응력이 그대로 유지되도록 얇게 된다(밴드 갭 엔지니어링; band gap engineering). 그러한 유용 층의 성장을 가상 기판의 형성과 조합시킬 수 있지만, 사전 제작된 가상 기판에 추후에 유용 층을 마련할 수도 있다. 본 발명 및 청구항 5의 취지에 따르면, 가상 기판의 제조 범위 내에서 습식 세정을 사용하였던 종래의 방법과는 다르게, 먼저 베이스 또는 전술된 기판을 플라즈마 촉진 세정에 의해 처리한다. 그 후에, 헤테로 에피택셜(hetero-epitaxial) 버퍼 층을 침착하고, 필요한 경우에는 전술된 커버 층을 침착한다. 이어서, 경우에 따라서는 사용하려는 유용 층을 본 발명에 따라 침착하거나, 버퍼 층을 침착한 후에 커버 층을 거쳐 자체적으로 완성된 가상 기판을 추후에 행하려는 유용 층 침착에 제공한다.As is well known to those skilled in the art, it is also possible to deposit additional intermediate layers. A unique useful layer of the composition required for the desired properties of the semiconductor material is attached onto the virtual substrate. As a useful layer material, a mixture of two semiconductors can also be used, but a layer of pure semiconductor such as “B” can also be used. Typically, such a layer is thinned so that dislocations do not occur in the layer and the stress in the layer is kept intact (band gap engineering). Growth of such a useful layer can be combined with the formation of a virtual substrate, but a useful layer can be provided later on the prefabricated virtual substrate. According to the spirit of the present invention and claim 5, unlike the conventional method of using wet cleaning within the manufacturing range of the virtual substrate, the base or the above-described substrate is first treated by plasma accelerated cleaning. Thereafter, a hetero-epitaxial buffer layer is deposited and, if necessary, the cover layer described above. Subsequently, the useful layer to be used is optionally deposited according to the invention, or after the buffer layer has been deposited, the self-finished virtual substrate is subsequently provided via the cover layer to the useful layer deposition to be done later.

여기에서 이미 언급된 바와 같이, 공지의 가상 기판 제조 방법(분자 빔 에피택시(MBE; molecular beam epitaxy), 초고진공 화학 증착(UHVCVD; ultra high vacuum CVD), 원자 층 증착(ALD; atomic layer deposition) 등이 그에 속함)의 범위 내에서 그에 사용되던 습식 화학 세정 단계를 저 에너지 플라즈마 중에서의 플라즈마 촉진 세정 단계로 대체하는 것은 그것만으로도 진보성이 있는 것이라 여겨지고, 매우 현격한 제조 기술상의 장점을 가져온다.As already mentioned herein, known virtual substrate manufacturing methods (molecular beam epitaxy (MBE), ultra high vacuum CVD (UHVCVD), atomic layer deposition (ALD) And the wet chemical cleaning step used therein within the scope of the above) is regarded as an advance by itself, and it is considered to be an advance on its own, bringing the advantages of a very significant manufacturing technique.

그에 관해서는 청구항 28에 따른 제조 방법에 언급되어 있다.As regards it is mentioned in the production method according to claim 28.

요구되는 산업적 제조 과정 중에는 전술된 플라즈마 촉진 처리 단계 (a), (b), (c)에 의해 추후 처리하려는 부품에 대해 예컨대 주위 분위기에 기인하여 생긴 표면 오염물을 먼저 세정하는 것이 여러 차례 필요하기 마련이다.During the required industrial manufacturing process, it is often necessary to first clean the surface contaminants caused by, for example, the ambient atmosphere, for the parts to be treated later by the plasma-promoting treatment steps (a), (b) and (c) described above. to be.

또한, 전술된 각각의 플라즈마 처리 단계 (a), (b), (c) 후에는 예컨대 식각 시에 방출된 오염 재료 또는 오염 가스를 세정하는 것과 같은 세정 단계가 필요할 수 있다.In addition, after each of the plasma processing steps (a), (b), and (c) described above, a cleaning step may be necessary, for example, to clean the pollutant material or pollutant gas released during etching.

그 경우, 세정 방법의 실시 양태에서는 공정 분위기의 케이싱에 사용되는 재료를 열화시킬지도 모르는 반응성 가스(수소, 수소/불활성 가스 혼합물)가 사용될 수 있다.In that case, in the embodiment of the cleaning method, a reactive gas (hydrogen, hydrogen / inert gas mixture) that may degrade the material used for the casing in the process atmosphere may be used.

그 때문에, 청구항 4에 따라 상대적으로 저렴한 공정 분위기의 금속 케이싱을 그러한 세정 단계에 제공하거나, 세정 공정 분위기를 주위에 놓인 진공 용기의 내벽에 직접 접경시키도록 조치한다.For this reason, according to claim 4, a metal casing having a relatively inexpensive process atmosphere is provided for such a cleaning step, or the cleaning process atmosphere is directly bordered on the inner wall of the surrounding vacuum vessel.

즉, 전술된 처리 단계 (a), (b), (c)에 대해서는 이제 막 후술되는 바와 같이 공정 분위기를 금속이 아닌 것에, 즉 사용되는 플라즈마 활성화 반응성 가스에 대해 불활성인 재료에 접경시키는 것이 훨씬 바람직하다. 그러나, 그러한 처리가 마치 에피택셜 층의 침착인 것처럼 그 세정 단계에서도 부품의 세정된 표면이 열화되지 않은 채로 다음의 처리에 도달될 수 있도록 아울러 보장되어야 한다. 그 때문에, 부품의 플라즈마 세정 단계에서도 부품의 표면에서의 이온 에너지가 특정되도록 하는 전술된 저 에너지 플라즈마를 사용한다.That is, for the treatment steps (a), (b), and (c) described above, it is much more likely to border the process atmosphere with a non-metal material, i.e., a material that is inert to the plasma activated reactive gas used, as just described below. desirable. However, it must also be ensured that such a treatment can reach the next treatment without degrading the cleaned surface of the part even in that cleaning step as if it is the deposition of an epitaxial layer. For this reason, the above-described low energy plasma is also used so that the ion energy at the surface of the part is specified even in the plasma cleaning step of the part.

또한, 청구항 6의 취지에 따라 대상 공정실 중에 차례대로, 즉 순차적 시간 순서로 들어오는 부품을 전술된 플라즈마 촉진 처리 단계 중의 하나 이상으로 처리하고, 미리 정해진 수의 그러한 처리 단계를 행한 후에 부품을 공정실 중에 도입하지 않거나 기판 모형(더미; dummy)을 사용하여 전술된 대상 공정실 중에서 추가의 플라즈마 촉진 처리 단계, 즉 공정실 세정 단계를 행한다. 그러한 공정실 세정 단계는 2개 이상의 부분 단계로 행해지는 것이 바람직하다: 먼저, 식각을 행하고, 이어서 식각 잔류물을 세정하는데, 후자는 수소, 불활성 가스, 또는 그 혼합물을 함유한 플라즈마 중에서 행해지는 것이 바람직하다.Further, according to the spirit of claim 6, the components which are sequentially entered in the target process chamber, that is, in the sequential time order, are treated with one or more of the above-described plasma promoting processing steps, and the parts are processed after performing a predetermined number of such processing steps. An additional plasma acceleration treatment step, i.e., a process chamber cleaning step, is performed in the above-described target process chamber using no introduction or using a dummy. Such a process cleaning step is preferably carried out in two or more partial steps: firstly etching and then cleaning the etching residue, the latter being carried out in a plasma containing hydrogen, an inert gas, or mixtures thereof. desirable.

본 발명에 따라 설정된 목적에 비추어, 특히 긴 내구 수명을 구현한다는 견지에서, 미리 주어진 수의 처리 단계를 진행한 후에 대상 공정실을 수 차례 플라즈마 촉진 세정한다. 그 경우, 청구항 3의 취지에 따라 그러하든 경우에 따라서는 청구항 4에 따라 그러하든, 공정실 중에서 부품을 처리 단계 (a), (b), 또는 (c)에 따라 처리하거나 세정하는 것이 통상적이다. 그러나, 단일의 대상 공정실 중에서 프로그램화될 수 있는 순서로 순차적으로 코팅, 식각, 또는 재료 조성 변경을 행하거나, 이어서 청구항 3에 따라 부품의 세정을 행하는 경우도 물론 있을 수 있다.In view of the objectives set forth in accordance with the invention, in particular in terms of achieving a long service life, the process chamber is subjected to plasma accelerated cleaning several times after a given number of treatment steps. In that case, it is customary to process or clean the component in the process chamber in accordance with the processing step (a), (b) or (c), whether in accordance with the intent of claim 3 or in some cases in accordance with claim 4. . However, there may of course be a case where coating, etching or material composition change are sequentially performed in an order that can be programmed in a single target process chamber, or the parts are subsequently cleaned according to claim 3.

공정 분위기를 진공 용기 벽으로부터 격리시키는 본 발명에 따른 조치에 의해, 진공실 벽에 노출시켜서는 안 되는 반응성 가스를 사용하여 공정실 또는 부품까지 플라즈마 화학 세정하는 것이 가능하게 된다. 대상 공정실이 미리 주어진 또는 미리 주어질 수 있는 수의 부품 처리 단계 후에 플라즈마 촉진 자정(self-cleaning)될 수 있고, 이어서 바로 부품 처리에 다시 가용될 수 있다는 사실로 인해, 연속 작업에 대한 내구 수명이 현격히 상승되게 된다. 그것은 예컨대 공정실을 WO98/58099에 따라 세정하는 경우에 필적하는 것이다.The measure according to the invention which isolates the process atmosphere from the vacuum vessel wall makes it possible to plasma chemically clean the process chamber or parts using a reactive gas which should not be exposed to the vacuum chamber wall. Due to the fact that the process chamber can be plasma-promoted self-cleaning after a number of parts processing steps given or given in advance, it can then be immediately available for part processing again, resulting in a durable service life for continuous operation. It will rise significantly. It is comparable, for example, for cleaning process chambers in accordance with WO98 / 58099.

따라서, 지금까지의 설명을 요약한다면, 본 발명에 따른 제조 방법에 의해 에피택시에 요구되는 품질 요건의 관점에서 습식 화학 세정 단계를 피하면서 부품의 코팅, 재료 조성 변경, 부품의 패터닝 식각, 또는 부품의 세정을 행할 수 있고, 그러한 처리 단계 사이에 단지 공정 파라미터, 특히 유입된 반응성 가스를 변경하기만 하면 공정실의 자정이 이뤄질 수 있다는 것이다. 공정 분위기와 진공 용기의 격리를 변경하거나 생략함으로써, 동일한 방법을 본 발명에 따른 부품의 제조 과정 중에 부품을 세정하기 위해서도 행할 수 있게 된다.Thus, to summarize the description so far, the coating of the part, the material composition change, the patterning etching of the part, or the part, while avoiding the wet chemical cleaning step in terms of the quality requirements required for epitaxy by the manufacturing method according to the invention Cleaning of the process chamber, and the midnight of the process chamber can be achieved simply by changing the process parameters, in particular the incoming reactive gas, between such treatment steps. By changing or omitting the isolation of the process atmosphere and the vacuum vessel, the same method can be carried out to clean the part during the manufacturing process of the part according to the present invention.

청구항 7의 취지에 따르면, 부품을 전술된 2개 이상의 플라즈마 촉진 처리 단계에 의해 장소상으로 별도 처리하고, 그 사이의 이송을 진공 중에서 행하는 것이 바람직하다. 청구항 8의 취지에 따르면, 그것은 선형 설비의 관점에서 처리 단계로부터 처리 단계로의 선형 이동으로 이뤄지거나, "클러스터(cluster) 설비"라는 표현으로 공지된 원형 설비의 관점에서 원형 경로를 따라 이뤄지게 된다. 그러한 클러스터 설비에서는 원형 이송에 의해 부품 또는 피가공재가 원형 이송 경로의 둘레에 모여 배열된 처리 스테이션에 프로그램화되어, 필요에 따라서는 자유롭게 프로그램화될 수 있게 제공된다.According to the aspect of claim 7, it is preferable that the parts are separately processed on the site by the two or more plasma acceleration treatment steps described above, and the transfer therebetween is performed in a vacuum. According to the intent of claim 8 it is a linear movement from the processing stage to the processing stage in terms of a linear installation, or along a circular path in terms of a circular installation known as the expression "cluster installation". In such cluster installations, by means of circular transfer, parts or workpieces are programmed in a processing station arranged around the circular transfer path and arranged so that they can be freely programmed as necessary.

본 발명에 따른 방법의 매우 바람직한 실시 양태(청구항 9)에서는 공정 분위기와 진공 용기 벽 표면 사이의 격리를 새 것의 상태에서 플라즈마 활성화 반응성 가스 또는 가스 혼합물에 대해 불활성인 표면, 바람직하게는 유전체 표면 또는 흑연 표면에 공정실을 접경시킴으로써 행한다.In a very preferred embodiment of the method according to the invention (claim 9), the isolation between the process atmosphere and the surface of the vacuum vessel wall is inert to the plasma activated reactive gas or gas mixture in the state of fresh, preferably the dielectric surface or graphite. It is performed by bordering a process chamber on the surface.

작업 동안, 즉 구체적으로 코팅 (a), 재료 조성 변경 (b), 또는 식각 (c), 특히 패터닝 식각 동안 또는 세정 동안에도 그 표면 상에는 여하간 재료가 침전되게 된다. 그러나, 그러한 재료는 공정을 오염시키지 않거나 단지 허용될 수 있을 정도로만 오염시킬 뿐이다. 동일한 대상 공정실 중에 연속적으로 들어오는 부품에 대해 동일한 처리 단계를 행하는 바로 그러한 경우에는 전술된 바와 같이 새 것의 상태에서 불활성인 격리 표면, 바람직하게는 유전체 또는 흑연 격리 표면에 전술된 반응 생성물 재료를 코팅하되, 다만 결과적으로 생긴 코팅이 전술된 표면에 확실하게 부착될 정도로만 코팅하는 것이 매우 바람직하다.During operation, ie specifically during coating (a), material composition change (b), or etching (c), in particular during patterning etching or during cleaning, any material is allowed to precipitate on the surface. However, such materials do not contaminate the process or only contaminate to an acceptable level. In such cases where the same processing steps are carried out on successive incoming parts in the same target process chamber, the reaction product material described above is coated on an isolation surface, preferably a dielectric or graphite isolation surface, which is inert in the state of new as described above. However, it is highly desirable to coat only to the extent that the resulting coating is reliably attached to the surface described above.

필요한 불활성 표면, 바람직하게는 유전체 표면을 마련하는 것은 그러한 재료를 코팅한다는 관점에서 그러하든 그러한 표면이 안쪽으로 향한 자기 지지성 벽 부분을 진공 용기 내벽 상에 직접 조립하는 것에 의해 그러하든, 불활성 표면, 바람직하게는 유전체 표면을 형성하는 구조물이 진공 용기의 내면 상에 직접 부착되도록 이뤄질 수 있다.Providing the necessary inert surface, preferably the dielectric surface, is in terms of coating such material, whether by indirectly assembling the self-supporting wall portion facing inward on the inner wall of the vacuum vessel, Preferably the structure forming the dielectric surface may be adapted to attach directly on the inner surface of the vacuum vessel.

그러나, 매우 바람직한 실시 양태에서는 청구항 10에 따라 불활성 표면을 적어도 진공 용기의 내벽의 면 섹션 대부분을 따라 개재 공간을 둔 채로 이격시키는 것이 바람직하다. 그러한 조치는 격리 벽 구조물의 교환이 가능하다는 점에서, 점검 수리가 편리하다는 점에서도, 그리고 표면 온도를 의도된 대로 미리 설정한다는 점에서 각별한 장점을 제공한다.In a very preferred embodiment, however, it is preferred according to claim 10 to space the inert surface with intervening space at least along most of the face sections of the inner wall of the vacuum vessel. Such measures offer particular advantages in that the replacement of the isolation wall structure is possible, the convenience of inspection and repair, and the preset surface temperature as intended.

청구항 11의 취지에 따르면, 공정실과 전술된 개재 공간을 동일하거나 상이하게 펌핑할 수 있다. 그럼으로써, 무엇보다도 필요에 따라 진공 용기 벽과 전술된 표면 사이에 원하는 열 전도율을 부여하는 분위기를 개재 공간 중에서 구현하는 것이 가능하게 된다. 그 경우, 예컨대 헬륨과 같은 열 전도성이 높은 가스를 개재 공간 중에 유입하면 및/또는 공정실 중에서보다 더 높은 압력을 적어도 일시적으로 그러한 개재 공간 중에서 구현하면, 그 개개 공간 중에서의 열 전도가 공정실 중에서의 그것에 비해 높아져서 표면을 원하는 온도로 유지시키는 것이 가능할 수 있게 된다. 여기에서, 일정한 진공 압력 미만에서의 열 전도는 압력에 따라 감소되고, 함유된 해당 가스의 열 전도성에 의존하여 달라지는 것이 당연함을 지적하고자 한다.According to the aspect of claim 11, the process chamber and the above-described intervening space can be pumped the same or differently. This makes it possible, among other things, to create an atmosphere in the intervening space which imparts the desired thermal conductivity between the vacuum vessel wall and the surface described above, if necessary. In that case, if a high thermal conductivity gas such as helium is introduced into the intervening space and / or a higher pressure is at least temporarily realized in such intervening space than in the process chamber, then the heat conduction in that individual space is Higher than that of so that it may be possible to maintain the surface at the desired temperature. Here, it is to be pointed out that the thermal conduction below a certain vacuum pressure is reduced with pressure and depends on the thermal conductivity of the gas in question.

새 것 상태의 표면에 바람직한 재료는 청구항 12에 특정되어 있다. 그와 관련하여, 불활성 재료, 바람직하게는 유전체 재료로 이뤄진 표면이라고 할 경우, 그것은 주로 공정실과 대면된 표면의 표면 재료만을 전적으로 일컫는 것임을 강조하고자 한다. 그 경우, 그러한 표면은 격리 벽의 표면에 의해 형성되는 것이 바람직하다. 또한, 격리 벽은 코팅될 수 있다. 즉, 예컨대 공정실 또는 공정 분위기와 대면된 불활성 표면을 동반한 채로 진공 용기 쪽을 향해 금속으로 형성될 수 있다. 즉, 그러한 관점에서, 청구항 12의 취지에 따라 다이아몬드상 재료 또는 다이아몬드의 사용까지 가능하게 하는 층 구조물에 의해 표면을 형성할 수 있게 된다.Preferred materials for the surface in the fresh state are specified in claim 12. In that regard, it is emphasized that when referring to a surface consisting of an inert material, preferably a dielectric material, it refers exclusively solely to the surface material of the surface facing the process chamber. In that case, such a surface is preferably formed by the surface of the isolation wall. In addition, the isolation walls may be coated. That is, for example, it may be formed of metal toward the vacuum vessel with an inert surface facing the process chamber or process atmosphere. In other words, from that point of view, the surface can be formed by a layer structure which enables the use of diamond-like material or diamond according to the spirit of claim 12.

플라즈마 화학 방법에서는 기본적으로 온도(및 공급되는 플라즈마 강도)가 증가됨에 따라 코팅 속도도 증가되는 것으로 알려져 있다. 전술된 바와 같이, 공정실과 대면된 표면을 각각의 공정에 상응하는 플라즈마 활성화 반응 가스의 반응 생성물로 코팅하는 것이 매우 바람직할 수 있다. 하지만, 그 경우에는 그러한 코팅의 어떠한 박리도 회피하는데 상당한 주의를 기울여야 한다. 그러한 인식은 플라즈마 촉진 처리 단계를 행하는 동안 전술된 표면의 온도를 제어함으로써 전술된 표면의 코팅 속도를 최소화시킬 수 있다는 취지로 바뀔 수 있다. 그에 의해, 예컨대 그러한 불순물의 코팅 속도를 부품에서의 작용 속도보다 현저히 더 낮게 선택하여 상대적으로 많은 수의 부품 처리가 이뤄진 후에야 비로소 공정실이 자정되도록 할 가능성이 주어지게 된다. 그 경우, 코팅의 두께가 예컨대 박리와 관련하여 임계치에 도달되기 전에 코팅을 제거한다.It is known in the plasma chemistry method that the coating speed also increases as the temperature (and the plasma intensity supplied) increases. As described above, it may be highly desirable to coat the surface facing the process chamber with the reaction product of the plasma activated reaction gas corresponding to each process. In that case, however, great care must be taken to avoid any delamination of such coatings. Such recognition may be changed to the purpose that the coating speed of the aforementioned surface can be minimized by controlling the temperature of the aforementioned surface during the plasma promoting treatment step. Thereby, for example, it is possible to choose a coating rate of such impurities significantly lower than the rate of action on the part so that the process chamber is only self-cleaning after a relatively large number of parts have been processed. In that case, the coating is removed before the thickness of the coating reaches a threshold, for example in connection with peeling.

여기에서, 부품에서의 작용 속도란 처리 여하에 따라 코팅 속도, 침투 속도, 식각 속도, 세정 속도를 의미한다.Here, the rate of action in the part means the coating rate, penetration rate, etching rate, cleaning rate, depending on the treatment.

본 발명에 따라 해결하려는 목적의 관점에서, 방법 또는 시스템의 자동화 정도에도 관심을 두어야 한다. 그러한 견지에서, 청구항 13의 취지에 따라 전술된 표면에 부품용 공급 개구부를 마련하고, 부품의 처리를 위해 적재 지지대가 공정실로부터 빠져나가는 것이 저지될 정도로 그 공급 개구부를 부품 및/또는 부품 지지대에 의해 폐쇄하도록 조치한다.In view of the object of the present invention, attention should also be paid to the degree of automation of the method or system. In view of this, in accordance with the purport of claim 13, a supply opening for a component is provided in the above-described surface, and the supply opening is inserted into the component and / or the component support such that the loading support is prevented from exiting the process chamber for processing of the component. Measure to close by.

또 다른 바람직한 실시 양태에서는 청구항 14에 따라 저 에너지 플라즈마 방전을 전자 에너지가 ≤ 100 eV, 바람직하게는 ≤ 50 eV인 전자 원을 사용하여, 특히 바람직하게는 DC 방전에 의해 구현하는데, 그 중에서도 특히 청구항 15에 따라 열이온 음극(thermionic cathode)에 의해, 바람직하게는 직가열식 열이온 음극 (direct heated thermionic cathode)에 의해 구현하는 것이 좋다. 또한, 처리되는 부품의 표면을 플라즈마에 직접 노출시키는 것이 훨씬 바람직하다.In a further preferred embodiment a low energy plasma discharge according to claim 14 is implemented using an electron source having an electron energy of ≤ 100 eV, preferably of ≤ 50 eV, particularly preferably by DC discharge, in particular of the claims According to 15 it is preferred to implement by means of a thermoionic cathode, preferably by a direct heated thermionic cathode. In addition, it is even more desirable to directly expose the surface of the component being treated to plasma.

청구항 16에 따르면, 플라즈마 방전을 위해 공정실 중에 장소상으로 떨어진 2개 이상의 양극을 마련하는 것이 또한 바람직하다. 그러한 양극은 별개로 각각 가열될 수 있는 것이 바람직하다. 그 양극에 인가되는 전위 및/또는 그 온도를 제어함으로써, 공정실 중의 플라즈마 밀도 분포를 동적으로 및/또는 정적으로 세팅하거나 제어할 수 있게 된다. 정적 세팅이란 일단 세팅되고 나서 적어도 처리 단계 동안 정적으로 그대로 두는 세팅을 의미한다. 동적 세팅이란 처리 단계 동안 스위핑(sweeping)의 관점에서 그러하든 주기적으로 또는 미리 주어진 곡선 형태에 상응하게 비주기적으로 진동되게 그러하든 임의의 선형 또는 비선형 경사 함수(ramp function)의 형태로 그러하든, 처리 단계 동안 그 당시의 전술된 파라미터 중의 하나 이상을 변경하는 것을 의미한다. 특히, 후자에 언급된 조치에서는 처리 단계 동안 공정실 중에서 변동되는 상황을 감안하여 그것을 보상 억제하거나, 부품 표면에서의 플라즈마 밀도들 원하는 대로 시간에 따라 변경하는 것이 가능하게 된다.According to claim 16, it is also desirable to provide two or more anodes that have fallen on site in the process chamber for plasma discharge. It is preferred that such anodes can be heated separately, respectively. By controlling the potential applied to the anode and / or its temperature, the plasma density distribution in the process chamber can be set and controlled dynamically and / or statically. By static setting is meant a setting that is set once and left static for at least the processing step. Dynamic setting means processing in the form of any linear or nonlinear ramp function, whether in terms of sweeping during the processing step, or periodically or oscillating aperiodically in correspondence with a given curve shape. It means changing one or more of the above-described parameters at that time during the step. In particular, the measures mentioned in the latter make it possible to suppress the compensation or to change the plasma densities at the surface of the part as desired, taking into account the fluctuations in the process chamber during the treatment step.

또한, 청구항 17의 취지에 따르면, 바로 직전에 언급된 파라미터인 양극 전위 및/또는 양극 온도와 같은 맥락에서 부품 표면에서의 플라즈마 밀도 분포를 정적으로 또는 동적으로 세팅하거나 제어하는 자계를 공정실 중에 생성하는 것이 바람직하다. 그러한 자계를 시간에 따라 제어되게 변경함으로써, 부품 표면을 따른플라즈마 밀도 분포를 변경할 수 있고, 특히 마치 부품이 정적으로 분포된 플라즈마 속으로 주기적으로 이동되는 것처럼 변경할 수 있게 된다. 그와 같이 자계를 스위핑하여 정적으로 유지되는 부품의 표면을 따른 플라즈마 분포를 진동되게 변경함으로써, 마치 부품이 진동 또는 회전 이동되는 것과 같으면서도 특히 진공 기술적으로 유리하게 부품의 이동을 동반하지 않는 효과를 얻게 된다.Further, according to the intent of claim 17, a magnetic field is generated in the process chamber that statically or dynamically sets or controls the plasma density distribution at the part surface in the context of the just mentioned parameters, anode potential and / or anode temperature. It is desirable to. By changing such magnetic field to be controlled over time, it is possible to change the plasma density distribution along the part surface, especially as if the part is periodically moved into a statically distributed plasma. By sweeping the magnetic field in such a way that the plasma distribution along the surface of the statically maintained component is vibrated, it is as if the component is vibrating or rotationally moving, but not particularly advantageously in vacuum technology. You get

청구항 18에 따라 반응성 가스를 분배시켜 공정 분위기 중에 유입하되, 그 경우에 바람직하게는 부품 표면과 대략 평행한 유입 방향으로, 그리고 더욱 바람직하게는 부품 표면으로부터 등 간격을 둔 주입 점으로써 유입함으로써, 부품의 표면을 플라즈마 활성화 반응성 가스에 최적으로 노출시키고, 역의 효율, 즉 단위 시간당 유입되는 생 반응성 가스를 단위 시간당 펌핑 배출되는 여전히 생 가스 상태의 반응성 가스로 나눈 몫이라는 관점에서 유입 반응성 가스를 최적으로 활용하게 된다.The reactive gas is dispensed according to claim 18 and introduced into the process atmosphere, in which case the component is preferably introduced in an inflow direction approximately parallel to the surface of the component and more preferably as an evenly spaced injection point from the surface of the component. Optimally expose the surface of to the plasma activated reactive gas and optimize the incoming reactive gas in terms of the reverse efficiency, ie, the share of the bioreactive gas introduced per unit time divided by the reactive gas still in the live gas phase pumped out per unit time. Will be utilized.

에피팩셜 층의 침착에 요구되는 품질로 전술된 처리 단계, 특히 단계 (a), (b), (c), 또는 청구항 3에 따른 부품의 세정에 의한 효과를 거두기 위해, 앞에서 정의된 바와 같은 잔류 가스 분압을 청구항 10에 따라 10-8mbar 이하, 바람직하게는 10-9mbar 이하로 유지시킨다.Residues as defined above, in order to have the effect of the above-mentioned processing steps, in particular steps (a), (b), (c), or cleaning of the part according to claim 3, with the quality required for the deposition of the epitaxial layer. The gas partial pressure is maintained at 10 −8 mbar or less, preferably 10 −9 mbar or less according to claim 10.

본 발명에 따른 방법에서의 전술된 하나 이상의 플라즈마 촉진 처리 단계는 바람직한 제1 실시 양태에서는 호모 에피택셜 층 또는 헤테로 에피택셜 층을 침착하는 것이다. 청구항 21에 따라 그러한 층을 실리콘/게르마늄 층으로서 침착하는것이 더욱 바람직하다.The at least one plasma promoting treatment step described above in the method according to the invention is to deposit a homo epitaxial layer or a hetero epitaxial layer in a first preferred embodiment. It is more preferred to deposit such a layer according to claim 21 as a silicon / germanium layer.

또한, 청구항 22에 따라 부품으로서 대략 디스크형의 부품을 제조한다.Furthermore, according to claim 22, a substantially disc-shaped part is produced as a part.

청구항 23의 취지에 따르면, 또 다른 바람직한 실시 양태에서는 처리되는 부품이 실리콘웨이퍼이거나 비화갈륨, 인화인듐, 탄화실리콘, 또는 유리인 것이 바람직한 화합물 반도체로 이뤄진 웨이퍼이다. 청구항 24는 본 발명에 따른 제조 방법에서 바람직하게 침착되는 층 재료를 특정하고 있다.According to the aspect of claim 23, in another preferred embodiment, the component to be treated is a wafer made of a compound semiconductor, preferably of silicon wafer or gallium arsenide, indium phosphide, silicon carbide, or glass. Claim 24 specifies a layer material which is preferably deposited in the production process according to the invention.

본 발명에 따른 제조 방법의 매우 중요한 실시 양태에서는 청구항 25에 따라 실리콘/게르마늄을 함유하는 것이 바람직한 전술된 유형의 가상 기판을 제조한다.In a very important embodiment of the manufacturing method according to the invention, a virtual substrate of the above-described type is preferred which contains silicon / germanium according to claim 25.

본 발명에 따른 제조 방법의 또 다른 실시 양태에서는 청구항 26에 따라 직경이 150 ㎜ 이상, 바람직하게는 200 ㎜ 이상, 더욱 바람직하게는 300 ㎜ 이상인 부품, 특히 대략 평면형 또는 디스크형의 부품을 제조한다.In a further embodiment of the manufacturing method according to the invention, according to claim 26 a part having a diameter of at least 150 mm, preferably at least 200 mm, more preferably at least 300 mm, in particular a part which is generally planar or disc shaped is produced.

본 발명에 따른 제조 방법의 또 다른 바람직한 실시 양태에서는 청구항 27에 따라 부품을 60 ㎚/Min.의 코팅 속도로 코팅한다.In another preferred embodiment of the production method according to the invention the part is coated according to claim 27 at a coating rate of 60 nm / Min.

가상 기판, 그 중에서도 특히 실리콘/게르마늄계 가상 기판과 관련하여, 완성된 가상 기판의 표면을 후속 처리 단계를 위해 세정하려고 그러하든 이미 에피택셜 코팅된 기판을 가상 기판의 추가적인 제공을 위해 세정하려고 그러하든 버퍼 층의 성장 전에 베이스를 에피택셜 성장에 적합하게 세정하려고 그러하든, 습식 화학 세정 방법을 사용하는 것이 현재의 통례이다. 이제, 본 발명의 범위에서는 전술된 저 에너지 플라즈마를 플라즈마 촉진 세정 단계에 사용함으로써, 후속적인 가상 기판의 제조 또는 가상 기판으로부터 출발된 부품의 제조가 아무런 지장이 없이 가능하도록 세정이 구현됨을 확인하였다. 그럼으로써, 즉 습식 화학 세정 방법을 플라즈마 촉진 세정 방법으로 바꿈으로써, 한편으로는 기본적으로 탁월한 장점이 제공되고, 아울러 그러한 확인에 의해 그러한 플라즈마 세정을 가상 기판의 제조 방법 또는 그에 기초한 부품의 제조 방법에 통합시킬 수 있게 된다. 그에 의해, 청구항 28의 취지에 따라 플라즈마에 의해 촉진되는 하나 이상의 세정 단계를 포함하고, 피가공재를 공정실 중에 유입된 반응성 가스 또는 가스 혼합물에 노출시키는 가상 기판, 바람직하게는 실리콘/게르마늄계 가상 기판의 제조 방법 또는 가상 기판에 기초한 부품의 제조 방법이 제공된다. 그러한 반응성 가스 또는 가스 혼합물은 부품 표면에서의 이온 에너지가 15 eV 이하로 되도록 하는 저 에너지 플라즈마 방전에 의해 활성화된다.With regard to virtual substrates, especially silicon / germanium based virtual substrates, whether to clean the surface of the finished virtual substrate for subsequent processing steps or to clean an already epitaxially coated substrate for further provision of the virtual substrate. Whether to clean the base for epitaxial growth prior to the growth of the buffer layer, it is current practice to use a wet chemical cleaning method. Now, in the scope of the present invention, it has been confirmed that by using the above-described low energy plasma in the plasma accelerated cleaning step, the cleaning is implemented so that subsequent production of the virtual substrate or production of the parts starting from the virtual substrate is possible without any problems. Thereby, namely, by changing the wet chemical cleaning method to the plasma accelerated cleaning method, on the one hand, an excellent advantage is provided on the one hand, and by such confirmation, such plasma cleaning is applied to the manufacturing method of the virtual substrate or the manufacturing method of the component based thereon. It can be integrated. Thereby, a virtual substrate, preferably a silicon / germanium-based virtual substrate, comprising at least one cleaning step facilitated by a plasma in accordance with the teachings of claim 28 and exposing the workpiece to a reactive gas or gas mixture introduced into the process chamber. A method for manufacturing a component or a method for manufacturing a component based on a virtual substrate is provided. Such reactive gases or gas mixtures are activated by low energy plasma discharges such that ionic energy at the surface of the part is 15 eV or less.

고도로 복잡한 표면과 관련하여, 그러한 건식 세정 방법에 의해 본 발명자가 얻은 놀라운 성과는 규정된 바와 같은 저 에너지 플라즈마를 사용한 것으로부터 나온 것이다.With respect to highly complex surfaces, the surprising achievements of the present inventors by such dry cleaning methods result from the use of low energy plasmas as defined.

청구항 29 및 청구항 30에는 특히 전술된 특징에 따른 방법을 행하는데 적합한 본 발명에 따른 진공 처리 시스템이 특정되어 있다: 청구항 29에 따르면, 공정실 내벽 표면이 새 것의 상태에서 플라즈마 활성화 반응성 가스 또는 가스 혼합물에 불활성인 재료, 바람직하게는 유전체 재료로 이뤄지고, 청구항 30의 취지에 따르면, 공정실을 포함하는 공정 챔버가 진공실 벽으로부터 안쪽으로 떨어진 채로, 즉 이격된 채로 형성된다. 이어서, 본 발명에 따른 진공 처리 시스템의 바람직한 실시 양태가 청구항 42 내지 60에 특정되어 있다.Claims 29 and 30 specify, in particular, a vacuum treatment system according to the invention, which is suitable for carrying out the method according to the above-mentioned features: According to claim 29, a plasma activated reactive gas or gas mixture with the process chamber inner wall surface fresh The material consists of a material which is inert to the material, preferably a dielectric material, and according to the spirit of claim 30, the process chamber comprising the process chamber is formed inwardly spaced apart from the wall of the vacuum chamber. Subsequently, preferred embodiments of the vacuum processing system according to the invention are specified in claims 42-60.

도 1에는 본 발명에 따른 공정 모듈 유형 Ⅰ이 개략적으로 도시되어 있다. 진공 용기(3)의 챔버 벽(1)은 플라즈마가 발생되는 공정실(PR)을 에워싼다. 공정실(PR) 중에는 기판 지지대(5)가 마련되고, 공급 라인(7)은 한쪽으로 공정실(PR)과, 그리고 다른 한쪽으로 반응성 가스 탱크 설비(9)와 각각 연통된다. 공정실(PR)은 진공 펌프(13)로써 개략적으로 도시된 바와 같은 펌핑 접속 라인(11)을 경유하여 본 발명에 따른 제조 방법을 행하는데 필요한 10-8mbar 이하, 바람직하게는 10-9mbar 이하의 압력으로 진공 펌핑된다. 그러한 용기의 구조는 UHV 조건(예컨대, 가열될 수 있는 밀폐된 금속제 진공 용기)을 충족시킨다. 통상적으로 스테인리스 강 또는 이녹스(Inox)로 이뤄지는, 공정실(PR)과 대면된 챔버 벽(1) 표면의 거의 대부분의 표면 구역은 탱크(9)에 있는 플라즈마 활성화 반응성 가스에 불활성인 재료로 제조된다. 도 1에 도시된 공정 모듈 유형 Ⅰ의 실시예에 따르면, 그를 위해 챔버 벽(1)이 안쪽에서 전술된 불활성 재료로 코팅되거나, 적어도 내측 표면이 전술된 불활성 재료로 이뤄진 벽 부분이 챔버 벽(1)의 안쪽에 조립된다.그러한 코팅 또는 그러한 불활성 재료 표면은 도 1에 도면 부호 "15"로 지시되어 있다. 공정실(PR)을 전술된 필요한 잔류 가스 분압으로 진공 펌핑한 후에는 공정실(PR) 중에서 예컨대 아르곤과 같은 작업 가스의 유입 하에 본 발명에 따라 요구되는 저 에너지 플라즈마를 발생시키는데, 그러한 저 에너지 플라즈마는 기판 지지대(5)의 구역 또는 그 위에 놓여진 부품에서 결과적으로1 schematically shows a process module type I according to the invention. The chamber wall 1 of the vacuum vessel 3 surrounds the process chamber PR in which plasma is generated. The substrate support 5 is provided in the process chamber PR, and the supply line 7 communicates with the process chamber PR to one side, and the reactive gas tank installation 9 to the other. The process chamber PR is not more than 10 -8 mbar, preferably 10 -9 mbar, required for carrying out the production process according to the invention via a pumping connection line 11 as schematically shown as a vacuum pump 13. It is vacuum pumped to the following pressures. The structure of such a container meets UHV conditions (eg a closed metal vacuum vessel that can be heated). Almost all of the surface area of the chamber wall 1 surface facing the process chamber PR, typically made of stainless steel or Inox, is made of a material that is inert to the plasma activated reactive gas in the tank 9. . According to the embodiment of the process module type I shown in FIG. 1, the chamber wall 1 is hereby coated with the above-mentioned inert material, or at least the inner part of the wall portion of the above-mentioned inert material consists of the chamber wall 1 The coating or such inert material surface is indicated by reference numeral 15 in FIG. 1. The vacuum pumping of the process chamber PR to the required residual gas partial pressure described above generates the low energy plasma required according to the invention under the introduction of a working gas such as, for example, argon in the process chamber PR, such a low energy plasma. Consequently in the area of the substrate support 5 or

0 eV < E ≤ 15 eV0 eV <E ≤ 15 eV

의 이온 에너지 E를 발생시킨다. 공정실(PR)과 대면된 표면(15)의 재료로서는 유전체 재료, 그 중에서도 바람직하게는 다음의 군(G)에 예시된 재료 중의 하나 이상을 사용한다:Generates the ion energy E. As the material of the surface 15 facing the process chamber PR, at least one of the materials exemplified in the dielectric material, and preferably in the following group G is used:

석영, 흑연, 탄화실리콘, 질화실리콘, 산화알루미늄, 산화티타늄, 산화탄탈, 산화니오븀, 산화지르코늄, 다이아몬드상 탄소 또는 다이아몬드, 마지막의 표면 재료는 층 재료로서 사용된다.Quartz, graphite, silicon carbide, silicon nitride, aluminum oxide, titanium oxide, tantalum oxide, niobium oxide, zirconium oxide, diamond-like carbon or diamond, the last surface material is used as the layer material.

도 2에는 도 1의 본 발명에 따른 공정 모듈 유형 1의 바람직한 실시예가 도 1의 도면과 유사한 도면으로 역시 개략적으로 도시되어 있다. 도 2에서는 이미 도 1에서 설명된 부분에 대해 동일한 도면 부호가 사용되어 있다. 도 1에 따른 실시예와는 다르게, 도 2에 따른 실시예에서는 공정실(PR)이 역시 스테인리스 강 또는 이녹스로 이뤄진 챔버 벽(1)의 대부분의 섹션을 따라 이격된 공정실 벽(14)에 접경된다. 적어도 공정실(PR)과 대면된 공정실 벽(14)의 표면(15a)은 탱크 설비(9)에 있는 플라즈마 활성화 반응성 가스에 불활성인 재료, 바람직하게는 유전체 재료, 그 중에서도 특히 바람직하게는 전술된 재료 군(G) 중의 하나 이상으로 이뤄진다.In figure 2 a preferred embodiment of process module type 1 according to the invention of figure 1 is also schematically shown in a view similar to the figure of figure 1. In FIG. 2, the same reference numerals are used for the parts already described in FIG. 1. Unlike the embodiment according to FIG. 1, in the embodiment according to FIG. 2 the process chamber PR is connected to the process chamber wall 14 spaced along most of the sections of the chamber wall 1, also made of stainless steel or inox. Bordered. The surface 15a of the process chamber wall 14 at least facing the process chamber PR is a material which is inert to the plasma activated reactive gas in the tank plant 9, preferably a dielectric material, particularly preferably the above-mentioned. It consists of one or more of the group of materials (G).

그 경우, 벽(1)이 달린 진공 용기의 내부에서 공정실 케이싱을 형성하는 본래의 벽(14)은 표면(15a)을 형성하는 재료로 이뤄질 수 있거나, 표면(15a)을 형성하는 불활성 재료가 벽(1)과 대면된 지지 벽(도시를 생략) 상에 예컨대 적층되는 것과 같이 제작되는데, 후자의 경우는 공정실(PR)에 노출되지 않기 때문에 예컨대 스테인리스 강 또는 이녹스로 제작될 수 있다. 공정실(PR)은 펌핑 접속 라인(11) 또는 펌프(13)에 의해 도 1과 관련하여 설명된 잔류 가스 분압으로 진공 펌핑되는 반면에, 도 2에 도시된 바와 같이 진공 용기 벽(1)과 케이싱(14) 사이의 개재 공간(ZW)은 예컨대 별개의 펌핑 접속 라인(11a)을 경유하여 동일하거나 다른 진공 펌프에 의해 진공 펌핑된다.In that case, the original wall 14 forming the process casing inside the vacuum vessel with the wall 1 can be made of the material forming the surface 15a, or the inert material forming the surface 15a It is fabricated as, for example, laminated on a support wall (not shown) facing the wall 1, the latter being made of stainless steel or inox because it is not exposed to the process chamber PR. The process chamber PR is vacuum pumped by the pumping connection line 11 or the pump 13 to the residual gas partial pressure described in connection with FIG. 1, while the vacuum vessel wall 1 and The intervening space ZW between the casings 14 is vacuum pumped by the same or different vacuum pumps, for example via separate pumping connection lines 11a.

당업자라면, 양 공간, 즉 공정실(PR) 및 개재 공간(ZW)을 진공 펌핑하는데 동일한 펌프(13)를 사용할 경우에 제어될 수 있는 적절한 교축 기구가 배속 펌프 접속 라인(11 또는 11a)에 내장되기도 한다는 것을 바로 알 수 있을 것이다. 도 2에 따른 모듈에서 본 발명에 따른 방법을 행하는데 사용되는 저 에너지 플라즈마에 대해서는 도 1에 설명된 모듈과 관련하여 이미 수립된 가정이 적용된다. 도 2에 따른 실시예에서 마련되는, 벽(14)에 의해 형성된 공정실 케이싱은 교환이 가능하게 용기(3a)에 형성되는 것이 바람직하다.Those skilled in the art will appreciate that a suitable throttling mechanism, which can be controlled when using the same pump 13 to vacuum pump both spaces, namely the process chamber PR and the intervening space ZW, is incorporated in the double speed pump connection line 11 or 11a. You will see right away. For the low energy plasma used to carry out the method according to the invention in the module according to FIG. 2, the assumptions already made in relation to the module described in FIG. 1 apply. The process chamber casing formed by the wall 14, provided in the embodiment according to FIG. 2, is preferably formed in the container 3a so as to be exchangeable.

도 3에는 유형 Ⅱe의 공정 모듈이 도 1 및 도 2와 유사한 도면으로 도시되어 있는데, 그러한 유형의 공정 모듈은 도 2에 도시된 공정 모듈과 비교할 때에 공정실(PR)을 에워싸는 표면(15b)이 도 2에 따른 공정 모듈과 관련하여 설명된 불활성요건을 충족시키지 않고, 벽(14a)이 예컨대 벽(1)과 마찬가지로 스테인리스 강, 이녹스, 또는 기타의 금속으로 제조된다는 점에서만 단지 상이할 뿐이다. 잔류 가스 분압의 설정과 관련하여, 기판 지지대 구역에서의 이온 에너지는 도 1 및 도 2에 관해 설명한 값에 해당하고, 통상적으로 금속제인 벽(14a)은 역시 교환될 수 있어 도 3에 따른 공정 모듈 유형 Ⅱe를 도 2에 따른 공정 모듈 유형 Ⅰ로, 그리고 그 역으로 당장 개조할 수 있게 된다.3 shows a process module of type II e in a view similar to that of FIGS. 1 and 2, which type of process module surrounds the process chamber PR when compared to the process module shown in FIG. 2. It only differs in that the wall 14a is made of stainless steel, inox, or other metal, like for example the wall 1, without satisfying the inertness requirements described in connection with the process module according to FIG. 2. Regarding the setting of the residual gas partial pressure, the ion energy in the substrate support zone corresponds to the values described with respect to FIGS. 1 and 2, and the wall 14a, which is usually metallic, can also be exchanged so that the process module according to FIG. Type II e can be retrofitted immediately into process module type I according to FIG. 2 and vice versa.

본 발명에 따르면, 도 1 내지 도 3에 따른 공정 모듈의 구조는 그 공정 모듈에서 행해지는 공정과는 무관한 것이다.According to the invention, the structure of the process module according to FIGS. 1 to 3 is independent of the process carried out in the process module.

도 4에는 본 발명에 따른 것이 아닌 또 다른 공정 모듈 유형 Ⅱne가 역시 도 1 내지 도 3과 유사한 도면으로 도시되어 있다. 도 1 내지 도 3에 의거하여 설명된 공정 모듈과는 다르게, 그러한 유형 Ⅱne에서는 공정실이 예컨대 스테인리리스 강 또는 이녹스로 이뤄진 표면으로써 공정 챔버 벽(1)에 접경된다. 그러나, 그 구조에 있어 본 발명에 따르지 않는 그러한 공정 모듈을 본 발명에 따라 사용할 경우, 즉 그 공정 모듈에 의해 본 발명에 따른 방법을 행하거나 그러한 모듈을 본 발명에 따른 방법의 범위에 사용할 경우, 잔류 가스 분압 및 플라즈마의 설정에 있어서는 이미 유형 Ⅰ및 유형 Ⅱ에 대해 설명된 내용이 그대로 적용된다.In Fig. 4 another process module type II ne which is not according to the invention is also shown in a view similar to Figs. Unlike the process module described with reference to FIGS. 1 to 3, in such type II ne the process chamber is bound to the process chamber wall 1 as a surface made of stainless steel or inox, for example. However, when using such a process module in accordance with the present invention in its structure, i.e. performing the method according to the invention by the process module or using such a module in the scope of the method according to the invention, In the setting of the residual gas partial pressure and the plasma, the content already described for Types I and II is applied as it is.

모듈 유형 Ⅰ, 유형 Ⅱe, 유형 Ⅱne는 해당 공정실 케이싱(14, 15b)을 제거하거나 삽입함으로써 서로로 개조될 수 있는 것임을 당장 알 수 있다.It can be seen immediately that module types I, type II e and type II ne can be retrofitted with one another by removing or inserting the corresponding chamber casings 14 and 15b.

도 5에는 도 2에 따른 공정 모듈 유형 Ⅰ의 바람직한 실시예가 도시되어 있다. 그와 관련하여, 도 2에 따른 모듈로부터 출발하여 도 5에 따른 모듈에 부가적으로 또는 특정적으로 바람직하게 사용되는 기본적 모듈에서의 모든 조치는 개별적으로 또는 임의로 조합되어 사용될 수 있는 것임을 언급하고자 한다.5 shows a preferred embodiment of the process module type I according to FIG. 2. In that regard, it is to be mentioned that all measures in the basic module, starting from the module according to FIG. 2, additionally or specifically preferably used in addition to the module according to FIG. 5, can be used individually or in any combination. .

도 5에 도시된 바람직한 실시예에서의 공정 모듈 유형 Ⅰ은 도시된 바와 같이 모듈 유형 Ⅱe또는 모듈 유형 Ⅱne로 당장 개조될 수 있다. 스테인리스 강 또는 이녹스로 제조되는 것이 바람직한 도 5에 따른 공정 모듈의 용기 벽(10)은 공정실(PR) 중에 플라즈마 방전을 일으키는 전자 원(105)을 바람직하게는 그 상단 판(103)의 중심에 구비한다. 본 발명에 따라 기본적으로 요구되는 기판 지지대 구역에서의 이온 에너지의 범위 내에 있다면 예컨대 마이크로파 플라즈마와 같은 다른 플라즈마도 사용될 수 있기는 하지만, 바람직한 것은 전자 에너지가 100 eV 이하, 바람직하게는 50 eV 이하인 전자를 방출하는 전자 원(105)과 같은 전자 원을 사용하는 것이다. 그 경우, 바람직한 실시예에서는 플라즈마 방전이 DC 방전으로서 구현된다. 도 5에 따른 전자 원(105)은 열 이온 음극, 바람직하게는 직가열식 열 이온 음극(107)에 의해 형성되어 용기 벽(101, 103)으로부터 전기 절연된 음극실 벽을 구비한 음극실(109)에 내장되는 것이 바람직하다. 음극실은 방출구(111)를 경유하여 공정실(PR)과 연통된다. 특히, 열 이온 음극(107)을 공정실(PR) 중의 반응성 가스로부터 보호하고 높은 전자 방출을 가능하게 하기 위해, 예컨대 아르곤과 같은 작업 가스가 음극실(109) 중에 유입되는 것이 바람직하다(도시를 생략).Process module type I in the preferred embodiment shown in FIG. 5 can be retrofitted to module type II e or module type II ne as shown. The vessel wall 10 of the process module according to FIG. 5, preferably made of stainless steel or inox, preferably has an electron source 105 causing plasma discharge in the process chamber PR, preferably at the center of the top plate 103. Equipped. Other plasmas, such as, for example, microwave plasmas, may also be used provided they are within the range of ion energy in the substrate support zone which is basically required according to the invention, but preferably electrons having an electron energy of 100 eV or less, preferably 50 eV or less An electron source such as emitting electron source 105 is used. In that case, in the preferred embodiment, the plasma discharge is implemented as a DC discharge. The electron source 105 according to FIG. 5 is a cathode chamber 109 formed by a thermal ion cathode, preferably a direct heating thermal ion cathode 107 and having a cathode chamber wall electrically insulated from the container walls 101, 103. It is preferable to be built in). The cathode chamber is in communication with the process chamber PR via the discharge port 111. In particular, in order to protect the thermal ion cathode 107 from the reactive gas in the process chamber PR and to enable high electron emission, it is preferable that a working gas such as, for example, argon flow into the cathode chamber 109 (not shown). skip).

용기 벽(103, 101)으로부터 이격되어 그 개재 공간(ZW)을 둔 채로 고정되어공정실(PR)을 에워싸는 공정실 케이싱(113)은 도 2와 유사하게 교환될 수 있게 조립되는 것이 바람직하다. 여기에서는 케이싱(113) 내의 공정실(PR)은 물론 개재 공간(ZW)도 동일한 펌핑 접속 라인을 경유하여 펌핑되는데, 경우에 따라서는 상이한 펌핑 횡단면이 그 접속 라인(115)으로부터 한쪽으로는 개재 공간(ZW)으로, 다른 한편으로는 공정실(PR)로 각각 안내된다.The process chamber casing 113, which is spaced apart from the container walls 103 and 101 and fixed with the intervening space ZW, surrounding the process chamber PR, is preferably assembled to be interchangeable similarly to FIG. 2. Here, not only the process chamber PR in the casing 113 but also the intervening space ZW are pumped via the same pumping connection line, and in some cases, a different pumping cross section has an intervening space on one side from the connection line 115. ZW, on the other hand, is guided to the process chamber PR, respectively.

공정실(PR) 중에서는 양극 장치가 작용한다. 그러한 양극 장치는 도 5에 도시된 바와 같이 방출구 축선(A)에 동심상으로 배치된 2개 이상의 양극(117a 또는 117b)에 의해 형성되는 것이 바람직하다. 그 양극은 서로 별개로 접지 전위 또는 양극 전위로 유도될 수 있는데(도시를 생략), 그러한 전위는 서로 별개로 설정될 수 있는 것이 또한 바람직하다. 더욱 바람직한 것은 용기 벽(101, 103)에 참조 전위, 바람직하게는 접지 전위가 인가되는 것이다. 아울러, 방출구 축선(A)을 따라 떨어져 있는 양극(117a, 117b)은 서로 별개로 전기 구동될 수 있고, 바람직하게는 서로 별개로 가열되거나 냉각될 수도 있다(도시를 생략). 그것은 그 양극으로 온도 조절(tempering) 매체 라인이 안내되도록 함으로써 및/또는 그 양극에 가열 코일(heating spiral)이 내장되도록 함으로써 이뤄지게 된다.In the process chamber PR, a positive electrode device works. Such anode device is preferably formed by two or more anodes 117a or 117b disposed concentrically on the outlet axis A as shown in FIG. 5. The anodes may be induced at ground potential or anode potential separately from one another (not shown), and such potentials may also be set separately from each other. More preferably, a reference potential, preferably a ground potential, is applied to the container walls 101, 103. In addition, the anodes 117a and 117b, which are spaced along the outlet axis A, may be electrically driven separately from each other, and may preferably be heated or cooled separately from each other (not shown). This is done by directing a tempering medium line to the anode and / or by embedding a heating spiral in the anode.

도 5에는 바람직하게 사용되는 플라즈마 발생 장치에 의해 발생되는 플라즈마 빔(PL)이 일점 쇄선으로 도시되어 있는데, 그 곳에는 순전히 발견된 대로 그려진 플라즈마 밀도 분포(V)가 방출구 축선(A)과 동축상으로 함께 도시되어 있다. 양극(117a, 117b)을 적절하게 양극 전위로 구동하거나 그 양극을 제어되게 온도 조절함으로써, 플라즈마 밀도 분포(V)가 의도된 대로 설정될 수 있게 된다.In Fig. 5, the plasma beam PL generated by the plasma generator which is preferably used is shown by a dashed-dotted line, where the plasma density distribution V drawn purely as found is coaxial with the outlet axis A. Shown together as a phase. By appropriately driving the anodes 117a and 117b to the anode potential or controlling the temperature of the anode to be controlled, the plasma density distribution V can be set as intended.

공정실(PR) 중에는 웨이퍼 홀더(119)가 조립되거나, 이제 막 후술되는 바와 같이 그 웨이퍼 홀더(119)가 공정실(PR) 내로 제어되게 도입될 수 있다. 디스크형 피가공재(120)를 바람직하게 처리하기 위해, 지지 면(119a)을 형성하는 기판 홀더 (119)를 그 지지 면(119a)으로써 방출구 축선(A)과 평행하게, 그에 대해 경사지게, 또는 도 5에 따른 바와 같이 그에 대해 수직하지만 편심되게 마련하는 것이 물론 가능하기는 하지만, 웨이퍼 홀더(119)는 그 지지 면(119a)으로써 방출구(111)의 축선(A)과 동심상으로 배치되는 것이 단연 바람직하다. 웨이퍼 홀더(119)는 이중 화살표 "F"로 지시된 바와 같이 외부 구동 장치(121)에 의해 공정실 케이싱(113)을 통해 형성된 수납 개구부(123) 쪽으로 들어가거나 그로부터 되돌아 나올 수 있다. 웨이퍼 홀더(119)가 구동 장치(121)에 의해 공정실(PR) 쪽으로 완전히 상승 이동되면, 적어도 적재 지지대가 공정실(PR)로부터 빠져나오지 못하게 할 정도로 그 에지 부분(125)이 공정실 케이싱(113)의 열린 개구부(123)를 폐쇄한다.During the process chamber PR, the wafer holder 119 may be assembled, or the wafer holder 119 may be introduced to be controlled into the process chamber PR as will be described later. In order to preferably treat the disk-shaped workpiece 120, the substrate holder 119 forming the support surface 119a is parallel to, inclined with respect to the outlet axis A, by the support surface 119a, or Although it is of course possible to provide a vertical but eccentric with respect to it as shown in FIG. 5, the wafer holder 119 is disposed concentrically with the axis A of the discharge port 111 as its support surface 119a. It is by far preferred. The wafer holder 119 may enter or exit from the receiving opening 123 formed through the process casing 113 by the external drive device 121 as indicated by the double arrow "F". When the wafer holder 119 is fully moved upward by the drive device 121 toward the process chamber PR, the edge portion 125 of the wafer holder 119 is at least partially so that the loading support does not escape from the process chamber PR. The open opening 123 of 113 is closed.

바람직하게는 디스크형인 전술된 피가공재 또는 처리 대상 부품은 웨이퍼 또는 피가공재 지지대(119)가 하강되어 있는 동안 슬릿 밸브(129)를 통해 고정 수납 지지체(126) 상에 내려 놓여진다. 그런 연후에, 웨이퍼 홀더(119)가 상승되어 그 지지 면(19a)에 의해 피가공재 또는 웨이퍼(120)의 아래에 맞물리고, 그것을 고정 지지체(126)로부터 들어올려 공정실(PR) 중으로 상승 이동시키는 한편, 가공 위치에 도달되면 그 에지 면(125)으로써 공정실(PR)을 전술된 정도로 폐쇄하게 된다.The above-described workpiece or part to be processed, which is preferably disk-shaped, is laid down on the fixed receiving support 126 via the slit valve 129 while the wafer or workpiece support 119 is lowered. After that, the wafer holder 119 is lifted up and engaged by the support surface 19a under the workpiece or wafer 120, and lifted it from the fixed support 126 to move up into the process chamber PR. On the other hand, when the machining position is reached, the process chamber PR is closed with the edge surface 125 to the extent described above.

지지체(126)는 피가공재 온도 조절 장치(127)에 조립되고, 그 온도 조절 장치(127)에는 온도 조절 매체 공급 및 배출 라인(128)을 경유하여 온도 조절 매체가추진된다. 통상적으로, 도입된 기판(120)은 판(128a)에 의해 가열된다. 도 5에는 웨이퍼 홀더(119)가 일점 쇄선으로 그 가공 위치에 도시되어 있다.The support 126 is assembled to the workpiece temperature control device 127, and the temperature control medium is propagated through the temperature control medium supply and discharge lines 128. Typically, the introduced substrate 120 is heated by the plate 128a. In Fig. 5, the wafer holder 119 is shown in its processing position by a dashed-dotted line.

용기 벽(101) 및 그 단부 측 폐쇄 판(103 또는 131)은 온도 조절된다. 바람직하게는 냉각된다. 그를 위해, 케이싱을 형성하는 벽(101)이 그 사이에 온도 조절 시스템이 내장된 이중 벽으로서 형성된다. 단부 판(103 또는 131)에는 온도 조절 매체 라인 시스템도 역시 조립된다.The vessel wall 101 and its end side closure plates 103 or 131 are temperature controlled. Preferably it is cooled. For that purpose, the wall 101 forming the casing is formed as a double wall with a temperature control system embedded therebetween. The end plate 103 or 131 is also assembled with a temperature control medium line system.

진공 용기의 외부에는 헬름홀쯔(Helmholtz) 코일(133) 및 나눠진 편향 코일 (133)이 조립된다. 헬름홀쯔 코일(133)에 의해, 축선(A)과 대략 평행하고 그에 대해 대칭적인 자계 패턴이 공정실(PR) 중에 생성된다. 그러한 자계 패턴은 편향 코일(135)에 의해 도 6에 개략적으로 도시된 바와 같이 축선(A)에 수직한 평면에서 이동된다. 자계 강도 분포(HA)가 그와 같이 이동됨으로써, 기판 지지대(119)에 부착된 기판에서의 플라즈마 밀도 분포(V)가 변하게 된다. 그에 의해, 플라즈마 밀도 분포(V)와 기판 지지대(19) 상에 있는 처리 대상 피가공재 표면 사이의 상대 이동이 얻어지는데, 그것은 마치 시간에 따른 플라즈마 밀도 분포가 일정한 플라즈마에 대해 기판이 이동되는 것과 흡사하다. 그러한 자계 분포 제어에 의해, 기판의 기계적 이동이 없음에도 불구하고 마치 기판이 플라즈마에 대해 기계적으로 이동되는 것과 같은 효과가 기판에서 생기게 된다.Outside the vacuum vessel, a Helmholtz coil 133 and a divided deflection coil 133 are assembled. By the Helmholtz coil 133, a magnetic field pattern is generated in the process chamber PR that is substantially parallel to and symmetrical about the axis A. FIG. Such magnetic field pattern is moved by the deflection coil 135 in a plane perpendicular to the axis A, as schematically shown in FIG. 6. As the magnetic field intensity distribution H A is moved as such, the plasma density distribution V in the substrate attached to the substrate support 119 is changed. Thereby, a relative movement between the plasma density distribution V and the surface of the workpiece to be processed on the substrate support 19 is obtained, which is similar to the movement of the substrate with respect to a plasma with a constant plasma density distribution over time. Do. Such magnetic field distribution control results in an effect on the substrate as though the substrate is mechanically moved relative to the plasma despite the absence of mechanical movement of the substrate.

반응성 가스는 반응성 가스 유입 장치(137)를 경유하여 공정실(PR) 중에 유입된다. 도시된 바와 같이, 반응성 가스 유입 장치는 가공 위치에 놓인 기판(120)또는 기판 지지대(119)의 바로 인접 구역에 축선(A)과 동축상으로 배치되는 것이 바람직하고, 처리 대상 기판 표면과 대략 평행한 유입 개구부를 구비한다.The reactive gas is introduced into the process chamber PR via the reactive gas inlet device 137. As shown, the reactive gas inlet device is preferably disposed coaxially with the axis A in the immediate region of the substrate 120 or substrate support 119 placed in the machining position and is approximately parallel to the substrate surface to be treated. It has one inlet opening.

전술된 바와 같이, 바람직하게는 스테인리스 강으로 제작되는 진공 용기 (101, 103)는 집중 냉각된다. 그것은 UHV 조건을 충족시키는 것이다. 그 경우, 그러한 집중 냉각은 공정 동안의 강의 가열 및 그에 수반되는 강으로부터의 탄소 함유 가스의 방출을 방지한다.As mentioned above, the vacuum vessels 101 and 103, preferably made of stainless steel, are concentrated cooled. It is to meet UHV condition. In that case, such intensive cooling prevents the heating of the steel during the process and the accompanying release of carbon containing gas from the steel.

공정실 케이싱(113), 특히 공정에 노출되는 그 표면의 재료에 있어서는 이미 도 1에 의거하여 설명된 내용이 그대로 적용된다: 불활성 재료, 바람직하게는 유전체 재료 및 전술된 바와 같이 재료 군(G)으로부터 선택된 재료는 높은 공정 온도에서 안정되고, 특히 수소, 실란(silane), 게르만(german), 디보란(diboran), 염소, NF3, HCl, SiH3CH3, GeH3CH3, N2, ClF3, PH3, AsH4와 같은 사용 가스와 결합하여 가스상 화합물을 생성하지 않는다. 그럼으로써, 부품(120)을 오염시키지 않는 것이 구현된다. 공정실 케이싱(113)의 내부 표면에 불순물을 코팅하는 것은 입자가 형성되는 양상에서만 중요하다. 불순물을 얇게 코팅하는 것은 더욱 양호한 공정의 청정도를 보장하는데 아주 바람직할 수 있는데, 그 경우에 공정은 실제로 공정에 고유한 재료에 의해서만 에워싸이게 된다.For the process chamber casing 113, in particular the material of its surface exposed to the process, the content already described with reference to FIG. 1 is applied as it is: an inert material, preferably a dielectric material and a material group G as described above. The material selected from is stable at high process temperatures, in particular hydrogen, silane, germane, diboran, chlorine, NF 3 , HCl, SiH 3 CH 3 , GeH 3 CH 3 , N 2 , It does not form gaseous compounds by combining with working gases such as ClF 3 , PH 3 , AsH 4 . In this way, it is realized not to contaminate the component 120. Coating impurities on the inner surface of the process chamber casing 113 is important only in the aspect in which the particles are formed. Thin coating of impurities can be highly desirable to ensure a better process cleanliness, in which case the process is actually surrounded only by materials unique to the process.

공정 모듈 유형 Ⅰ에서는 통상적으로 스테인리스 강으로 이뤄지는 진공 용기 벽에 불순물이 코팅되지 않는데, 왜냐하면 그것이 공정실 케이싱(113)에 의해 가스 및 플라즈마로부터 보호되기 때문에, 아울러 도 5에 도시된 바와 같은 집중 냉각시스템에 의해 그 곳에서 가스상으로부터 침전물이 나오는 것이 대폭 감소되기 때문이다. 공정실 내부 표면에 대해 적용되는 그러한 사항은 공정에 노출되는 기판 홀더(119)의 표면에도 그대로 적용된다.In process module type I, impurities are not coated on the vacuum vessel wall, which is usually made of stainless steel, because it is protected from gas and plasma by the process chamber casing 113, as well as an intensive cooling system as shown in FIG. This is because the sediment coming out of the gas phase there is greatly reduced. The same applies to the process chamber interior surface as it applies to the surface of the substrate holder 119 exposed to the process.

공정실 케이싱(113)은 다부품(도시를 생략)으로 형성되어 양극 장치(117a, 117b)를 분해하지 않고서도 제거되거나 교환될 수 있게 되는 것이 바람직하다. 도 5에 도시된 공정실 케이싱(113)을 제거함으로써 공정 모듈 유형 Ⅱne의 바람직한 실시예가 구현되거나, 공정실 케이싱(113)을 동일한 형태의 금속제 케이싱으로 대체함으로써 도 3에 따른 공정 모듈 유형 Ⅱe가 구현되게 된다.The process chamber casing 113 is preferably formed of multiple parts (not shown) so that the process casing 113 can be removed or replaced without disassembling the anode devices 117a and 117b. A preferred embodiment of process module type II ne is realized by removing the process chamber casing 113 shown in FIG. 5, or the process module type II e according to FIG. 3 by replacing the process casing 113 with a metal casing of the same type. Will be implemented.

이후로는 도 1 내지 도 5에 의거하여 소개된 각각의 공정 모듈에 의해 행해지는 방법에 관해 일괄적으로 설명하기로 한다.Hereinafter, the method performed by each process module introduced based on FIGS. 1 to 5 will be described collectively.

유형 ⅠType Ⅰ

본 공정 모듈을 사용하여, 부품을 에피택셜 층으로 코팅할 경우에 요구되는 품질 요건을 유지하면서 플라즈마 촉진 반응에 의한 코팅을 행하거나, 플라즈마 촉진 반응에 의해 식각 또는 미리 주어진 침투 깊이까지의 피가공재의 재료 조성 변경을 행하거나, 특히 전술된 본 발명에 따른 방법 단계와 조합하여 피가공재 또는 부품의 표면을 플라즈마 촉진 반응에 의해, 특히 수소 플라즈마 중에서 세정한다. 그러한 공정 모듈 유형 Ⅰ은 피가공재 부품을 그 속에 도입하지 않거나 기판 모형을 사용하여 미리 주어진 수의 전술된 처리 단계가 진행된 후에 또는 필요에 따라 자정된다. 그러한 자정은 한편으로 플라즈마 촉진 반응에 의한 식각 단계를 포함하고, 다른 한편으로 이어서 식각 잔류물을 플라즈마 촉진 반응에 의해, 바람직하게는 수소 플라즈마 중에서 세정하는 단계를 행하는 것이 바람직하다.Using this process module, coating is carried out by a plasma-promoting reaction while maintaining the quality requirements required when the part is coated with an epitaxial layer, or by a plasma-promoting reaction which is etched or etched up to a predetermined penetration depth. Material composition changes are made or the surface of the workpiece or part is cleaned, in particular in a hydrogen plasma, in particular in combination with the process steps according to the invention described above. Such a process module type I is not introduced into the workpiece component therein or is self-adjusted as required or after a given number of the aforementioned processing steps have been carried out using a substrate model. Such midnight comprises, on the one hand, an etching step by a plasma promoting reaction, and on the other hand, followed by a step of washing the etching residue by the plasma promoting reaction, preferably in a hydrogen plasma.

유형 ⅡType II

공정 모듈 유형 Ⅱ는 예컨대 그것이 주변 분위기로부터 전술된 에피택셜 품질 요건을 충족시키는 처리 단계에 제공될 경우에 필요한 바와 같이 피가공재에 보다 더 깊숙이 미치는 세정을 하는데 사용된다. 그러한 공정 모듈 Ⅱ에서도 역시 전술된 최대한의 품질 요건을 충족시키는 처리 공정과 조합하여 전술된 저 에너지 플라즈마 반응에 의해, 바람직하게는 우선 플라즈마 촉진 반응에 의한 식각 및 연이은 플라즈마 촉진 반응에 의한, 바람직하게는 수소 플라즈마 중에서의 세정에 의해 부품을 세정한다.Process module type II is used for cleaning deeper into the workpiece as required, for example when it is provided from the ambient atmosphere to a processing step that meets the epitaxial quality requirements described above. In such process module II also by means of the low energy plasma reaction described above in combination with a treatment process that also satisfies the maximum quality requirements described above, preferably by first etching by means of a plasma promoting reaction and subsequent by a plasma promoting reaction, preferably The parts are cleaned by washing in hydrogen plasma.

바람직한 코팅 방법으로서는, 즉 공정 모듈 유형 Ⅰ에 의해 헤테로 또는 호모 에피택셜 층을 침착하는 것에 관해서는 이미 서두에 전술된 WO98/58099에 따른 조치를 전폭적으로 참조하면 된다.As a preferred coating method, i.e. depositing a hetero or homo epitaxial layer by process module type I, full reference is made to the measures according to WO98 / 58099 already mentioned earlier.

도 7에는 유형 Ⅰ 또는 유형 Ⅱ의 공정 모듈이 개략적으로 도시되어 있다. 작업의 진행 중에는 처리 대상 부품(142)이 순차적으로 공정 모듈(140)에 공급되거나, 처리된 부품(142)이 순차적으로 공정 모듈로부터 떠나게 된다. 도 7에 도시된 시간 축(t) 상에는 순전히 예시적으로 부품(142)에서의 본 발명에 따른 코팅 단계 및/또는 식각 단계 및/또는 재료 조성 변경 단계 및/또는 세정 단계가 빗금쳐져 도시되어 있는데, 필요 시에 또는 미리 정해진 수의 그러한 처리 단계 후에는 작업 진행 중에 불순물로 코팅된 모듈(140)을 자정하는 단계(빗금쳐지지 않은)가 그 각각의 단계에 후속된다.7 schematically shows a process module of type I or type II. During the operation, the component to be processed 142 is sequentially supplied to the process module 140, or the processed component 142 is sequentially removed from the process module. On the time axis t shown in FIG. 7 purely illustratively the coating step and / or the etching step and / or the material composition change step and / or the cleaning step according to the invention in the part 142 are shown in shaded. Then, after necessity or after a predetermined number of such processing steps, the steps of self-cleaning (not hatched) the module 140 coated with impurities during the course of the operation are followed by their respective steps.

도 8에는 설비(144), 예컨대 인라인 설비의 진공 분위기 내에서 예컨대 피가공재를 우선 공정 모듈 유형 Ⅱ에서 출발 세정하고, 이어서 공정 모듈 유형 Ⅰ에서 코팅 단계, 식각 단계, 재료 조성 변경 단계로, 그리고 경우에 따라서는 세정 단계로도 처리하는 것이 도시되어 있다. 제공된 공정 모듈은 그 경우에도 역시 도 7에서와 유사하게 임의로 주어지는 수의 처리 사이클 후에 자정된다.8 shows, for example, the starting material in the vacuum atmosphere of a plant 144, such as an in-line plant, starting from process module type II, followed by a coating step, an etching step, a material composition change step, and a case in process module type I. In some cases, the treatment is also performed in a washing step. The process module provided is then also self-cleaning after a given number of processing cycles, similarly as in FIG. 7.

이미 서두에 전술된 바와 같이, 그러한 형식의 공정은 가상 기판의 제조인 것이 바람직하다. 그에 따르면, 공정 모듈 유형 Ⅱ에서, 전적으로 헤테로 에피택셜 층을 성장시키는데 적합한 베이스를 반응성 가스로서의 할로겐, 바람직하게는 수소의 사용 하에 플라즈마 촉진 반응에 의해 세정한다. 이어서, 하나 이상의 후속 공정 모듈 유형 Ⅰ에서, 격자 상수가 변경되고 추가의 재료의 연속 구배진 보충에 의해 최대한 결함이 없는 표면 구조가 얻어지도록 헤테로 에피택셜 층을 성장시킨다. 그 다음으로, 필요에 따라서는 다시 또 다른 공정 모듈 유형 Ⅰ에서, 스트립 간격의 설정 및 예컨대 하전 캐리어의 이동도(mobility)와 같은 원하는 반도체 특성의 설정을 위해 반도체 층을 미리 주어진 대로 기계적으로 클램핑한 채로 사용하려는 반도체 층을 성장시킨다. 이어서, 완성된 가상 기판이 설비(144)로부터 빠져나갈 때까지 필요에 따라 추가의 본 발명에 따른 처리 단계를 행한다.As already mentioned above, it is preferred that such type of process is the manufacture of a virtual substrate. According to him, in process module type II, a base suitable solely for growing a hetero epitaxial layer is cleaned by a plasma promoted reaction with the use of halogen as the reactive gas, preferably hydrogen. Subsequently, in one or more subsequent process module types I, the heteroepitaxial layer is grown such that the lattice constant is changed and the maximum gradient free surface structure is obtained by continuous gradient replenishment of additional material. Then, if desired, again in another process module type I, the semiconductor layer is mechanically clamped as previously given for the setting of strip spacing and the setting of desired semiconductor properties, for example the mobility of the charge carriers. The semiconductor layer to be used is grown. Subsequently, further processing steps according to the present invention are carried out as needed until the completed virtual substrate exits the facility 144.

당업자에게 잘 알려진 바와 같이, 가상 기판을 제조할 경우라도 추가의 층을 부착하거나 코팅 단계 사이에 세정 단계를 제공할 수 있는데, 그 경우에 세정 단계는 공정 모듈 유형 Ⅰ 중에서의 "소프트한 세정 단계"로서 제공되는 것이 바람직하다.As is well known to those skilled in the art, even when fabricating a virtual substrate, additional layers may be attached or a cleaning step may be provided between the coating steps, in which case the cleaning step is a "soft cleaning step" in Process Module Type I. Preferably provided as.

도 8에는 개략적이긴 하지만 모듈로부터 다른 모듈로의 피가공재 이송이 진공 중에서 대략 선형으로 이뤄지는 "인라인" 설비가 도시되어 있다.FIG. 8 is a schematic but "inline" installation in which workpiece transfer from module to another module takes place approximately linearly in a vacuum.

도 9에는 다수의 공정 모듈 유형 Ⅰ과 공정 모듈 유형 Ⅱ를 클러스터 설비에 속하는 각각의 클러스터로서 바람직하게 배치한 것이 평면도로 도시되어 있다. 그러한 클러스터 설비는 대략 반경 방향으로 공정 모듈을 조작하는 원형 진공 이송 챔버(150)를 포함한다. 미처리 기판은 게이트 챔버(152)로부터 끄집어 내어지고 처리된 기판은 그 곳에 집어 놓어지는데, 후자는 예컨대 그 곳에서 냉각된다. 예시적으로 마련되는 출입 게이트 챔버(152)로부터, 정상 분위기에 놓인 로봇 유닛 (154)에 의해 기판이 미처리 기판용 저장 매거진(156)으로부터 끄집어 내어지거나 처리 완성성된 기판용 저장 매거진(158)에 공급된다. 그러한 설비는 프로그램 제어에 의해 그 시간에 따른 경과에 있어 제어된다. 예컨대, 자유롭게 프로그램화될 수 있다.9 shows in plan view a preferred arrangement of a plurality of process module type I and process module type II as respective clusters belonging to a cluster installation. Such cluster facilities include a circular vacuum transfer chamber 150 that manipulates the process module in approximately radial directions. The unprocessed substrate is pulled out of the gate chamber 152 and the processed substrate is put there, the latter being cooled there for example. From the entrance gate chamber 152 provided by way of example, the substrate is removed from the unprocessed substrate storage magazine 156 by the robot unit 154 placed in a normal atmosphere, or the processed substrate storage magazine 158 is completed. Supplied. Such equipment is controlled over time by program control. For example, it can be freely programmed.

모두 서로로 개조될 수 있는 전술된 공정 모듈은 직경이 150 ㎜ 이상, 바람직하게는 200 ㎜ 이상, 매우 바람직하게는 300 ㎜ 이상인 기판을 처리할 수 있다. 본 출원의 방법을 개시함에 있어 부록으로서 첨부되는 전술된 WO98/58099에 개시된 방법에 의해 에피택시 코팅을 할 경우에는 전술된 기판에 대해 60 ㎚/min의 코팅 속도가 얻어진다.The above-described process modules, which can all be adapted to each other, can process substrates with a diameter of at least 150 mm, preferably at least 200 mm and very preferably at least 300 mm. In the case of epitaxy coating by the method disclosed in the aforementioned WO98 / 58099, which is attached as an appendix in the disclosure of the method of the present application, a coating rate of 60 nm / min is obtained for the above-mentioned substrate.

이하, 그 부록을 개시하기로 한다.The appendix will be described below.

코팅되는 피가공재의 제조 방법, 그 방법의 용도, 및 그를 위한 설비Method for producing a workpiece to be coated, use of the method, and equipment therefor

(부록 "A" PCT/CH98/00221의 명세서 서두)(Specifications at the beginning of Appendix "A" PCT / CH98 / 00221)

본 발명은 청구항 1의 전제부에 따른 코팅되는 피가공재의 제조 방법, 청구항 28 내지 청구항 35에 따른 그 용도, 청구항 36의 전제부에 따른 그 방법을 행하는 설비, 및 청구항 51 내지 청구항 54에 따른 그 설비의 용도에 관한 것이다.The invention relates to a process for producing a workpiece to be coated according to the preamble of claim 1, to its use according to claims 28 to 35, to an installation for carrying out the method according to the preamble of claim 36, and to It relates to the use of the equipment.

그와 관련하여, 본 발명은 CVD 방법 및 PECVD 방법에 의해 박층을 제조할 경우에 발생되는 문제점으로부터 출발하고 있다. 그러한 문제점에 대해 본 발명에 따라 인지된 것은 특히 태양 전지 제조 또는 변조 도핑 전계 효과 트랜지스터 (modulation doped FET) 또는 헤테로 바이폴라 트랜지스터에서와 같이 반도체 층을 제조하는데 전용될 수 있다.In this regard, the present invention starts from the problem that occurs when the thin layer is produced by the CVD method and the PECVD method. What is recognized in accordance with the present invention for such a problem can be dedicated to fabricating semiconductor layers, in particular in solar cell fabrication or in modulation doped FETs or heterobipolar transistors.

반도체 박막은 실리콘 기판과 같은 단결정 기판 상에 역시 단결정 형태로, 즉 에피택셜하게 침착되거나, 아니면 다결정 또는 비정질 기판 상에, 예컨대 유리 상에 다결정 형태 또는 비정질 형태로 침착된다. 이후로 무엇보다 실리콘 및/또는 게르마늄 코팅되는 기판과 관련하여 본 발명을 설명하지만, 본 발명은 전술된 바와 같이 다른 기판 및 다른 재료로 코팅되는 피가공재에 대해서도 적용될 수 있다.The semiconductor thin film is also deposited in monocrystalline form, ie epitaxially, on a single crystal substrate, such as a silicon substrate, or otherwise in polycrystalline or amorphous form, on a polycrystalline or amorphous substrate, for example on glass. While the invention is described above in connection with substrates that are silicon and / or germanium coated, among other things, the invention may also be applied to workpieces coated with other substrates and other materials, as described above.

에피택셜 반도체 막을 침착하는 공지의 방법은 다음과 같다:Known methods for depositing epitaxial semiconductor films are as follows:

- 분자 빔 에피택시, MBE(Molecular Beam Epitaxy)Molecular Beam Epitaxy, MBE (Molecular Beam Epitaxy)

- 열화학적 기상 침착, CVD(Chemical vapor Deposition)-Thermochemical vapor deposition, chemical vapor deposition (CVD)

- DC 방전 또는 고주파 방전에 의한 원격 플라즈마 촉진 CVD 방법, RPECVD(Remote-Plasma-Enhanced CVD)-Remote plasma accelerated CVD method by DC discharge or high frequency discharge, RPECVD (Remote-Plasma-Enhanced CVD)

- 마이크로파 플라즈마 촉진 화학 기상 침착 및 전자/사이클로트론 공진 플라즈마 보조 CVD, ECRCVD(Electron-Cyclotron-Resonance-Plasma-Assisted CVD).Microwave plasma promoted chemical vapor deposition and electron / cyclotron resonant plasma assisted CVD, ECRCVD (Electron-Cyclotron-Resonance-Plasma-Assisted CVD).

CVD 방법은 부속 장치의 구조에 의해 구분되거나 그 작업 유형에 의해 구분되는 다수의 열 침착 방법을 총괄한 개념이다. 즉, 예컨대 CVD 방법은 통상의 대기압에서 행해질 수 있거나, 아니면 매우 낮은 압력 내지 그 이하의 초고진공 영역에서 행질 수도 있다. 그에 관해서는 첨부되는 문헌 목록의 문헌 (1) 및 (2)를 참조하면 된다.The CVD method is a general concept of a number of thermal deposition methods which are distinguished by the structure of the accessory device or by the type of operation thereof. That is, for example, the CVD method may be performed at normal atmospheric pressure, or may be performed in an ultrahigh vacuum region of very low pressure or less. For that matter, reference may be made to the documents (1) and (2) in the accompanying literature list.

에피택셜 Si 층의 상업적 생산에 있어서는 단지 CVD만이 통용되고 있다. 그 경우, 사용되는 반응성 가스는 예컨대 염화실란(chlorosilane), SiCl4, Si3HCl, 및 SiH2Cl2와 같은 실리콘 함유 가스와 예컨대 SiH4또는 Si2H6와 같은 실란이다. 표준 CVD 방법에 특정적인 것은 1000 ℃ 이상의 크기 정도인 높은 침착 온도 및 전형적으로 20 mbar 내지 1000 mbar인, 즉 통상의 대기압까지의 압력이다.Only CVD is commonly used in the commercial production of epitaxial Si layers. In that case, the reactive gases used are, for example, silicon containing gases such as chlorosilane, SiCl 4 , Si 3 HCl, and SiH 2 Cl 2 and silanes such as SiH 4 or Si 2 H 6 . Specific to standard CVD methods are high deposition temperatures on the order of magnitude above 1000 ° C. and pressures typically between 20 mbar and 1000 mbar, ie up to normal atmospheric pressure.

그에 의해, 공정 조건에 따라 수 100 Å/sec에 해당하는 분당 수 ㎛의 코팅 속도가 얻어지는데, 그에 관해서도 역시 문헌 (1)을 참조하면 된다.Thereby, the coating speed of several micrometers per minute corresponding to several 100 mW / sec is obtained according to process conditions, About this, reference also to literature (1).

그 반면에, 저압 화학 기상 침착(LPCVD, Low-Pressure Chemical Vapor Deposition, LPVPE, Low-Pressure Vapor Phase Epitaxy와 동일한 의미임)은 1 mbar 미만에서 행해지고, 전형 적으로 700 ℃까지의 보다 더 낮은 공정 온도를 허용한다. 그에 관해서는 문헌(1)과 더불어 문헌 (3) 및 (6)을 참조하면 된다.On the other hand, low pressure chemical vapor deposition (equivalent to LPCVD, Low-Pressure Chemical Vapor Deposition, LPVPE, and Low-Pressure Vapor Phase Epitaxy) is done at less than 1 mbar and typically lower process temperatures up to 700 ° C. Allow. In addition, reference may be made to Documents (1) and (3) and (6).

LPCVD에 있어 문헌 (6)을 참조하면, 650 ℃의 침착 온도에서는Referring to Document (6) for LPCVD, at a deposition temperature of 650 ° C.

GR = 50 Å/minGR = 50 mW / min

의 성장 속도(growth rate)가 주어진다. 그 경우, 실란에 대한 반응성 가스 플럭스는The growth rate of is given. In that case, the reactive gas flux to the silane

F = 14 sccmF = 14 sccm

이다. 그로부터, 가스 수율과 관련된 특성 수(characteristic number), 즉 반응성 가스 플럭스 단위당 성장 속도 GRFto be. From there, the characteristic number associated with the gas yield, ie the growth rate GR F per unit of reactive gas flux,

GRF= 3.6 Å/(sccm·min)GR F = 3.6 Å / (sccmmin)

으로 나오게 된다. 2" 웨이퍼에 대한 실제의 면적 A2로부터 환산된 면적Will come out. Area converted from the actual area A 2 for the 2 "wafer

A5= 123 ㎤A 5 = 123 cm 3

에 해당하는 5" 웨이퍼에서는 침착량(growth amount) GA가For 5 "wafers, the growth amount GA

GA = 5.2·1016Si-atom/secGA = 5.2 · 10 16 Si-atom / sec

로 주어진다. 다시, 반응성 가스 플럭스 단위와 관련하여, "가스 활용 수"로도 불리우는 특성 수 "반응성 가스 플럭스 단위당 침착량" GAFIs given by Again, with respect to reactive gas flux units, the characteristic number "deposition amount per reactive gas flux unit" GA F , also referred to as "gas utilization number"

GAF= 8.4 ·10-3 GA F = 8.410 -3

으로 주어지고, 그것은 8.4 ‰에 해당한다., Which corresponds to 8.4 ‰.

650 ℃에서는 에피택셜 층이 생성된다.At 650 ° C., an epitaxial layer is produced.

침착 온도가 600 ℃로 떨어지면, 다결정 층이 생성된다. 그러한 다결정 층은 다음의 값을 갖는다:When the deposition temperature drops to 600 ° C., a polycrystalline layer is produced. Such polycrystalline layer has the following values:

GR = 3 Å/minGR = 3 Å / min

F = 28 sccm silaneF = 28 sccm silane

GRF= 0.11 Å/(sccm·min)GR F = 0.11 μs / (sccmmin)

GA = 3.1 ·1015Si-atom/sec, A5에 대한 값GA = 3.110 15 Value for Si-atom / sec, A 5

GAF= 2.5·10-4, 0.25 ‰에 해당.GA F = 2.5 · 10 -4 , equivalent to 0.25 ‰.

기본적으로, 결함이 없는 에피택셜 층 성장을 위해서는 다음의 기준이 요구된다:Basically, the following criteria are required for defect-free epitaxial layer growth:

- 횡단면 프레파라트에 대한 투과 전자 현미경 검사에서 전자 회절 및 고해상에 의한 에피택시의 검증이 이뤄지고,-Transmission electron microscopy of the cross-sectional preparat is performed to verify epitaxy by electron diffraction and high resolution,

- 그 경우에 전형적으로 기판과의 경계 면을 따라 투과될 수 있는 10 내지 15 ㎛의 범위에서 결함이 보이지 않아야 한다. 결함 분석 시의 전형적인 배율은 110'0000 내지 220'000이다.In that case typically no defects should be visible in the range of 10 to 15 μm, which can be transmitted along the interface with the substrate. Typical magnifications in defect analysis are 110'0000 to 220'000.

보다 더 발전된 것은 10-4내지 10-2mbar, 전형적으로 10-3mbar의 범위에 있는 작업 압력을 수반하는 초고진공 화학 기상 침착(UHV-CVD)으로, 그에 관해서는 문헌 (4), 문헌(5), 및 문헌 (7)을 참조하면 된다. 그러한 초고진공 화학 기상 침착은 매우 낮은 피가공재 온도를 허용하기는 하지만, 성장 속도 또는 코팅 속도가 매우 낮다. 즉, 문헌 (5)에 따르면, 그 성장 속도 또는 코팅 속도는 순수한 실리콘에 대해 550 ℃에서 약 3 Å/min이다.Even more advanced is Ultra High Vacuum Chemical Vapor Deposition (UHV-CVD) with a working pressure in the range of 10 −4 to 10 −2 mbar, typically 10 −3 mbar, as described in literature (4), 5) and document (7). Such ultra-vacuum chemical vapor deposition allows very low workpiece temperatures, but very low growth rates or coating rates. That is, according to document (5), its growth rate or coating rate is about 3 dl / min at 550 ° C. for pure silicon.

성장 속도가 낮은 이유는 피가공재 표면에 수소가 많이 덮일수록 반응성 분자, 즉 예컨대 SiH4의 흡수 및 분열 속도가 점점 떨어진다는데 있다. 즉, 층 성장은 H2의 탈착 속도에 의해 제한되는데, 그 탈착 속도는 온도에 따라 지수 함수적으로 증가된다. 그에 관해서는 문헌 (8)을 참조하면 된다. Si-H 결합에 비해 Ge-H 결합의 결합 에너지가 작기 때문에, Si-Ge 합금 표면으로부터의 수소 탈착이 더 크고, 그에 따라 동일한 기판 온도에서도 순수한 Si에서보다 더 높은 성장 속도가 생기게 된다. 예컨대, Ge 함량이 10 %일 경우에 550 ℃에서 성장 속도가 25배 정도 더 커진다(문헌 (5) 참조).The reason for the low growth rate is that the more hydrogen is covered on the surface of the workpiece, the slower the absorption and cleavage rate of the reactive molecules, ie, SiH 4 . That is, layer growth is limited by the desorption rate of H 2 , which desorption rate increases exponentially with temperature. Reference may be made to Document (8). Since the bond energy of the Ge—H bonds is small compared to the Si—H bonds, hydrogen desorption from the Si—Ge alloy surface is greater, resulting in higher growth rates than with pure Si even at the same substrate temperature. For example, at a Ge content of 10%, the growth rate is about 25 times larger at 550 ° C. (see Document (5)).

낮은 기판 온도에서 에피택시 품질로 높은 침착 속도를 얻는 또 다른 방안(문헌 (9) 참조)은 마이크로파 플라즈마에 의해 반응성 가스를 분해하는 것이다 (ECRCVD).Another way to achieve high deposition rates with epitaxy quality at low substrate temperatures (see Document (9)) is to decompose the reactive gas by microwave plasma (ECRCVD).

전자/사이클로트론 공진의 원리에 기초한 플라즈마 원을 사용함으로써, 고 에너지 이온이 기판에 입사되는 것을 피하게 된다.By using a plasma source based on the principle of electron / cyclotron resonance, high energy ions are avoided from entering the substrate.

그러한 플라즈마 원은 통상적으로 10-3내지 10-4mbar의 압력 범위에서 동작되는데, 하지만 그것은 용량 결합형 고주파 플라즈마의 경우보다 더 긴 자유 경로 길이를 유발한다. 그것은 다시 기판이 바람직하지 않게 이온 포격되어 결함이 생기는 결과를 가져오는데, 그에 관해서는 문헌 (10)으로부터 알 수 있는 바와 같다. 그러나, 기판에 충돌하는 이온의 에너지는 외부에서 기판의 외부 전위를 제어함으로써 제한될 수 있고, 그럼으로써 이온에 의한 손상을 대폭적으로 피할 수 있게 된다. ECRCVD에 의하더라도 순수한 실리콘에 대한 성장 속도는 ≤ 600 ℃의 낮은 침착 온도에서 단지 수 10 Å/min에 불과할 뿐이다.Such plasma sources are typically operated in a pressure range of 10 −3 to 10 −4 mbar, but this results in longer free path lengths than in the case of capacitively coupled high frequency plasma. This in turn results in undesirably ion bombardment of the substrate resulting in defects, as can be seen from Document (10). However, the energy of the ions impinging on the substrate can be limited by controlling the external potential of the substrate from the outside, thereby greatly avoiding damage by the ions. Even with ECRCVD, the growth rate for pure silicon is only a few 10 mW / min at low deposition temperatures of ≤ 600 ° C.

요약하면, 다음의 같이 귀결된다:In summary, this results in:

에피택셜 층을 침착해도 좋을 만큼 적합한 품질로 침착되는 층은 ≤ 600 ℃의 침착 온도에서 현재까지The layer deposited in a quality suitable for depositing the epitaxial layer is present at a deposition temperature of ≤ 600 ° C.

성장 속도 GR이 약 3 Å/min인 UHV-CVD에 의해 또는 By UHV-CVD with a growth rate GR of about 3 μs / min or

성장 속도 GR이 약 1 자리수(30 Å/min)만큼 더 높은 ECRCVD ECRCVD with a growth rate GR of approximately 1 digit (30 Å / min)

에 의해 침착될 수 있다.Can be deposited by.

DC 방전에 의해 그 플라즈마를 발생시키는 PECVD 방법은 에피택셜 층을 구성하려는 것도 아니고 비정질 또는 다결정 층을 구성하려는 것도 아닌, 에피택시 품질을 갖는 층, 즉 그에 상응하게 결함 밀도(전술된 내용을 참조)가 낮은 층을 제조하는데는 적어도 산업적 제조를 위해 확보하려는 성장 속도 GR, 신뢰성, 및 효과성 또는 효율로 사용될 수 없다.The PECVD method of generating the plasma by DC discharge is not intended to constitute an epitaxial layer nor to constitute an amorphous or polycrystalline layer, but a layer of epitaxy quality, i.e. corresponding defect density (see above). The low layer cannot be used at least with the growth rate GR, reliability, and effectiveness or efficiency desired to be secured for industrial production.

고주파 플라즈마를 발생시키는 용량 결합형 고주파 자계를 PECVD 방법에 사용하는 것에 관해 이미 일찌기 보고된 바 있는데, 그에 관해서는 문헌 (11)을 참조하면 된다. 그와 같은 조치에서의 어려움은 그러한 고주파 플라즈마 중에서 반응성 가스만이 분해되지 않는다는데 있다. 그와 동시에, 기판 표면이 반응성 분무화 또는 고주파 식각 시에도 특정적으로 사용되는 바와 같은 고 에너지 이온에 의해 집중적으로 포격 당한다. 그것은 한편으로 수소 탈착을 촉진하지만, 그와 동시에 성장된 층에 결함이 생기게 된다. 그와는 다른 방법인 RPCVD, 원격 플라즈마 화학기상 증착은 코팅 대상 기판이 고주파 플라즈마에 직접 노출되지 않도록 하여 양호한 결과물을 유도함으로써 그러한 단점을 감안하고 있다(문헌 (12) 참조). 그러나, 얻어지는 성장 속도가 작다. 즉, 문헌 (13)에 따르면, 대부분 분당 ㎚로부터 분당 수 ㎚의 범위에 있다.The use of a capacitively coupled high frequency magnetic field for generating a high frequency plasma in a PECVD method has already been reported earlier, which can be found in the literature (11). The difficulty with such measures is that only reactive gases do not decompose in such high frequency plasmas. At the same time, the substrate surface is heavily bombarded by high energy ions as are specifically used even during reactive atomization or high frequency etching. It promotes hydrogen desorption on the one hand but at the same time results in defects in the grown layer. An alternative method, RPCVD, remote plasma chemical vapor deposition takes account of such drawbacks by avoiding direct exposure of the substrate to be coated to high frequency plasma, leading to good results (see Document (12)). However, the growth rate obtained is small. That is, according to document (13), most are in the range of nm per minute to several nm per minute.

본 발명의 목적은 지금까지 공지된 것보다 더 높은 성장 속도로 에피택시 품질을 갖는 층을 성장시킬 수 있는, 산업적 제조에 적용이 가능한 방법을 제공하는 것이다.It is an object of the present invention to provide a method applicable to industrial manufacture, which is capable of growing a layer having epitaxy quality at a higher growth rate than so far known.

그러한 목적은 청구항 1의 특징부의 취지에 따라 특징져지는 서두에 언급된 형식의 방법 또는 청구항 36의 특징부에 따라 특징져지는 설비에 의해 달성된다. 방법의 바람직한 실시 양태는 청구항 2 내지 청구항 27에 특정되어 있고, 설비의 바람직한 실시 양태는 청구항 37 내지 청구항 50에 특정되어 있다. 특히, 본 발명에 따른 방법은 에피택셜 층, 비정질 층, 또는 다결정 층, 그 중에서도 특히 Si 층, Ge 층, 또는 Si/Ge 합금 층 및 Ga 층 또는 Ga 화합물 층의 에피택셜 층, 비정질 층, 또는 다결정 층으로 반도체 코팅되는 기판을 제조하는데 특히 적합하다.Such an object is achieved by a method of the type mentioned at the outset which is characterized in accordance with the intent of the features of claim 1 or by means of an installation characterized by the features of claim 36. Preferred embodiments of the method are specified in claims 2 to 27, and preferred embodiments of the installation are specified in claims 37 to 50. In particular, the process according to the invention comprises an epitaxial layer, an amorphous layer, or a polycrystalline layer, in particular an epitaxial layer, an amorphous layer, or in particular a Si layer, a Ge layer, or a Si / Ge alloy layer and a Ga layer or a Ga compound layer. It is particularly suitable for making substrates which are semiconductor coated with polycrystalline layers.

그 경우, 특히 도핑된 반도체 층을 침착할 수도 있다. 바람직하게는 주기율표의 Ⅲ족 또는 Ⅴ족으로부터의 하나 이상의 원소로 도핑된 실리콘 및/또는 게르마늄 함유 층 또는 주기율표의 Ⅱ족, Ⅲ족, Ⅳ족, 또는 Ⅵ족으로부터의 하나 이상의 원소, 예컨대 Mg 또는 Si로 도핑된 칼륨 함유 층을 침착할 수 있다.In that case, in particular, a doped semiconductor layer may be deposited. Preferably a silicon and / or germanium containing layer doped with one or more elements from group III or group V of the periodic table or one or more elements from group II, III, IV, or VI of the periodic table, such as Mg or Si Potassium containing layer doped with can be deposited.

에피택셜 층을 생성하는 서두에서 다룬 코팅 기술로부터 요약하면, 다음과 같이 설명될 수 있다:Summarizing from the coating technique covered at the outset of creating an epitaxial layer, it can be explained as follows:

- CVD 방법, 특히 UHV-CVD 방법은 500 ℃ 미만의 기판 온도에서도 탁월한 층 품질을 가져온다. 그 때문에, 그러한 방법은 층 품질에 대한 요건이 매우 엄격한 에피택셜 층을 제조하는데도 제공되게 된다. 그러나, 그러한 방법에서는 성장 속도, 예컨대 Si에 대한 성장 속도가 전술된 바와 같이 550 ℃에서 3 Å/min 정도로 매우 낮다.CVD methods, especially UHV-CVD methods, result in excellent layer quality even at substrate temperatures below 500 ° C. As such, such a method would also be provided for producing epitaxial layers with very stringent requirements on layer quality. In such a method, however, the growth rate, for example, the growth rate for Si, is very low, as described above, at 3 Å / min at 550 ° C.

- 마이크로파 플라즈마 촉진 방법, ECRCVD는 높은 열 에너지가 없어도 반응성 분자가 분해될 수 있다는 장점을 수반한다. 기판의 이온 포격에 의해, 높은 수소 탈착이 일어난다. 그러한 2가지 효과는 두드러진 성장 속도의 증대를 가져올 수 있다. 그러나, 저온에서는 이온 포격에 의해 유발되는 허용될 수 없을 정도의 결함 밀도가 관찰된다. 기판 바이어스 전압에 대한 제어에 의해 층 품질이 향상되기는 하지만, 비교적 낮은 속도는 어떻게 바꿀 도리가 없다.Microwave plasma promotion method, ECRCVD, has the advantage that reactive molecules can be decomposed without high thermal energy. Due to ion bombardment of the substrate, high hydrogen desorption occurs. These two effects can lead to a noticeable increase in growth rate. At low temperatures, however, unacceptable defect densities caused by ion bombardment are observed. Although the layer quality is improved by controlling the substrate bias voltage, there is no way to change the relatively low speed.

그에는 내재적 모순이 있는 것으로 여겨진다: 기판의 이온 포격은 한편으로 수소 탈착의 증대에 의거한 높은 성장 속도를 가져오지만, 그와 동시에 결함 밀도의 상승을 유발한다.It is believed that there is an inherent contradiction: ion bombardment of the substrate, on the one hand, results in a high growth rate based on increased hydrogen desorption, but at the same time causes an increase in defect density.

문헌 (2)에 따르면, 대기압 하에서 작업되는 열 CVD 방법에 대해 다음과 같은 양상이 주어진다:According to document (2), the following aspects are given for the thermal CVD method operating under atmospheric pressure:

Si 성장 속도 GR : 2 × 10-3㎚/min Si growth rate GR: 2 × 10 -3 nm / min

(600 ℃에서 측정된 3·10-2㎚/min을 550 ℃로 환산한 것임)(3 · 10 -2 ㎚ / min measured at 600 ℃ converted to 550 ℃)

가스 플럭스, SiCl2H2, F : 100 sccm. Gas flux, SiCl 2 H 2 , F: 100 sccm.

그로부터, SiCl2H2플럭스 단위당 성장 속도 GR이 GRF 2 × 10-4Å/(sccm·min)으로 주어진다.From there, the growth rate GR per unit of SiCl 2 H 2 flux is GR F It is given as 2 x 10 -4 mm 3 / (sccm · min).

100 sccm SiCl2H2의 가스 플럭스 F는 4.4 × 1019molecule/sec에 해당한다.The gas flux F of 100 sccm SiCl 2 H 2 corresponds to 4.4 × 10 19 molecules / sec.

2 × 10-3㎚/min의 성장 속도 GR은 123 ㎤의 면적 A5에 해당하는 5" 웨이퍼에서 초당 2 × 10-4실리콘 단층(mono-layer)의 성장 속도에 해당한다. 그에 의해, 전체의 면적에서 초당A growth rate GR of 2 × 10 −3 nm / min corresponds to a growth rate of 2 × 10 −4 silicon mono-layers per second on a 5 ″ wafer corresponding to an area A 5 of 123 cm 3. Per second in the area of

GA = 1.7 × 1013실리콘 atom/secGA = 1.7 × 10 13 silicon atoms / sec

의 침착량이 주어진다.The amount of deposition of is given.

초당 침착된 실리콘 양과 초당 유입된 반응성 가스량을 상호 연관시킴으로써, 가스 활용 수 GAFBy correlating the second reactive gas inflow amount and the deposited silicon per second, the number of gas utilization GA F

GAF= 3.9 × 10-7 GA F = 3.9 × 10 -7

으로 주어진다.Given by

그것은 약 0.0004 ‰의 활용도에 해당한다.That corresponds to a utilization of about 0.0004 ‰.

대기압 CVD에서는 다음과 같음을 확인하였다:At atmospheric CVD, it was confirmed that:

GRF 2 × 10-4Å/(sccm·min)GR F 2 × 10 -4 Å / (sccmmin)

GAF 0.0004 ‰.GA F 0.0004 ‰.

문헌 (4) 및 문헌 (7)과 조합하여 문헌 (5)로부터 UHV-CVD에 대해 산정하면, 다음과 같이 주어진다:Estimating for UHV-CVD from Document (5) in combination with Documents (4) and (7) gives:

GRF 0.1 Å/(sccm·min)GR F 0.1 Å / (sccm · min)

GAF 0.0035, 약 35 ‰에 해당.GA F 0.0035, equivalent to about 35 ‰.

그것은 지금까지 산업적으로 적용하려고 하였던, 에피택시 품질로 층을 제조하는 방법들이다.It is a method of manufacturing layers with epitaxy quality, which until now has been intended for industrial application.

또한, 저 전압 방전의 형태의 DC 글로우 방전을 사용하는 PECVD 방법이 DE-OS 36 14 384로부터 공지되어 있다. 그러한 방법에 의해, 기계적 특성이 매우 양호한, 즉 성장 속도가 높은 층이 침착되게 된다.In addition, a PECVD method using DC glow discharge in the form of low voltage discharges is known from DE-OS 36 14 384. By such a method, layers with very good mechanical properties, that is, with high growth rates, are deposited.

열 음극을 구비한 음극실은 방출구를 경유하여 진공 용기와 연통된다. 방출구와 대향되게 양극이 마련된다. 방출구와 양극 사이에 형성된 방전 축선과 평행하게 반응성 가스의 유입 장치가 마련되고, 방전 축선을 기준으로 하여 그 유입 장치와 대향되게 피가공재가 배치된다. 양극 전위에 대해 150 V 미만의 방전 전압 UAK가 인가되고, 방전은 30 A 이상의 전류 세기 IAK로 구동된다. 코팅을 위해, 피가공재는 48 내지 610 V의 음 전위로 된다.The cathode chamber provided with the thermal cathode communicates with the vacuum vessel via the discharge port. The anode is provided opposite the outlet. The inflow device of the reactive gas is provided in parallel with the discharge axis formed between the discharge port and the anode, and the workpiece is disposed to face the inflow device on the basis of the discharge axis. A discharge voltage U AK of less than 150 V is applied to the anode potential, and the discharge is driven with a current intensity I AK of 30 A or more. For coating, the workpiece is at a negative potential of 48 to 610 V.

그 공보에 개시된 시험은 다음과 같은 양상을 제공한다:The tests disclosed in that publication provide the following aspects:

예 GR[Å/min] GRF[Å/(sccm·min)]Example GR [Å / min] GR F [Å / (sccm · min)]

1 1032.51 10 3 2.5

2 380 1.22 380 1.2

3 2 × 1032.53 2 × 10 3 2.5

4 (Si) 166 0.74 (Si) 166 0.7

5 466 1.25 466 1.2

6 750 0.76 750 0.7

7 250 0.57 250 0.5

예 GR[Å/min] GRF[Å/(sccm·min)]Example GR [Å / min] GR F [Å / (sccm · min)]

8 500 0.758 500 0.75

9 316 0.389 316 0.38

10 344 0.1810 344 0.18

11 62 0.1811 62 0.18

12 58 0.1412 58 0.14

이제, 본 발명은 지금까지 가져왔던 기대와는 상반되게 비 마이크로파 플라즈마 PECVD 방법, 즉 DC 방전에 의한 PECVD 방법, 구체적으로 그 원리에 있어 DE-OS 36 14 348에 공지된 것과 같은 PECVD 방법을 사용함으로써, 에피택셜 층에 요구되는 요건을 충족시키는 그러한 층 품질로 피가공재를 코팅할 수 있다는 인식으로부터 출발하고 있다.The present invention now contradicts the expectations brought to date by using a non-microwave plasma PECVD method, i.e. a PECVD method by DC discharge, in particular by using a PECVD method as known in DE-OS 36 14 348 in its principle. It starts with the recognition that the workpiece can be coated with such layer quality that meets the requirements required for the epitaxial layer.

그 경우, 개시되는 바와 같이 에피택시 품질로In that case, as disclosed, epitaxy quality

a) 150 Å/min 이상, 특히 600 Å/min 이상의 성장 속도 GRa) growth rate of at least 150 Å / min, in particular at least 600 Å / min GR

b) 7.5 Å/(sccm·min) 이상 또는 특히 40 Å/(sccm·min) 이상, 바람직하게는 75 Å/(sccm·min) 이상의 GRF를 얻는 것이 가능하고, 아울러b) it is possible to obtain a GR F of at least 7.5 dL / (sccm · min) or in particular at least 40 dL / (sccm · min), preferably at least 75 dL / (sccm · min)

c) 5 %의 범위 이상의 가스 활용 수 GAF를 얻는 것이 가능하다.c) It is possible to obtain a gas utilization number GA F over a range of 5%.

본 발명에 따라 사용되는 DC-PECVD 방버에서는 플라즈마 방전에 의해 저 에너지 이온이 발생되고, 역시 저 에너지 전자가 발생되면서도 적용되는 방전에서의 하전 캐리어 밀도, 특히 전자 밀도가 매우 높은 것으로 확인되었다.In the DC-PECVD chamber used according to the present invention, low energy ions are generated by the plasma discharge, and it is confirmed that the charged carrier density, especially the electron density, is very high in the discharge applied while the low energy electrons are generated.

이하, 본 발명을 첨부 도면에 의거하여 예시적으로 설명하기로 한다. 첨부 도면 중에서,Hereinafter, the present invention will be described by way of example with reference to the accompanying drawings. Among the accompanying drawings,

도 1은 본 발명에 따른 방법을 행하는 본 발명에 따른 설비의 제1 바람직한 실시예를 개략적으로 나타낸 도면이고;1 shows schematically a first preferred embodiment of a plant according to the invention for carrying out the method according to the invention;

도 2는 다수의 변형 작업을 수반하는 도 1에 따른 설비의 제2 바람직한 실시예를 개략적으로 나타낸 도면이며;2 shows a schematic representation of a second preferred embodiment of the installation according to FIG. 1 involving a number of deformation operations;

도 3은 실리콘 코팅을 위한 도 2에 따른 설비의 작업 시에 웨이퍼 온도에 대한 성장 속도의 의존성을 나타낸 그래프이고;3 is a graph showing the dependence of the growth rate on the wafer temperature upon operation of the plant according to FIG. 2 for silicon coating;

도 4는 반응성 가스 플럭스 GRF와 관련된 성장 속도의 증가를 방전 전류의 함수로 나타낸 그래프이며;4 is a graph showing the increase in growth rate associated with reactive gas flux GR F as a function of discharge current;

도 5는 피가공재의 구역에서의 플라즈마 밀도가 상이할 때의 성장 속도를 반응성 가스 플럭스의 함수로 나타낸 그래프이고;5 is a graph showing growth rate as a function of reactive gas flux when the plasma density in the zone of the workpiece is different;

도 6은 성장 속도를 침착되는 층에서의 게르마늄 농도의 함수로 나타낸 그래프이며;6 is a graph showing growth rate as a function of germanium concentration in the deposited layer;

도 7은 선행 기술 및 본 발명에 따른 결과를 성장 속도/가스 활용 수의 구획에 나타낸 그래프이다.7 is a graph showing the growth rate / gas utilization water compartments of the prior art and the results according to the invention.

우선, 예컨대 DE-OS 36 14 384에 따른 설비는 그것이 본 발명에 따른 조건을 유지하도록 작동되는 한에는 본 발명에 따른 방법을 행하는데 전적으로 사용될 수 있다.Firstly, a facility according to DE-OS 36 14 384 can be used solely to carry out the method according to the invention as long as it is operated to maintain the conditions according to the invention.

도 1에 따르면, 현재 본 발명에 따른 방법을 행하는 바람직한 설비는 진공 용기(1)를 구비하고, 그 진공 용기(1)에는 방출구(3)를 경유하여 음극실(5)이 플랜지 접속된다. 음극실(5)에는 공지의 형식대로 용기(1)의 전위가 인가될 수 있거나, 음극실(5)이 용기(1)에 대해 절연된 채로 그와는 다른 전위가 인가될 수 있다(도시를 생략).According to FIG. 1, the presently preferred apparatus for carrying out the method according to the present invention includes a vacuum container 1, in which a cathode chamber 5 is flanged via a discharge port 3. Potential of the container 1 may be applied to the cathode chamber 5 in a known manner, or a potential other than that may be applied while the cathode chamber 5 is insulated from the container 1 (shown in FIG. skip).

음극실(5) 중에는 열 음극(7), 즉 필라멘트가 마련되어 바람직하게는 가열 전류 발생기(9)에 의해 직접 가열된다.In the cathode chamber 5, a thermal cathode 7, ie a filament, is provided and is preferably directly heated by the heating current generator 9.

방출구 축선(A)에는 용기(1) 중에 방출구(3)와 대향된 채로 절연 조립된 피가공재 지지대(13)가 마련된다. 피가공재 지지대(13)의 구역에는 피가공재 가열 장치(17)가 마련될 수 있다. 용기(1)는 진공 펌프(27), 바람직하게는 터보 진공 펌프, 더욱 바람직하게는 터보 분자 펌프에 의해 진공으로 된다. 예컨대 플라즈마 모니터 등과 같은 센서가 관찰용으로 및 경우에 따른 제어용으로 접속 라인(31)에 마련될 수 있다.In the discharge port axis A, the workpiece support 13 insulated and assembled facing the discharge port 3 is provided in the container 1. The workpiece heating device 17 may be provided in the zone of the workpiece support 13. The vessel 1 is vacuumed by a vacuum pump 27, preferably a turbo vacuum pump, more preferably a turbo molecular pump. For example, a sensor, such as a plasma monitor, can be provided in the connection line 31 for observation and optionally for control.

방전 전류 IAK를 갖는 방전의 축선(A)과 동축상으로 가스 주입 링(23)이 반응성 가스 주입 장치로서 마련되어 반응성 가스용 가스 탱크 장치(25)에 접속되는데, 그 반응성 가스는 제어가 가능한 플럭스 F(sccm)로 용기 중에 유입된다. 예컨대 Ar이 담긴 작업 가스 탱크로 인도되는 접속 라인(6)은 음극실(5)로 통해 있다. 전자석 및 영구 자석 장치(29)에 의해, 축선(A)과 대략 동심상으로 용기 중에 자계(B)가 생성되어 특히 방출구(3)의 구역에서까지 작용하게 된다. 그 경우, 그러한 자계는 동심성으로부터 벗어나 이동될 수 있는 것이 바람직하다.The gas injection ring 23 is provided as a reactive gas injection device coaxially with the axis A of the discharge having the discharge current I AK , and is connected to the gas tank device 25 for the reactive gas, the reactive gas being a controllable flux. Flows into the vessel at F (sccm). For example, a connection line 6 leading to a working gas tank containing Ar is through the cathode chamber 5. By means of the electromagnet and permanent magnet device 29 a magnetic field B is generated in the container approximately concentric with the axis A, in particular acting even in the region of the discharge port 3. In that case, it is desirable that such a magnetic field can be moved away from concentricity.

도 1에 따른 실시 양태의 설비는 다음과 같이 작업된다:The installation of the embodiment according to FIG. 1 works as follows:

- 도면 부호 "1"에 해당하는 용기 벽은 방전의 양극으로서 사용되고, 그를 위해 그 용기 벽에는 참조 전위가 걸리고, 바람직하게는 도시된 바와 같이 그 용기 벽이 접지에 접속된다. 그에 대응하여, 바람직하게는 조절이 가능한 DC 발생기(11)에 의해 음극(5)에 음 전위가 인가된다. 그러한 발생기(1)에 걸쳐 방전 전압 UAK가 걸려서 음극(7)과 용기(1) 사이에 방전 전류 IAK가 흐르게 된다.The container wall corresponding to the reference "1" is used as the anode of the discharge, for which the container wall is subjected to a reference potential, and preferably the container wall is connected to ground as shown. Correspondingly, a negative potential is applied to the cathode 5 by means of an adjustable DC generator 11. The discharge voltage U AK is applied across such a generator 1 so that the discharge current I AK flows between the cathode 7 and the container 1.

- 도 1에 도시된 설비의 제2 변형 작업에서는 DC 바이어스 발생기(15)에 의해 피가공재 지지대(13)에 전압 Us가 걸리게 된다.- it will take a second modified operation voltage U s to the workpiece support (13) by a DC bias generator 15. In the plant shown in Fig.

도 2에는 본 발명에 따른 방법을 행하는 또 다른 본 발명에 따른 바람직한 설비가 도시되어 있다. 동일한 부분에는 도 1에서와 동일한 도면 부호가 사용되어 있다. 도 2에 따른 설비는 다음과 같은 점에서 도 1에 도시된 설비와 구별되고, 이후로 그에 관해 설명하기로 한다:In figure 2 there is shown another preferred arrangement according to the invention for carrying out the method according to the invention. The same reference numerals are used for the same parts as in FIG. The plant according to FIG. 2 is distinguished from the plant shown in FIG. 1 in the following respects and will be described later.

방전 축선(A)에 동심상으로 배치된 링형 보조 양극(19)이 마련된다.A ring-shaped auxiliary anode 19 arranged concentrically on the discharge axis A is provided.

도 2에 따른 설비에서는 다음과 같은 작업 형식이 가능하다:In the installation according to FIG. 2 the following work forms are possible:

- 가변 스위치(S)로 도시된 바와 같이, 용기(1)의 용기 벽에는 이미 도 1에서 설명된 바와 같이 참조 전위 또는 접지 전위가 걸리거나 그 용기 벽이 임피던스 소자(14), 바람직하게는 저항 소자를 경유하여 일정한 전위, 바람직하게는 참조 전위로 고정되든지, 아니면 전위가 변동되게 동작된다. 용기(1)에 참조 전위가 걸리면, 보조 양극(19)에 용기의 전위가 걸리거나, 바람직하게는 조절이 가능한 DC 발생기(21)에 의해 전압이 인가된다.As shown by the variable switch S, the vessel wall of the vessel 1 is subjected to a reference potential or a ground potential as already described in FIG. 1 or the vessel wall is an impedance element 14, preferably a resistor. Either it is fixed at a constant potential, preferably a reference potential, via the element, or the potential is operated to vary. When the reference potential is applied to the container 1, the potential of the container is applied to the auxiliary anode 19, or a voltage is preferably applied by the adjustable DC generator 21.

- 용기(1)가 임피던스 소자(14)를 경유하여 참조 전위로 고정되면, 보조 양극은 DC 발생기(21)에 의해 작동되어 음극(7)과 보조 양극(19) 사이에 점선으로 도시된 바와 같은 방전 전압(U'AK)이 나타나게 된다. 전위가 변동되게 용기 벽(1)이 동작되는 경우도 그러한 경우에 해당한다.When the vessel 1 is fixed at the reference potential via the impedance element 14, the auxiliary anode is operated by the DC generator 21, as shown by the dotted line between the cathode 7 and the auxiliary anode 19. The discharge voltage U ' AK is shown. This is also the case when the container wall 1 is operated in such a way that the potential is varied.

현재, 용기 벽 및 보조 양극(19)에 접지가 걸리고 피가공재 지지대(13)가 전위 제어식으로 동작되는 도 2에 따른 설비가 선호되고 있다. 모든 설비 유형에 있어서는 다음과 같이 설정하는 것이 중요하다:At present, the installation according to FIG. 2 is preferred, in which the vessel wall and auxiliary anode 19 are grounded and the workpiece support 13 is operated in a potential controlled manner. For all plant types, the following settings are important:

용기 중의 전압(total pressure) P T : Total pressure in the container P T :

10-4mbar ≤ PT≤ 10-1mbar, 바람직하게는10 -4 mbar ≤ P T ≤ 10 -1 mbar, preferably

10-3mbar ≤ PT≤ 10-2mbar이고,10 -3 mbar ≤ P T ≤ 10 -2 mbar,

전형적으로, 5·10-3mbar의 범위에 있다. 그러한 압력은 무엇보다도 작업 가스, 바람직하게는 아르곤의 분압에 의해 확보된다. 그 때문에, 진공 펌프(27)는 전술된 바와 같이 터보 진공 펌프로서, 특히 터보 분자 펌프로서 형성되는 것이 바람직하다.Typically, it is in the range of 5 · 10 −3 mbar. Such a pressure is ensured, among other things, by the partial pressure of the working gas, preferably argon. Therefore, the vacuum pump 27 is preferably formed as a turbo vacuum pump, in particular, as a turbo molecular pump, as described above.

작업 가스 압력 P A : Working gas pressure P A :

그것은 다음과 같이 선택된다:It is chosen as follows:

10-4mbar ≤ PA≤ 10-1mbar, 바람직하게는10 -4 mbar ≤ P A ≤ 10 -1 mbar, preferably

10-3mbar ≤ PA≤ 10-2mbar.10 −3 mbar ≦ P A ≦ 10 −2 mbar.

반응성 가스 분압 P R : Reactive gas partial pressure P R :

그것은 다음과 같이 선택되는 것이 바람직하다:It is preferably chosen as follows:

10-5mbar ≤ PR≤ 10-1mbar, 바람직하게는10 -5 mbar ≤ P R ≤ 10 -1 mbar, preferably

10-4mbar ≤ PR≤ 10-1mbar.10 −4 mbar ≦ P R ≦ 10 −1 mbar.

특히, 실리콘 및/또는 게르마늄 함유 가스에 대해서는 10-4mbar 내지 25·10-3mbar의 분압을 권장한다. 무엇보다 다층 침착 및 도핑된 층에 대해 평탄도(표면 거칠기)를 지원하기 위해, 10-4내지 10-2mbar, 바람직하게는 약 10-3mbar정도의 수소 분압을 추가로 제공할 것을 권장한다.In particular, partial pressures of 10 −4 mbar to 25 · 10 −3 mbar are recommended for silicon and / or germanium containing gases. First of all, in order to support flatness (surface roughness) for multilayer deposition and doped layers, it is recommended to further provide hydrogen partial pressures on the order of 10 −4 to 10 −2 mbar, preferably about 10 −3 mbar. .

가스류: Gas flow:

아르곤: 필요한 분압 PA내지 PT의 설정을 위해 용기 체적 및 음극실 체적에 대폭 의존하여 달라진다.Argon: greatly depends on the vessel volume and the cathode chamber volume for the setting of the required partial pressures P A to P T.

반응성 가스 플럭스: 특히, 실리콘 및/또는 게르마늄 함유 가스에 대해 1 내지 100 sccmReactive gas flux: 1 to 100 sccm, in particular for gases containing silicon and / or germanium

H2: 1 내지 100 sccm.H2: 1 to 100 sccm.

방전 전압 U AK : Discharge Voltage U AK :

방전 전압은 그것이 도 1에 따라 음극(7)과 용기(1) 사이의 것이든 음극(7)과 용기(1)와 보조 양극(19) 사이 또는 음극(7)과 보조 양극(19) 사이의 것이든 다음과 같이 설정된다:The discharge voltage is either between the cathode 7 and the vessel 1 according to FIG. 1, between the cathode 7 and the vessel 1 and the auxiliary anode 19 or between the cathode 7 and the auxiliary anode 19. Which is set as follows:

10 V ≤ UAK≤ 80 V, 바람직하게는10 V ≤ U AK ≤ 80 V, preferably

20 V ≤ UAK≤ 35 V.20 V ≦ U AK ≦ 35 V.

방전 전류 I AK : Discharge Current I AK :

그것은 다음과 같이 선택된다:It is chosen as follows:

5 A ≤ IAK≤ 400 A, 바람직하게는5 A ≤ I AK ≤ 400 A, preferably

20 A ≤ IAK≤ 100 V.20 A <I AK <100 V.

피가공재 전압 U s : Workpiece Voltage U s :

그 전압은 여하튼 방전의 스퍼터링 한계 미만으로 선택된다. 그것은 모든 경우에 있어 다음과 같이 설정된다:The voltage is chosen at any rate below the sputtering limit of the discharge. In all cases it is set as follows:

-25 V ≤ Us≤ +25 V,-25 V ≤ U s ≤ +25 V,

Ga 화합물, 적합하게는 SI, Ge, 및 그 화합물에 대해 바람직하게는For Ga compounds, suitably SI, Ge, and compounds thereof

-20 V ≤ Us≤ +20 V,-20 V ≤ U s ≤ +20 V,

그러한 전압은 음으로 되는 것이 바람직하고, 그 중에서 바람직하게는 다음과 같이 설정된다:Such voltage is preferably negative, of which it is preferably set as follows:

-15 V ≤ Us≤ -3 V.-15 V ≤ U s ≤ -3 V.

코팅하려는 피가공재 표면에서의 전류 밀도: Current density at the workpiece surface to be coated:

그러한 전류 밀도는 코팅하려는 표면이 나중에 위치될 지점에 설치된 프로브에 의해 사전에 측정된다. 그것은 프로브 표면에 대해 0.05 A/㎤ 이상, 바람직하게는 0.1 A/㎤ 이상으로부터 최대로 방전 전류/기판 면적까지로 설정된다.Such current density is measured in advance by a probe installed at the point where the surface to be coated will later be located. It is set from 0.05 A / cm 3 or more, preferably 0.1 A / cm 3 or more, up to the discharge current / substrate area with respect to the probe surface.

그러한 전류 밀도는 다음과 같이 측정되고 설정된다:Such current density is measured and set as follows:

하나 이상의 프로브를 나중에 코팅하려는 표면의 지점에 위치시키고, 접지 또는 양극 전위에 대해 가변적인 양의 전압을 그 표면에 인가한다. 측정된 전류가 더 이상 올라가지 않을 때까지 그 전압을 올린다. 그 때에 측정된 전류 값으로부터 프로브 표면에 대한 총 전류 밀도가 나온다. 이제, 그러한 전류 밀도를 방전의 조절에 의해 필요한 값으로 설정한다. 전술된 전류 밀도 값을 설정하는 것은 바람직하게는 방전 전류 값 IAK를 5 내지 400 A, 바람직하게는 20 내지 100 A로 설정함으로써 당장 가능하다.One or more probes are later placed at the point on the surface to be coated and a positive voltage applied to the surface is varied with respect to ground or anode potential. Raise the voltage until the measured current no longer rises. The total current density for the probe surface is then derived from the measured current value. Now, such a current density is set to the value required by the control of the discharge. Setting the above-described current density value is preferably possible by setting the discharge current value I AK to 5 to 400 A, preferably 20 to 100 A.

피가공재에 입사되는 저 에너지 이온 및 전자의 높은 플럭스는 본 발명에 따른 방법의 특정적인 특징이고, 그에 따라 본 발명에 따른 방법을 "저 에너지 플라즈마 촉진 CVD(Low Energy Plasma Enhanced CVD)"에 대한 약칭 LEPECVD로서 지칭한다.The high flux of low energy ions and electrons incident on the workpiece is a particular feature of the method according to the invention, thus abbreviating the method according to the invention to "Low Energy Plasma Enhanced CVD". Referred to as LEPECVD.

코팅 동안 포스핀(phosphine), 디보란, 아르신(arsine)과 같은 주기율표 Ⅲ족 또는 Ⅴ족으로부터의 원소를 함유한 도펀트 가스를 첨가함으로써, 실리콘 및/또는 게르마늄 층을 n형 전도 층 또는 p형 전도 층으로 도핑할 수 있다. 그에 따라, p/n 반도체 전이가 예컨대 태양 전지 제조를 위해 경제적으로 현장에서 이뤄질 수 있게 된다.During the coating, the silicon and / or germanium layer is n-type conductive layer or p-type by adding a dopant gas containing elements from periodic table Group III or Group V such as phosphine, diborane, arsine Can be doped with a conductive layer. Thus, p / n semiconductor transitions can be economically made on site, for example for solar cell manufacturing.

갈륨 층 또는 갈륨 화합물 층을 침착할 경우에는 주기율표 Ⅱ족, Ⅲ족, Ⅳ족, 또는 Ⅵ족으로부터의 원소, 예컨대 Mg 또는 Si를 함유한 도펀트 가스의 사용에 의해 그 층을 도핑할 수 있다.When depositing a gallium layer or a gallium compound layer, the layer may be doped by the use of a dopant gas containing elements from the periodic table of Groups II, III, IV, or VI, such as Mg or Si.

저 전압 반전을 양극(19) 및/또는 자계(B)에 의해 집속시키고/집속시키거나 피가공재 지지대(13)로부터 편향시킬 수 있다. 그럼으로써, 피가공재 지지대에서의 플라즈마 밀도를 증대(성장 속도의 증대)시키고/증대시키거나 넓은 범위에 걸쳐 변경(분포의 설정)할 수 있거나, 제어되게 스위핑 또는 편향시킬 수도 있게 된다. 피가공재 또는 기판은 약 800 ℃까지는 이온 입사 및/또는 전자 입사와는 상관이 없이 가열 장치(17)에 의해 가열될 수 있다. 자석 장치(29)는 영구 자석 및/또는 전자석에 의해 바람직하게는 수 10 내지 수 100 가우스의 자속 밀도로 방전실 중에자계 (B)를 생성한다.The low voltage reversal may be focused by the anode 19 and / or the magnetic field B and / or deflected from the workpiece support 13. This allows to increase the plasma density at the workpiece support (increase the growth rate) and / or to change (set distribution) over a wide range, or to sweep or deflect it in a controlled manner. The workpiece or substrate may be heated by the heating device 17 up to about 800 ° C. irrespective of ion incidence and / or electron incidence. The magnet device 29 generates a magnetic field B in the discharge chamber by means of permanent magnets and / or electromagnets, preferably at a magnetic flux density of several tens to several hundred gauss.

문헌 (15)에 따르면, 전술된 바와 같이 바람직하게는 20 내지 35 V의 범위에 있는 통상적이지 않게 낮은 방전 전압에 의거하여 양극 전위에 가까운 방전 플라즈마 전위가 주어진다. 피가공재 또는 기판 전위는 이온 에너지가 15 eV 미만에 놓이도록 전위상으로 용이하게 조절될 수 있고, 그에 의해 피가공재에서의 층 성장 동안 이온에 의한 손상이 완전히 회피될 수 있게 된다.According to document (15), as described above, a discharge plasma potential close to the anode potential is given based on an unusually low discharge voltage preferably in the range of 20 to 35 V. The workpiece or substrate potential can be easily adjusted in potential so that the ion energy lies below 15 eV, so that damage by ions during layer growth in the workpiece can be completely avoided.

전술된 바와 같이, 피가공재에서 가능한 한 높은 플라즈마 밀도를 얻고자 애써야 한다. 본 경우, 플라즈마 밀도는 피가공재 표면에서의 전류 밀도에 의해 주어진다. 그러한 전류 밀도는 전술된 바와 같이 교정 작업 과정 중에 프로브에 의해 측정되어 설정된다.As mentioned above, efforts should be made to obtain as high a plasma density as possible in the workpiece. In this case, the plasma density is given by the current density at the workpiece surface. Such current density is measured and set by the probe during the calibration operation as described above.

도 1 및 도 2에 개략적으로 도시된 바와 같은 설비는 현재의 바람직한 실시 양태이기는 하지만, 그것이 상응하게 설비되고 유도된다면 예컨대 DE-OS 36 14 384에 개시된 설비에서도 본 발명에 따른 방법을 완전하게 구현할 수 있다.Although the installation as schematically shown in FIGS. 1 and 2 is a presently preferred embodiment, it is possible to fully implement the method according to the invention, for example in the installation disclosed in DE-OS 36 14 384, if it is correspondingly installed and derived. have.

도 2에 개략적으로 도시된 설비에 의해, 3" 실리콘 단결정 기판을 실리콘 또는 실리콘/게르마늄 합금으로 에피택셜하게 코팅하였다. 용기(1) 체적은 60 l 이었다.By means of the equipment shown schematically in Fig. 2, the 3 "silicon single crystal substrate was epitaxially coated with silicon or a silicon / germanium alloy.

설비는 다음과 같이 작업되었다:The plant worked as follows:

보조 양극(19)에 용기(1)의 전위를 걸고; 피가공재 지지대(13)에 제어된 바이어스 전위를 건다. 양극으로서의 용기에는 접지 전위를 건다.Applying the potential of the container 1 to the auxiliary anode 19; The controlled bias potential is applied to the workpiece support 13. A ground potential is applied to the container as the anode.

작업 점을 다음과 같이 설정하였다:The working point was set as follows:

피가공재 온도 T s Workpiece temperature T s

플라즈마에 의해 유도되어 불과 수 100 ℃, 즉 예컨대 150 ℃의 피가공재 온도가 나오게 된다.It is guided by the plasma and results in a workpiece temperature of only a few hundred degrees Celsius, ie 150 degrees Celsius.

그것은 열적으로 위험한 기판, 예컨대 유기 기판을 코팅하는데 매우 유리하다.It is very advantageous for coating thermally hazardous substrates such as organic substrates.

보다 더 높은 원하는 온도는 별개의 가열 장치에 의해 얻어진다. Si 층 및/또는 Ge 층과 Ge-Si 화합물을 함유한 층을 제조할 경우에는 피가공재 온도 TsHigher desired temperatures are obtained by separate heating devices. When preparing a Si layer and / or a layer containing a Ge layer and a Ge-Si compound, the workpiece temperature T s is

300 ℃ ≤ Ts≤ 600 ℃300 ℃ ≤ T s ≤ 600 ℃

로 할 것을 권장하고, Ga 층 또는 Ga 화합물 층을 제조할 경우에는Is recommended, and when manufacturing a Ga layer or a Ga compound layer

300 ℃ ≤ Ts≤ 800 ℃300 ℃ ≤ T s ≤ 800 ℃

로 할 것을 권장한다.It is recommended to do this.

방법이 "저온" 방법이기 때문에, 층 재료 및 기판 재료에 따라 온도가 최대한으로 융통성이 있게 선택된다.Since the method is a "low temperature" method, the temperature is chosen to be as flexible as possible depending on the layer material and the substrate material.

플럭스 [sccm]Flux [sccm] 분압 [mbar]Partial pressure [mbar] ArAr 5050 6. 8 × 10-3 6. 8 × 10 -3 H2 H 2 55 7 × 10-4 7 × 10 -4 SiH4 SiH 4 1010 10-3 10 -3

방전 전류 IAK: 70 ADischarge Current I AK : 70 A

방전 전압 UAK: 25 VDischarge Voltage U AK : 25 V

기판 온도: 550 ℃(가열 장치에 의해 가열)Substrate temperature: 550 ° C. (heated by heating device)

제1 시험에서는 가열 장치(17)에 의해 기판 온도를 변경하였다. 그 경우, 나머지 작업 점 파라미터를 일정하게 두었다. 도 3에는 그 결과가 도시되어 있다. 그 도면으로부터 알 수 있는 바와 같이, 성장 속도 GR은 피가공재 온도 또는 기판 온도 T13에 단지 약간만 의존할 뿐이다. 측정치의 분산이 큰 것은 각각의 침착 전에 시험 설비에서 작업 파라미터를 각기 수동으로 설정해야 하였던 것에 기인한다.In the first test, the substrate temperature was changed by the heating device 17. In that case, the remaining work point parameters were kept constant. The results are shown in FIG. As can be seen from the figure, the growth rate GR only slightly depends on the workpiece temperature or the substrate temperature T 13 . The large dispersion of the measurements is due to the manual setting of the operating parameters in the test facility before each deposition.

전술된 작업 점 값으로부터 출발하여, 방전 전압 UAK의 설정에 의해, 그리고 필요에 따라 음극 가열 전류의 변경에 의해 방전 전류 IAK를 변경하였다. 나머지 모든 파라미터를 역시 일정하게 유지시켰다. 방전 전류 IAK가 코팅 대상 표면에서의 하전 캐리어 밀도 또는 플라즈마 밀도와 직접 일치하지는 않지만, 기타의 파라미터를 일정하게 둘 경우에는 코팅 대상 피가공재 표면에서의 전류 밀도에 해당하는 플라즈마 밀도는 대략적으로 방전 전류에 비례한다. 그 때문에, 도 4에 도시된 결과는 성장 속도 GR과 플라즈마 밀도간의 완전한 비례성 및 비례 상수를 보여주고 있다. 그러한 비례성은 가스 사용이 약 60 %를 넘지 않고 포화 효과가 발생되는 동안에는 중단될 수도 있다. 전술된 바와 같이, 플라즈마 밀도는 예컨대 방전 전류의 조절에 의해 영향을 받는 이외에, 저 전압 방전의 집속 또는 탈집속(defocusing)에 의해 또는 저 전압 방전의 편향에 의해서도 영향을 받는다. 여기에서, 분산이 상대적으로 큰 것은 방전 조건을 설정할 때에 취해진 조치로 인한 것임을 언명하고자 한다.Starting from the work point value described above, the discharge current I AK was changed by setting the discharge voltage U AK and, if necessary, by changing the cathode heating current. All other parameters were kept constant as well. Although the discharge current I AK does not directly correspond to the charged carrier density or the plasma density at the surface to be coated, if other parameters are kept constant, the plasma density corresponding to the current density at the surface of the workpiece to be coated is approximately the discharge current. Proportional to As such, the results shown in FIG. 4 show the complete proportionality and proportionality constant between growth rate GR and plasma density. Such proportionality may be interrupted while gas usage does not exceed about 60% and saturation effects occur. As mentioned above, in addition to being influenced by, for example, the control of the discharge current, the plasma density is also affected by the focusing or defocusing of the low voltage discharge or by the deflection of the low voltage discharge. Here, it is intended that the relatively large dispersion is due to the measures taken when setting the discharge conditions.

끝으로, 도 5는 매우 많은 것을 시사하고 있다. 그러한 도 5는 기타의 파라미터를 일정하게 유지시키면서 작업 점 10 sccm을 기점으로 하여 반응성 가스 플럭스 F를 변경하였던 시험의 결과이다. 직선 (a)는 자계 설정에 의해 도 1의 축선(A)에 대해 국부적으로 약간 어긋난 저 전압 방전을 할 경우에 생긴 결과로서, 방전 전류 IAK가 20 A인 그러한 방전 시에는 기판에서의 플라즈마 밀도가 감소되거나 성장 속도가 저하된다.Finally, Figure 5 suggests so much. FIG. 5 is the result of a test in which the reactive gas flux F was changed starting at 10 sccm of work point while maintaining other parameters constant. The straight line a is a result of the low voltage discharge slightly shifted locally with respect to the axis A of FIG. 1 by the magnetic field setting, and the plasma density at the substrate during such discharge with the discharge current I AK of 20 A. Is reduced or growth rate is lowered.

곡선 (b)는 방전이 편향되지 않고 IAK= 20 A인 경우의 성장 속도를 나타낸 것이다. 끝으로, (c)는 IAK= 70 A로써 편향이 없는 방전을 할 경우에 상승된 성장 속도를 나타낸 것이다.Curve (b) shows the growth rate when the discharge is not deflected and I AK = 20 A. Finally, (c) shows the increased growth rate in the case of unbiased discharge with I AK = 70 A.

반응성 가스가 10 sccm일 때에는 도 3이 입증하고 있는 바와 같이 550 ℃의 기판 온도 및 70 A의 방전 전류 IAK에서 약 15 Å/sec의 성장 속도가 나온다.When the reactive gas is 10 sccm, a growth rate of about 15 mA / sec is obtained at a substrate temperature of 550 ° C. and a discharge current I AK of 70 A as shown in FIG. 3.

방전 전류가 70 A이고 반응성 가스 플럭스가 10 sccm일 때의 그러한 결과도 도 4에 의해서도 입증되고 있다. 성장 속도 GR은 20 A의 방전 전류에서 약 6 Å/sec로 떨어진다.Such results when the discharge current is 70 A and the reactive gas flux is 10 sccm are also demonstrated by FIG. 4. The growth rate GR drops to about 6 mA / sec at 20 A discharge current.

이제, 본 발명에 따른 결과를 공지의 기술의 결과와 비교해 보기로 한다.The results according to the invention will now be compared with the results of known techniques.

a) APCVD(문헌 (2))와의 비교a) Comparison with APCVD (Document (2))

도 5로부터, 예컨대 점 P1에 대해 다음과 같이 주어진다:From FIG. 5, for example for point P1 is given as follows:

GR1200 Å/min, 그와 비교하여 APCVD에서는GR 1200 Å / min, in comparison with APCVD

GR2 × 10-2Å/minGR 2 × 10 -2 Å / min

이다.to be.

도 5로부터, 점 P1에 대해 80 Å/(sccm·min)의 GRF값이 주어진다.From FIG. 5, a GR F value of 80 μs / (sccm · min) is given for the point P1.

그에 대응하는 APCVD에서의 값은The corresponding value in APCVD is

GRF 2 × 10-2Å/(sccm·min)GR F 2 × 10 -2 Å / (sccmmin)

이다.to be.

본 발명에 따른 LEPECVD에서 3" 기판에 대해 가스 활용 수를 계산하면, 다음과 같이 주어진다:Calculating the gas utilization number for a 3 "substrate in LEPECVD according to the present invention, is given as follows:

GAF 6.8 × 10-2, 약 6.8 %에 해당.GA F 6.8 × 10 -2 , equivalent to about 6.8%.

그 경우, 그러한 가스 활용 수는 기판 면적이 커질수록, 예컨대 5"로 커지면 훨씬 더 양호해진다는 것을 알 수 있다.In that case, it can be seen that such a gas utilization number becomes much better as the substrate area becomes larger, for example as large as 5 ".

도 7에는 다음의 것에 대한 결과가 도시되어 있다:Figure 7 shows the results for the following:

- 구획 Ⅰ에: APCVD, LPCVD, RPECVD에 대한 결과Section I: Results for APCVD, LPCVD and RPECVD

- 구획 Ⅱ에: UHVCVD에 대한 결과In Section II: Results for UHVCVD

- 구획 Ⅲ에: ECRCVD에 대한 결과In Section III: Results for ECRCVD

- 구획 Ⅳ에: 본 발명에 따른 결과.In section IV: results according to the invention.

그러한 결과는 ≤ 600 ℃의 온도에 대한 값이다.Such a result is for a temperature of ≤ 600 ° C.

그와 관련하여, 본 발명에 따른 조치는 상대적으로 큰 면적을 코팅하는 것을허용하고, 그럼으로써 가스 활용 수 GAF를 추가로 증가시킨다는 것을 재차 강조해야 할 것이다.In that regard, it should again be emphasized that the measures according to the invention allow for coating a relatively large area, thereby further increasing the gas utilization water GA F.

유사하게, 성장 속도 GR의 크기, 반응성 가스 단위당 성장 속도 GRF, 및 가스 활용 수 GAF를 대기압 조건 하에서의 CVD에 대한 해당 값과 비교하면, 각각의 관계에 있어 본 발명에 따라 현격한 개선이 제공된다. 끝으로, 본 발명에 따른 결과를 DE-OS 36 14 384에 따른 저 전압 방전에 의해 PECVD 방법을 작업할 경우에 얻은 결과와 비교하면, 놀랍게도 본 발명에 따라 얻어지는 1200 Å/min의 성장속도가 그 공지의 조치에 의해 얻어지는 최대 성장 속도보다 현격히 더 크고, 추가로 본 발명에 따라 얻어지는 반응성 가스 플럭스 단위당 성장 속도 GRF가 실제로 10의 지수 2만큼 더 높이 있는 것으로 나타난다.Similarly, comparing the magnitude of growth rate GR, growth rate GR F per unit of reactive gas, and gas utilization number GA F with corresponding values for CVD under atmospheric conditions, a significant improvement is provided in accordance with the present invention in each relationship. do. Finally, when comparing the results according to the invention with the results obtained when working the PECVD method with a low voltage discharge according to DE-OS 36 14 384, the growth rate of 1200 mA / min obtained according to the invention is surprisingly high. It is shown that the growth rate GR F per unit of the reactive gas flux obtained according to the invention is actually significantly higher than the maximum growth rate obtained by known measures, and is actually higher by an index 2 of 10.

즉, 원칙적으로 DE-OS 36 14 384로부터 이미 공지된 것과 같은 설비에서의 작업 조건을 전적으로 지정함으로써 그러한 개선이 얻어질 수 있다는 것은 본 발명에 따라 침착된 층이 결함 밀도에 있어 에피택시 조건을 따른다는 것을 감안할 때에 매우 놀라운 일이다.That is, in principle, such an improvement can be obtained by fully specifying the operating conditions in a facility such as those already known from DE-OS 36 14 384, that the layer deposited according to the invention follows epitaxy conditions in terms of defect density. Given that, it is very surprising.

그것은 도 2에 따른 설비를 전술된 바와 같이 정해진 작업 점 파라미터로써 작업시킬 때에, 단결정 기판을 끼워 넣으면 고 품질의 에피택시 코팅이 얻어졌고, 그 반면에 추가로 확인된 작업 점 파라미터에서 비정질 기판을 끼워 넣으면 비정질 코팅이 얻어졌다는 것으로써 매우 간단하게 검증되었다.It was found that when operating the plant according to FIG. 2 with a defined work point parameter as described above, interposing a single crystal substrate yielded a high quality epitaxy coating, while sandwiching an amorphous substrate at a further identified work point parameter. It was very simply verified that an amorphous coating was obtained upon loading.

또한, 도 5에는 순수한 Si 층 대신에 4 % Ge를 함유한 SiGe 에피택셜 층을침착한 경우에 측정된 점이 점 P2에 기입되어 있다.In addition, in FIG. 5, the point measured when the SiGe epitaxial layer containing 4% Ge was deposited instead of the pure Si layer is written in point P2.

그로부터 이미 알 수 있는 바와 같이, 본 발명에 따른 조치에서의 전술된 인식과는 상반되게 Ge/Si 합금을 침착할 경우에도 상황은 바뀌지 않는다. 그것은 지정된 작업 점에서 성장 속도 GR이 Ge의 % 함량의 함수로 표시되어 있는 도 6에 의해 입증되고 있다. 그로부터 알 수 있는 바와 같이, Si에 대한 Ge의 비율의 매우 넓은 범위에서 성장 속도가 거의 변하지 않는다.As can be seen already, the situation does not change even when depositing a Ge / Si alloy contrary to the above recognition in the measures according to the invention. It is demonstrated by FIG. 6 where the growth rate GR at the designated work point is expressed as a function of the% content of Ge. As can be seen from that, the growth rate hardly changes in a very wide range of the ratio of Ge to Si.

본 발명에 따른 조치는 주로 그 모두가 도핑되거나 되핑되지 않는 Si 층, Ge 층, 또는 Si/Ge 합금 층이나 Ga 층 및 Ga 화합물 층에 대한 시험에 의거하여 유도되고 확증된 것이다.The measures according to the invention are mainly derived and confirmed based on tests on Si layers, Ge layers, or Si / Ge alloy layers or Ga layers and Ga compound layers, all of which are not doped or backed.

본 발명에 따른 조치에 의해, ≤ 600 ℃의 낮은 온도에서 침착 속도가 매우 높은 동시에 유입된 반응성 가스량당 침착되는 층 재료에 있어서의 효율이 매우 높으면서도 층 품질이 극히 높은 효과가 조합되게 된다. 그럼으로써, 제안된 그러한 조치는 고 품질의 에피택셜 층이든 다른 층이든 그것을 산업적으로 제조하는데 아주 적합하다.The measures according to the invention result in a combination of very high deposition rates at low temperatures of ≦ 600 ° C. and at the same time extremely high layer quality with very high efficiency in the layer material deposited per incoming amount of reactive gas. As such, the proposed such measures are well suited for the industrial manufacture of high quality epitaxial or other layers.

문헌 일람List of documents

(1) Handbook of thin-film deposition processes and techniques, ed. Klaus K. Schuegraf, Noyes Publication, New Jersey, U.S.A., 1998, ISBNN 0-8155-1153-1(1) Handbook of thin-film deposition processes and techniques, ed. Klaus K. Schuegraf, Noyes Publication, New Jersey, U.S.A., 1998, ISBN N 0-8155-1153-1

(2) Atmospheric pressure chemical vapor deposition of Si and SiGe at low temperature, T.O. Sedgwick and P.D. Agnello, J. Vac. Sci. Technol. A10,1913 (1992)(2) Atmospheric pressure chemical vapor deposition of Si and SiGe at low temperature, T.O. Sedgwick and P.D. Agnello, J. Vac. Sci. Technol. A10,1913 (1992)

(3) Submicron highly doped Si layers grown by LPVPE, L. Vescan, H. Beneking and O. Meyer, J. Cryst. Growth 76, 63 (1986)(3) Submicron highly doped Si layers grown by LPVPE, L. Vescan, H. Beneking and O. Meyer, J. Cryst. Growth 76, 63 (1986)

(4) Low-temperature silicon epitaxy by ultrahigh vacuum/chemical vapor deposition, B. S. Meyerson, Appl. Phys. Lett. 48, 797 (1986)(4) Low-temperature silicon epitaxy by ultrahigh vacuum / chemical vapor deposition, B. S. Meyerson, Appl. Phys. Lett. 48, 797 (1986)

(5) Cooperative groeth phenomena in silicon/germanium low-temperature epitaxy, B. S. Meyerson, K. J. Uram, and F. K. LeGoues, Appl. Phys. Lett. 53, 2555 (1988)(5) Cooperative groeth phenomena in silicon / germanium low-temperature epitaxy, B. S. Meyerson, K. J. Uram, and F. K. LeGoues, Appl. Phys. Lett. 53, 2555 (1988)

(6) Silicon epitaxy at 650 - 800 ℃ using low-pressure chemical vapor deposition both with and without plasma enhancement, T. J. Donahue and R. Relief. J. Appl. Phys. 57, 2757 (1985)(6) Silicon epitaxy at 650-800 ° C using low-pressure chemical vapor deposition both with and without plasma enhancement, T. J. Donahue and R. Relief. J. Appl. Phys. 57, 2757 (1985)

(7) Low temperature silicon epitaxy by hot wall ultrahigh vacuum low pressure chemical vapor deposition techniques: Surface optimization, B. S. Meyerson, E. Ganin, D. A. Smith, and T. N. Nguyen, J. Electrochem. Soc. 133, 1232 (1986)(7) Low temperature silicon epitaxy by hot wall ultrahigh vacuum low pressure chemical vapor deposition techniques: surface optimization, B. S. Meyerson, E. Ganin, D. A. Smith, and T. N. Nguyen, J. Electrochem. Soc. 133, 1232 (1986)

(8) Kinetics of surface reaction in very low-pressure chemical vapor deposition of Si from SiH4, S. M. gates and S. K. Kulkarni, Appl. Phys. Lett. 58 2963 (1991)(8) Kinetics of surface reaction in very low-pressure chemical vapor deposition of Si from SiH 4 , SM gates and SK Kulkarni, Appl. Phys. Lett. 58 2963 (1991)

(9) Electron cyclotron resonance assisted low temperature ultrahighvacuum chemical vapor depositon of Si using silane, D. S. Mui, S. F. Fang, and H. Morkoс, Appl. Phys. Lett. 59, 1887 (1991)(9) Electron cyclotron resonance assisted low temperature ultrahigh vacuum chemical vapor depositon of Si using silane, D. S. Mui, S. F. Fang, and H. Morkoс, Appl. Phys. Lett. 59, 1887 (1991)

(10) Low-temperature silicon homoepitaxy bt ultrahigh vacuum electron cyclotron resonance chemical vapor deposition, H-S. Tae S-H. Hwang, S-J. oark, E. Yoon, and K-W. Whang, Appl. Phys. Lett. 64, 1021 (1994)(10) Low-temperature silicon homoepitaxy bt ultrahigh vacuum electron cyclotron resonance chemical vapor deposition, H-S. Tae S-H. Hwang, S-J. oark, E. Yoon, and K-W. Whang, Appl. Phys. Lett. 64, 1021 (1994)

(11) Epitaxial growth of silicon from SiH4in the temperature range 800 - 1150 ℃, W. G. Townsend and M. E. Uddin, Solid State Electron 16, 39 (1973)(11) Epitaxial growth of silicon from SiH 4 in the temperature range 800-1150 ° C, WG Townsend and ME Uddin, Solid State Electron 16, 39 (1973)

(12) Homoepitaxial films grown on Si(100) at 150 ℃ bt remote plasma-enhanced chemical vapor deposition, L. Breaux, B. Anthony, T. Hsu, B. Banerjee, and A. Yasch. Appl. Phys. Lett. 55, 1885 (1989)(12) Homoepitaxial films grown on Si (100) at 150 ° C. bt remote plasma-enhanced chemical vapor deposition, L. Breaux, B. Anthony, T. Hsu, B. Banerjee, and A. Yasch. Appl. Phys. Lett. 55, 1885 (1989)

(13) Growth of GexSil/Si heteroepitaxial films by remote plasma chemical vapor deposition, R. Qian, D. Kinosky, T. Hsu, J. Irby, A. Mahajan, S. Thomas, B. Anthony, S. Banerjee, A. Tasch, L. Rabenberg and C. Magee, J. Vac. Sci. Technol. A10, 1920 (1992)(13) Growth of Ge x Si l / Si heteroepitaxial films by remote plasma chemical vapor deposition, R. Qian, D. Kinosky, T. Hsu, J. Irby, A. Mahajan, S. Thomas, B. Anthony, S. Banerjee, A. Tasch, L. Rabenberg and C. Magee, J. Vac. Sci. Technol. A10, 1920 (1992)

(14) Low temperature epitaxial silicon film growth using high vacuum electron-cyclotron-resonance plasma deposition, S. J. DeBoer, V. L. Dalal, G. Chumanov, and R. bartels, Appl. Phys. Lett. 66, 2528 (1995)(14) Low temperature epitaxial silicon film growth using high vacuum electron-cyclotron-resonance plasma deposition, S. J. DeBoer, V. L. Dalal, G. Chumanov, and R. bartels, Appl. Phys. Lett. 66, 2528 (1995)

(15) Hydrogen plasma chemical cleaning of metallic substrates and Silicon wafer; W. Korner et al., Balzers Ltd., Liechtenstein, Surface andcoatings technology, 76 - 77 (1995) 731 - 737.(15) Hydrogen plasma chemical cleaning of metallic substrates and Silicon wafer; W. Korner et al., Balzers Ltd., Liechtenstein, Surface and coatings technology, 76-77 (1995) 731-737.

청구의 범위Claim

1. 에피택시를 충족시키는 품질로 코팅되는 피가공재를 제조하는 방법에 있어서,1. A method of manufacturing a workpiece that is coated with a quality that meets epitaxy,

피가공재를 DC 방전의 사용 하에 PECVD에 의해 코팅하는 것을 특징으로 하는 코팅되는 피가공재의 제조 방법.A process for producing a coated workpiece, characterized in that the workpiece is coated by PECVD under the use of a DC discharge.

2. 제1항에 있어서, 코팅을 성장 속도2. The growth rate of the coating according to item 1

GR ≥ 150 Å/minGR ≥ 150 μs / min

및 가스 활용 수And gas utilization

1 % ≤ GAF≤ 90 %1% ≤ GA F ≤ 90%

로써 행하는 것을 특징으로 하는 제조 방법.The manufacturing method characterized by the above-mentioned.

3. 제2항에 있어서, 성장 속도를3. The method of paragraph 2 wherein the growth rate is

GR ≥ 300 Å/min, 바람직하게는GR ≥ 300 μs / min, preferably

GR ≥ 650 Å/min, 더욱 바람직하게는GR ≥ 650 dl / min, more preferably

GR ≥ 1,000 Å/minGR ≥ 1,000 Å / min

으로 하는 것을 특징으로 하는 제조 방법.The manufacturing method characterized by the above-mentioned.

4. 제3항에 있어서, 가스 활용 수를4. The method of paragraph 3, wherein

GAF≥ 5 %GA F ≥ 5%

로 하는 것을 특징으로 하는 제조 방법.The manufacturing method characterized by the above-mentioned.

5. 제1항 내지 제4항 중의 어느 한 항에 있어서, 코팅하려는 피가공재 표면이 나중에 위치될 지점에서 동일한 전위로 프로브 측정할 때에 0.05 A/㎠ 이상, 바람직하게는 0.1 A/㎠ 이상으로부터 최대로 방전 전류/기판 면적의 전류 밀도가 프로브 표면에 설정되도록 방전을 조절하는 것을 특징으로 하는 제조 방법.5. The method according to any one of items 1 to 4, wherein a maximum of from 0.05 A / cm 2 or more, preferably 0.1 A / cm 2 or more when the probe is measured at the same potential at the point where the workpiece surface to be coated is later positioned. And controlling the discharge so that the current density of the furnace discharge current / substrate area is set on the probe surface.

6. 제5항에 있어서, 측정되는 전류 밀도를 주로 전자 입사에 의해 생성하는 것을 특징으로 하는 제조 방법.6. The process according to 5, wherein the measured current density is produced primarily by electron incidence.

7. 제1항 내지 제6항 중의 어느 한 항에 있어서, 방전 전류 IAK7. The discharge current I AK according to any one of items 1 to 6, wherein

5 A ≤ IAK≤ 400 A5 A ≤ I AK ≤ 400 A

로 선택하고, 바람직하게는And preferably

20 A ≤ IAK≤ 100 A20 A ≤ I AK ≤ 100 A

로 선택하는 것을 특징으로 하는 제조 방법.The manufacturing method characterized by the above-mentioned.

8. 제1항 내지 제7항 중의 어느 한 항에 있어서, 방전 전압 UAK8. The method according to any one of items 1 to 7, wherein the discharge voltage U AK is

10 V ≤ UAK≤ 80 V10 V ≤ U AK ≤ 80 V

로 선택하고, 바람직하게는And preferably

20 V ≤ UAK≤ 35 V20 V ≤ U AK ≤ 35 V

로 선택하는 것을 특징으로 하는 제조 방법.The manufacturing method characterized by the above-mentioned.

9. 제1항 내지 제8항 중의 어느 한 항에 있어서, 공정실 중에서의 반응성 가스 분압 PR9. The reactive gas partial pressure P R in the process chamber according to any one of items 1 to 8, wherein

10-5mbar ≤ PR≤ 10-1mbar10 -5 mbar ≤ P R ≤ 10 -1 mbar

로 선택하고, 바람직하게는And preferably

10-4mbar ≤ PR≤ 10-2mbar10 -4 mbar ≤ P R ≤ 10 -2 mbar

로 선택하는 것을 특징으로 하는 제조 방법.The manufacturing method characterized by the above-mentioned.

10. 제1항 내지 제9항 중의 어느 한 항에 있어서, 방전을 무엇보다 반응성 가스 해리를 위한 전자 원으로서 사용하는 것을 특징으로 하는 제조 방법.10. The production method according to any one of items 1 to 9, wherein the discharge is used as an electron source for dissociating reactive gas, among other things.

11. 제1항 내지 제10항 중의 어느 한 항에 있어서, 저압 방전, 바람직하게는 열 음극 저 전압 방전을 DC 방전으로서 사용하는 것을 특징으로 하는 제조 방법.11. The production method according to any one of items 1 to 10, wherein low pressure discharge, preferably hot cathode low voltage discharge, is used as DC discharge.

12. 제1항 내지 제11항 중의 어느 한 항에 있어서, 공정실 중에서 전압 PT를 다음과 같이 설정하는 것을 특징으로 하는 제조 방법:12. The method according to any one of items 1 to 11, wherein the voltage P T is set as follows in the process chamber:

10-4mbar ≤ PT≤ 10-1mbar, 바람직하게는10 -4 mbar ≤ P T ≤ 10 -1 mbar, preferably

10-3mbar ≤ PT≤ 10-2mbar.10 −3 mbar ≦ P T ≦ 10 −2 mbar.

13. 제1항 내지 제12항 중의 어느 한 항에 있어서, 용기 중에서 작업 가스 분압 PA를 다음과 같이 설정하는 것을 특징으로 하는 제조 방법:13. The production method according to any one of items 1 to 12, wherein the working gas partial pressure P A is set in the container as follows:

10-4mbar ≤ PA≤ 10-1mbar, 바람직하게는10 -4 mbar ≤ P A ≤ 10 -1 mbar, preferably

10-3mbar ≤ PA≤ 10-2mbar.10 −3 mbar ≦ P A ≦ 10 −2 mbar.

14. 제1항 내지 제13항 중의 어느 한 항에 있어서, 방전 음극과 참조 전위, 바람직하게는 접지 전위가 걸린 진공 용기 벽 사이에 방전 전압을 인가하는 것을 특징으로 하는 제조 방법.14. A process according to any of the preceding claims, wherein a discharge voltage is applied between the discharge cathode and the wall of the vacuum vessel, the reference potential, preferably the ground potential.

15. 제14항에 있어서, 공정실 중에서 피가공재를15. The process of clause 14, wherein the workpiece is to be removed from the process chamber.

부동 전위로 동작시키거나 Operate at floating potential

그 피가공재에 접속 바이어스 전위를 거는 것을 특징으로 하는 제조 방법. A connection bias potential is applied to the workpiece.

16. 제15항에 있어서, 피가공재를 방전 전압에 대해 음인 전압 Us, 바람직하게는 Us≥ -25 V, 더욱 바람직하게는 -15 V 내지 -3 V인 전압 Us로 동작시키는 것을 특징으로 하는 제조 방법.16. characterized in that the method according to claim 15 wherein the material to be processed by operating the negative voltage U s, preferably U s ≥ -25 V, more preferably from -15 V to -3 V in the voltage U s for the discharge voltage Manufacturing method.

17. 제14항 내지 제16항 중의 어느 한 항에 있어서, 방전 구간을 따라 보조 양극을 바람직하게는 방전물을 에워싸는 링형 양극의 형태로 마련하고, 그 보조 양극을 방전 음극에 대해 바람직하게는 방전 전압 이하인 조절이 가능한 전압으로 동작시키는 것을 특징으로 하는 제조 방법.17. The method according to any one of items 14 to 16, wherein an auxiliary anode is preferably provided along the discharge section in the form of a ring-shaped anode surrounding the discharge, and the auxiliary anode is preferably discharged to the discharge cathode. A production method characterized by operating at a voltage that can be adjusted to be less than or equal to the voltage.

18. 제1항 내지 제13항 중의 어느 한 항에 있어서, 진공 용기 중에 그에 대해 절연되어 조립된 방전용 양극을 바람직하게는 링형 양극의 형태로 마련하는 것을 특징으로 하는 제조 방법.18. The production method according to any one of items 1 to 13, wherein the discharge anode insulated and assembled in the vacuum vessel is preferably provided in the form of a ring-shaped anode.

19. 제18항에 있어서, 공정실 중에서 피가공재를19. The process of clause 18, wherein the workpiece is

부동 전위로 동작시키거나 Operate at floating potential

그 피가공재에 접속 바이어스 전위를 거는 것을 특징으로 하는 제조 방법. A connection bias potential is applied to the workpiece.

20. 제19항에 있어서, 피가공재를 방전 음극에 대해 방전 전압 이하로 동작시키는 것을 특징으로 하는 제조 방법.20. The method according to 19, wherein the workpiece is operated at a discharge voltage or less with respect to the discharge cathode.

21. 제18항 내지 제20항 중의 어느 한 항에 있어서, 진공 용기 벽을21. The vacuum container wall of any of paragraphs 18-20, wherein

부동 전위로 동작시키거나 Operate at floating potential

임피던스 소자를 경유하여 참조 전위로 고정시키는 것을 특징으로 하는 제조 방법. A manufacturing method characterized by fixing at a reference potential via an impedance element.

22. 제1항 내지 제13항 중의 어느 한 항에 있어서, 피가공재를 방전 양극에 대해 -25 V 내지 +25 V의 전압으로 동작시키고, Ga 화합물, Si, Ge, 또는 그 화합물의 경우에는 바람직하게는22. The process according to any one of items 1 to 13, wherein the workpiece is operated at a voltage of -25 V to +25 V with respect to the discharge anode, and in the case of Ga compound, Si, Ge, or a compound thereof, Makes

-20 V ≤ Us≤ +20 V-20 V ≤ U s ≤ +20 V

의 전압, 그 중에서도 음의 전압으로 동작시키는 것을 특징으로 하는 제조 방법.And a voltage of, in particular, a negative voltage.

23. 제1항 내지 제22항 중의 어느 한 항에 있어서, 피가공재 온도를 600 ℃ 이하, Si, Ge, 또는 그 화합물의 경우에는 바람직하게는 300 ℃ 내지 600 ℃, Ga 화합물의 경우에는 바람직하게는 300 ℃ 내지 800 ℃로 유지시키는 것을 특징으로 하는 제조 방법.23. The workpiece material according to any one of items 1 to 22, which is preferably 600 ° C. or lower, Si, Ge, or a compound thereof, preferably 300 ° C. to 600 ° C., and a Ga compound. Is maintained at 300 ° C to 800 ° C.

24. 제1항 내지 제23항 중의 어느 한 항에 있어서, 코팅을 7.5Å/(sccm·min) 이상, 바람직하게는 40 Å/(sccm·min) 이상, 더욱 바람직하게는 75 Å/(sccm·min) 이상인 반응성 가스 플러스 단위당 코팅 속도 GRF로 행하는 것을 특징으로 하는 제조 방법.24. The process according to any one of items 1 to 23, wherein the coating is at least 7.5 cc / (sccm · min), preferably at least 40 cc / (sccm · min), more preferably at least 75 cc / (sccm Min) min. Or more of the reactive gas plus a coating rate GR F per unit.

25. 제1항 내지 제12항 중의 어느 한 항에 있어서, 원하는 코팅 속도 변경을 진공 용기 중의 반응성 가스 플럭스를 그에 대략 비례하게 조절함으로써 행하는 것을 특징으로 하는 제조 방법.25. The process according to any of the preceding clauses, wherein the desired coating rate change is carried out by adjusting the reactive gas flux in the vacuum vessel approximately proportionally thereto.

26. 제1항 내지 제25항 중의 어느 한 항에 있어서, 원하는 코팅 속도 변경을 방전 전류 밀도를 그에 대략 비례하게 조절함으로써, 바람직하게는 방전 전류 및/또는 방전 전압의 조절에 의해 및/또는 방전의 편향 및/또는 집속 변경에 의해 행하되, 후자의 조절은 바람직하게는 정전기적으로 및/또는 자기적으로 행하는 것을 특징으로 하는 제조 방법.26. The method according to any one of items 1 to 25, wherein the desired coating speed change is controlled by adjusting the discharge current density approximately in proportion thereto, preferably by adjusting the discharge current and / or the discharge voltage and / or the discharge. By deflecting and / or changing the focus of the latter, wherein the latter adjustment is preferably performed electrostatically and / or magnetically.

27. 제1항 내지 제26항 중의 어느 한 항에 있어서, 피가공재를 방전과는 별개로 가열하는 것을 특징으로 하는 제조 방법.27. The production method according to any one of items 1 to 26, wherein the workpiece is heated separately from the discharge.

28. DC 방전에 의한 PECVD 방법을 에피택셜 층을 제조하는데 사용하는 용도.28. Use of the PECVD method by DC discharge to produce an epitaxial layer.

29. 제1항 내지 제17항 중의 어느 한 항 또는 제28항에 따른 방법을 반도체 층을 구비하는 기판을 제조하는데 사용하는 용도.29. Use of the method according to any one of items 1 to 17 or 28 to produce a substrate having a semiconductor layer.

30. 제29항에 있어서, 반도체 에피택셜 층이나 다결정 또는 비정질 반도체 층을 구비하는 기판을 바람직하게는 코팅되지 않은 기판, 특히 그 표면 특성에 의해 제어되게 제조하는데 사용하는 것을 특징으로 하는 용도.30. The use of paragraph 29, wherein the substrate having a semiconductor epitaxial layer or a polycrystalline or amorphous semiconductor layer is used for producing an uncoated substrate, in particular controlled by its surface properties.

31. 제28항 내지 제30항 중의 어느 한 항에 있어서, 실리콘 층 및/또는 게르마늄 층 또는 Si/Ge 합금 층을 구비하는, 바람직하게는 주기율표 Ⅲ족 및/또는 Ⅴ족으로부터의 하나 이상의 원소로 도핑된 그러한 층을 구비하는 기판을 제조하는데 사용하는 것을 특징으로 하는 용도.31. The process according to any of paragraphs 28 to 30, comprising at least one element from a group III and / or group V of the periodic table, preferably having a silicon layer and / or a germanium layer or a Si / Ge alloy layer. Use to prepare a substrate having such a doped layer.

32. 제28항 내지 제30항 중의 어느 한 항에 있어서, Ga 층 또는 Ga 화합물 층을 구비하는, 바람직하게는 주기율표 Ⅱ족, Ⅲ족, Ⅳ족, 또는 Ⅵ족으로부터의 하나 이상의 원소로 도핑된 그러한 층을 구비하는 기판을 제조하는데 사용하는 것을 특징으로 하는 용도.32. The process of any of paragraphs 28-30, comprising a Ga layer or a Ga compound layer, preferably doped with one or more elements from Group II, III, IV, or VI of the Periodic Table. Use to prepare a substrate having such a layer.

33. 제28항 내지 제32항 중의 어느 한 항에 있어서, 반응성 가스로서 하나 이상의 Si 및/또는 Ge 함유 가스를 사용하고, 바람직하게는 추가의 수소 가스를 반응실 중에 도입하는 것을 특징으로 하는 용도.33. The use according to any one of items 28 to 32, characterized in that at least one Si and / or Ge containing gas is used as the reactive gas and preferably further hydrogen gas is introduced into the reaction chamber. .

34. 제28항 내지 제33항 중의 어느 한 항에 있어서, 피가공재를 7.5 Å/(sccm·min) 이상, 바람직하게는 40 Å/(sccm·min) 이상, 더욱 바람직하게는 75 Å/(sccm·min) 이상인 반응성 가스 플러스 단위당 코팅 속도 GRF로 코팅하는데 사용하는 것을 특징으로 하는 용도.34. The process according to any one of 28 to 33, wherein the workpiece is at least 7.5 kV / (sccm · min), preferably at least 40 kPa / (sccm · min), more preferably at least 75 kPa / ( for use at a coating rate GR F per unit of reactive gas plus units of sccm · min) or greater.

35. 제34항에 있어서, 기판을 600 ℃ 미만, Si, Ge, 및 그 화합물의 경우에는 바람직하게는 300 ℃ 내지 600 ℃, Ga 화합물의 경우에는 바람직하게는 300 ℃ 내지 800 ℃인 기판 온도에서 코팅하는데 사용하는 것을 특징으로 하는 용도.35. The substrate of clause 34, wherein the substrate is at a temperature of less than 600 ° C., preferably from 300 ° C. to 600 ° C. for Si, Ge, and compounds thereof, preferably from 300 ° C. to 800 ° C. for Ga compounds. Use for coating.

36. 진공 용기, 방출구를 경유하여 진공 용기에 연결되고 하나 이상의 열 음극이 달린 음극실, 용기 중에 배치된 피가공재 지지대, 및 양극 장치를 구비하되, 피가공재 지지대가 전기 절연되어 용기 중에 조립되는 것을 특징으로 하는 제1항 내지 제27항 중의 어느 한 항에 따른 방법을 행하는 설비.36. A vacuum vessel, comprising a cathode chamber connected to the vacuum vessel via an outlet and having at least one thermal cathode, a workpiece support disposed in the vessel, and an anode device, wherein the workpiece support is electrically insulated and assembled in the vessel. An installation for carrying out the method according to any one of claims 1 to 27.

37. 제36항에 있어서, 피가공재 지지대에 양극에 대해 조절될 수 있는 전압이 걸릴 수 있거나 피가공재 지지대에 걸리는 전위가 부동적이고, 그 경우에 용기하우징은 양극 전위로, 그리고 음극은 양극 전위에 대한 음극 전위, 바람직하게는 10 내지 80 V, 그 중에서도 특히 바람직하게는 20 내지 35 V의 전위로 놓일 수 있되, 바람직하게는 피가공재 지지대가 양극 전위에 대해 ±25 V 이하로 조절될 수 있는 것을 특징으로 하는 설비.37. The workpiece support of clause 36, wherein the workpiece support may be subjected to an adjustable voltage relative to the anode or the potential applied to the workpiece support is floating, in which case the container housing is at the anode potential and the cathode is at the anode potential. Relative to the cathode potential, preferably 10 to 80 V, particularly preferably 20 to 35 V, preferably the workpiece support can be adjusted to ± 25 V or less relative to the anode potential. Featured equipment.

38. 제36항 또는 제37항에 있어서, 방전용 양극 장치가 진공 용기 벽을 포함하거나 양극 장치가 용기 중에 절연되어 조립되는 것을 특징으로 하는 설비.38. The installation according to 36 or 37, wherein the anode device for discharging comprises a vacuum vessel wall or the anode device is assembled insulated in the container.

39. 제38항에 있어서, 피가공재는 그 전위가 부동적이고, 그 전압이 양극 장치에 대해 -25 V보다 더 음으로 설정되지 않게, 바람직하게는 -3 V 내지 -15 V로 설정되게 배치되는 것을 특징으로 하는 설비.39. The work piece according to item 38, wherein the workpiece is arranged so that its potential is floating and its voltage is not set to more negative than -25 V for the anode device, preferably set from -3 V to -15 V. Equipment characterized in that.

40. 제38항에 있어서, 피가공재는 바람직하게는 조절이 가능한 바이어스 원에 의해 양극 장치에 대해 -25 V 내지 +25 V의 전압, 바람직하게는 음의 전압, 그 중에서도 -15 V 내지 -3 V의 전압으로 놓여질 수 있는 것을 특징으로 하는 설비.40. The workpiece according to item 38, wherein the workpiece is preferably a voltage of -25 V to +25 V, preferably negative voltage, especially -15 V to -3, with respect to the anode device by means of adjustable bias sources. Equipment characterized in that it can be placed at a voltage of V.

41. 제36항 내지 제40항 중의 어느 한 항에 있어서, 보조 양극, 바람직하게는 방출구의 축선에 동심사으로 배치된 링형 양극의 형태의 보조 양극이 마련되고, 그 보조 양극은 용기 벽에 대해 동일하거나 상이한 전위로 놓여질 수 잇거나 그렇게 놓여지는 것을 특징으로 하는 설비.41. The auxiliary anode according to any one of items 36 to 40, wherein the auxiliary anode is provided in the form of a ring anode arranged concentrically on the axis of the auxiliary anode, preferably the outlet. Equipment characterized in that it can be placed or so placed at the same or different potential.

42. 제36항 내지 제41항 중의 어느 한 항에 있어서, 용기 벽은 그 전위가 부동적이거나 임피던스 소자, 바람직하게는 저항 소자를 경유하여 참조 전위로 고정되는 것을 특징으로 하는 설비.42. The plant of any of paragraphs 36-41, wherein the vessel wall is floating in potential or fixed at a reference potential via an impedance element, preferably a resistance element.

43. 제36항 내지 제42항 중의 어느 한 항에 있어서, 열 음극과 양극 장치의 적어도 일부 사이에43. The method according to any one of items 36 to 42, between at least a portion of the thermal cathode and the anode device.

10 V ≤ UAK≤ 80 V, 바람직하게는10 V ≤ U AK ≤ 80 V, preferably

20 V ≤ UAK≤ 35 V20 V ≤ U AK ≤ 35 V

의 전압 UAK가 설정되는 것을 특징으로 하는 설비.The equipment characterized in that the voltage U AK is set.

44. 제36항 내지 제43항 중의 어느 한 항에 있어서, 피가공재와 양극 장치에서의 최대 전위 사이에44. The method according to any one of items 36 to 43, between the maximum potential in the workpiece and the anode device.

-25 V ≤ Us≤ +25 V-25 V ≤ U s ≤ +25 V

의 전압 Us, 바람직하게는 음의 전압, 그 중에서도The voltage of U s , preferably the negative voltage, inter alia

-15 V ≤ Us≤ -3 V-15 V ≤ U s ≤ -3 V

의 전압 Us가 설정되는 것을 특징으로 하는 설비.And the voltage U s is set.

45. 제36항 내지 제44항 중의 어느 한 항에 있어서, 작업 가스 탱크, 바람직하게는 아르곤 가스 탱크와 접속된 가스 공급 라인이 음극실로 통하는 것을 특징으로 하는 설비.45. The plant according to any one of items 36 to 44, characterized in that the gas supply line connected to the working gas tank, preferably the argon gas tank, is led to the cathode chamber.

46. 제35항 내지 제45항 중의 어느 한 항에 있어서, 방출구 축선에 동축상이거나 그에 대해 엇갈린 자계를 생성하는 자석 장치가 방출구 축선에 대략 동심상으로 용기 중에 마련되되, 자석 장치가 영구 자석 및/또는 하나 이상의 코일 장치를 포함하는 것을 특징으로 하는 설비.46. The magnet device according to any one of items 35 to 45, wherein a magnet device is generated in the container which is coaxial with or substantially concentric with the outlet axis, wherein the magnet device is permanent. A facility comprising a magnet and / or one or more coil arrangements.

47. 제36항 내지 제46항 중의 어느 한 항에 있어서, 용기는 터보 진공 펌프, 바람직하게는 터보 분자 펌프에 접속되는 것을 특징으로 하는 설비.47. The plant according to any one of items 36 to 46, wherein the vessel is connected to a turbo vacuum pump, preferably a turbo molecular pump.

48. 제36항 내지 제47항 중의 어느 한 항에 있어서, 열 음극은 5 내지 400 A, 바람직하게는 20 내지 100 A의 전자 전류를 공급받는 것을 특징으로 하는 설비.48. The plant of any of paragraphs 36-47, wherein the thermal cathode is supplied with an electron current of 5 to 400 A, preferably 20 to 100 A.

49. 제36항 내지 제48항 중의 어느 한 항에 있어서, 피가공재는 용기 중에서 방전의 전자 밀도가 가장 높은 지점에 배치되고, 바람직하게는 방출구 축선에 대략 동심상으로 용기 중에 배치되는 것을 특징으로 하는 설비.49. The workpiece according to any one of items 36 to 48, wherein the workpiece is disposed at the point with the highest electron density of the discharge in the vessel, and is preferably disposed in the vessel substantially concentrically with the outlet axis. Equipment.

50. 제36항 내지 제49항 중의 어느 한 항에 있어서, 용기는 Si 및/또는 Ge 함유 가스 또는 Ga 함유 가스를 함유하고 바람직하게는 H2가 추가로 들어 있는 가스 탱크 장치에 접속되는 것을 특징으로 하는 설비.50. The gas tank device according to any one of items 36 to 49, wherein the vessel is connected to a gas tank device containing Si and / or Ge containing gas or Ga containing gas, preferably further containing H 2. Equipment.

51. 제36항 내지 제50항 중의 어느 한 항에 따른 설비를 제28항 내지 제35항 중의 어느 한 항을 따라 사용하는 용도.51. Use of a facility according to any of paragraphs 36 to 50 in accordance with any of paragraphs 28 to 35.

52. DC 방전에 의한 PECVD 코팅 방법을 에피택셜 층을 성장시키는데 사용하는 용도.52. Use of a PECVD coating method by DC discharge to grow an epitaxial layer.

53. 결정 구조와 같은 피가공재 표면의 특성을 미리 정함으로써, 다결정 층,비정질 층, 및 에피택셜 층 중의 어느 층이 생성될 것인지를 제어하는 것을 특징으호 하는 제36항 내지 제50항 중의 어느 한 항에 따른 설비를 작동하는 방법.53. The method of any one of paragraphs 36 to 50, wherein the control of which of the polycrystalline layer, the amorphous layer, and the epitaxial layer is to be produced by pre-determining the properties of the workpiece surface, such as the crystal structure. Method of operating the plant according to the paragraph.

54. 제1항 내지 제27항 중의 어느한 항에 따른 방법 또는 제36항 내지 제50항 중의 어느 한 항에 따른 설비를 태양 전지를 제조하는데 사용하는 용도.54. Use of the method according to any one of items 1 to 27 or the equipment according to any one of items 36 to 50 for producing a solar cell.

요약서Summary

피가공재 상에 에피택시를 충족시키는 품질로 층을 침착하는 동시에, 예컨대 UHV-CVD 또는 ECR-CVD 대신에 DC 플라즈마 방전의 적용 하에 PECVD 방법을 사용함으로써 침착 속도를 현격히 증대시킬 것을 제안한다.It is proposed to significantly increase the deposition rate by depositing a layer with a quality that meets epitaxy on the workpiece, for example by using a PECVD method under the application of a DC plasma discharge instead of UHV-CVD or ECR-CVD.

도면drawing

도 11

도 22

도 33

도 44

도 55

도 66

도 77

Claims (49)

공정실(PR) 중에 유입된 반응성 가스 또는 반응성 가스 혼합물을 부품 표면에서의 이온 에너지 E가The reactive gas or the reactive gas mixture introduced into the process chamber (PR) causes the ion energy E 0 eV < E ≤ 15 eV0 eV <E ≤ 15 eV 로 되도록 하는 저 에너지 플라즈마 방전(PL)에 의해 활성화시키는 하나 이상의 플라즈마 촉진 처리 단계를 사용하여 전자 소자, 광전 소자, 광 소자, 또는 정밀 기계 소자로서의 또는 그 중간재로서의 부품을 제조하는 방법에 있어서,1. A method of manufacturing a component as an electronic device, an optoelectronic device, an optical device, or a precision mechanical device, or as an intermediate material thereof, using at least one plasma accelerated processing step activated by a low energy plasma discharge (PL). 처리 단계 동안 공정 분위기(PR)를 주위에 놓인 진공 용기(1)의 내벽으로부터 격리(15; 15a; 14; 15b)시키는 것을 특징으로 하는 부품 제조 방법.A process for producing a component, characterized in that during the processing step the process atmosphere (PR) is isolated (15; 15a; 14; 15b) from the inner wall of the surrounding vacuum vessel (1). 제1항에 있어서, 하나 이상의 플라즈마 촉진 처리 단계를 다음의 것 중의 하나 이상으로 하는 것을 특징으로 하는 제조 방법:The process according to claim 1, wherein the at least one plasma promoting treatment step is at least one of the following: (a) 부품의 코팅(a) coating of parts (b) 미리 주어진 침투 깊이까지의 부품의 재료 조성의 변경(b) alteration of the material composition of the part up to a given depth of penetration; (c) 부품의 표면의 식각.(c) Etching the surface of the part. 제1항 또는 제2항에 있어서, 하나 이상의 플라즈마 촉진 처리 단계 전 및/또는 후에 플라즈마 촉진 세정 단계를 상기 유형의 추가의 플라즈마 촉진 처리 단계로서 행하되, 바람직하게는 수소, 불활성 가스, 또는 그 혼합물을 함유한 플라즈마중에서 행하는 것을 특징으로 하는 제조 방법.The process of claim 1 or 2, wherein the plasma accelerated cleaning step before and / or after the one or more plasma accelerated treatment steps is carried out as an additional plasma accelerated treatment step of this type, preferably hydrogen, inert gas, or mixtures thereof. A manufacturing method characterized by performing in a plasma containing. 제1항 내지 제3항 중의 어느 한 항에 있어서, 상기 하나 이상의 플라즈마 촉진 처리 단계를 행함으로 인해 국부적으로 침전물이 침전된 부품을, 공정실(PR) 중에 유입된 바람직하게는 수소를 함유한 반응성 가스 또는 반응성 가스 혼합물을 부품 표면에서의 이온 에너지 E가4. The reactive according to any one of claims 1 to 3, wherein the component in which the precipitate has been locally deposited due to the one or more plasma promoting treatment steps, preferably containing hydrogen introduced into the process chamber (PR). Gas or reactive gas mixtures 0 eV < E ≤ 15 eV0 eV <E ≤ 15 eV 로 되도록 하는 저 에너지 플라즈마 방전(PL)에 의해 활성화시키는 하나 이상의 부분 세정 단계를 사용하여 세정하되, 그 부분 세정 단계 동안 세정 분위기를 금속제 케이싱(15b)에 의해 주위에 놓인 진공 용기의 내벽으로부터 격리시키거나, 바람직하게는 세정 분위기를 주위에 놓인 진공 용기(1)의 내벽에 직접 접경시키는 것을 특징으로 하는 제조 방법.Cleaning using one or more partial cleaning steps activated by a low energy plasma discharge (PL) to ensure that the cleaning atmosphere is isolated from the inner wall of the vacuum vessel enclosed by the metal casing 15b during the partial cleaning step. Or, preferably, the cleaning atmosphere is directly bordered on the inner wall of the vacuum vessel (1) placed around it. 제3항 또는 제4항에 있어서, 가상 기판을 제조하기 위해,The method according to claim 3 or 4, for manufacturing a virtual substrate, ⅰ) 기판을 바람직하게는 수소를 반응성 가스로서 함께 사용하면서 제3항 또는 제4항에 따라 세정하고;Iii) the substrate is cleaned according to claim 3 or 4, preferably using hydrogen together as a reactive gas; ⅱ) 플라즈마 촉진 처리 단계로서 헤테로 에피택셜 층을 성장시키며;Ii) growing a hetero epitaxial layer as a plasma promoting treatment step; ⅲ) 필요에 따라 추가의 플라즈마 처리 단계로서 사용하려는 반도체 층을 성장시키는 것을 특징으로 하는 제조 방법.And iii) growing a semiconductor layer to be used as an additional plasma treatment step as necessary. 제1항 내지 제5항 중의 어느 한 항에 있어서, 공정실(PR) 중에 순차적 시간 순서로 들어오는 부품을 하나 이상의 플라즈마 촉진 처리 단계로 각각 처리하고, 미리 정해진 수의 그러한 플라즈마 촉진 처리 단계를 행한 후에 부품의 도입이 없거나 기판 모형을 동반하는 플라즈마 촉진 공정실 세정 단계로 이뤄진 추가의 플라즈마 촉진 처리 단계를 상기 공정실(PR) 중에서 행하며, 그 공정실 세정 단계가 식각 단계 및 바람직하게는 수소, 불활성 가스, 또는 그 혼합물을 함유한 플라즈마 중에서의 연이은 세정 단계를 포함하도록 하는 것을 특징으로 하는 제조 방법.The process according to any one of claims 1 to 5, wherein the components coming in the sequential time sequence in the process chamber PR are each treated with one or more plasma promoting treatment steps, and after performing a predetermined number of such plasma promoting treatment steps. An additional plasma accelerated treatment step consisting of a plasma accelerated process chamber cleaning step with no introduction of components or accompanying a substrate model is carried out in the process chamber PR, the process chamber cleaning step being an etching step and preferably hydrogen, an inert gas. Or a subsequent cleaning step in the plasma containing the mixture. 제1항 내지 제6항 중의 어느 한 항에 있어서, 부품을 장소상으로 분리된 2개 이상의 플라즈마 처리 단계로 처리하고, 그 처리 단계 사이에서의 부품 이송을 진공 중에서 행하는 것을 특징으로 하는 제조 방법.The manufacturing method according to any one of claims 1 to 6, wherein the parts are processed in two or more plasma processing steps separated into place, and the parts transfer between the processing steps is performed in a vacuum. 제7항에 있어서, 진공 중에서의 이송을 적어도 단편적으로 선형으로 행하거나, 바람직하게는 원형 경로를 따른 공정에의 선형 공급 이동에 의해, 바람직하게는 원형 경로에 대한 반경 방향의 이동 성분에 의해 행하는 것을 특징으로 하는 제조 방법.The process according to claim 7, wherein the transfer in vacuum is at least partially linear, preferably by linear feed movement into the process along the circular path, preferably by a moving component in the radial direction relative to the circular path. The manufacturing method characterized by the above-mentioned. 제1항 내지 제8항 중의 어느 한 항에 있어서, 격리를 새 것의 상태에서 플라즈마 활성 반응성 가스 또는 가스 혼합물에 대해 불활성인 표면, 바람직하게는 유전체 표면 또는 흑연 표면을 공정실에 접경시킴으로써 행하는 것을 특징으로 하는제조 방법.The process according to any of the preceding claims, characterized in that the isolation is carried out by contacting the process chamber with a surface, preferably a dielectric surface or a graphite surface, which is inert to the plasma active reactive gas or gas mixture in the fresh state. Manufacturing method. 제9항에 있어서, 대부분의 면 섹션을 따라 진공 용기의 내벽으로부터 이격된 격리 벽의 표면을 불활성 표면으로 하는 것을 특징으로 하는 제조 방법.10. A method according to claim 9, wherein the surface of the isolation wall spaced from the inner wall of the vacuum vessel along most of the face sections is an inert surface. 제10항에 있어서, 공정실(PR) 및 격리 벽과 진공 용기의 내벽 사이의 개재 공간(ZW)을 동일하게 또는 상이하게 펌핑(13a, 13b, 115)하는 것을 특징으로 하는 제조 방법.Method according to claim 10, characterized in that the process chamber (PR) and the intervening space (ZW) between the isolation wall and the inner wall of the vacuum vessel are equally or differently pumped (13a, 13b, 115). 제9항 내지 제11항 중의 어느 한 항에 있어서, 새 것의 상태에서 다음의 재료 중의 하나 이상으로 이뤄지는 표면을 구현하는 것을 특징으로 하는 제조 방법:The method according to any one of claims 9 to 11, characterized in that a surface is made of one or more of the following materials in the state of a new one: 석영, 흑연, 탄화실리콘, 질화실리콘, 산화알루미늄, 산화티타늄, 산화탄탈, 산화니오븀, 산화지르코늄, 또는 그러한 재료가 적층된 조합체, 다이아몬드상 탄소 또는 다이아몬드.Quartz, graphite, silicon carbide, silicon nitride, aluminum oxide, titanium oxide, tantalum oxide, niobium oxide, zirconium oxide, or a combination of such materials, diamond-like carbon or diamond. 제1항 내지 제12항 중의 어느 한 항에 있어서, 격리 벽에 부품(120)용 공급 개구부(123)를 마련하고, 그 공급 개구부(123)를 처리를 위해 부품 및/또는 부품(120)용 지지대(119)에 의해 폐쇄하는 것을 특징으로 하는 제조 방법.13. The supply opening 123 for the component 120 is provided in the isolation wall, and the supply opening 123 is used for the component and / or the component 120 for processing. The manufacturing method characterized in that the closing by the support (119). 제1항 내지 제13항 중의 어느 한 항에 있어서, 플라즈마 방전을 전자 에너지가 ≤ 100 eV, 바람직하게는 ≤ 50 eV인 전자 원(105)을 사용하여, 특히 바람직하게는 DC 방전에 의해 구현하는 것을 특징으로 하는 제조 방법.14. The plasma discharge according to any of claims 1 to 13, wherein the plasma discharge is implemented using an electron source 105 having an electron energy of ≤ 100 eV, preferably ≤ 50 eV, particularly preferably by DC discharge. The manufacturing method characterized by the above-mentioned. 제14항에 있어서, 플라즈마 방전을 열이온 음극(107)에 의해, 바람직하게는 직가열식 열이온 음극에 의해 구현하는 것을 특징으로 하는 제조 방법.15. The method according to claim 14, characterized in that the plasma discharge is implemented by a thermal ion cathode (107), preferably by a direct heating thermal ion cathode. 제1항 내지 제15항 중의 어느 한 항에 있어서, 플라즈마 방전을 위해, 장소상으로 떨어지고 바람직하게는 각각 가열될 수 있는 2개 이상의 양극, 바람직하게는 전기적으로 각각 별개로 동작될 수 있는 양극을 공정실 중에 마련하고, 그 양극에 각각 인가되는 전위 및/또는 그 온도를 제어함으로써, 공정실 중의 플라즈마 밀도 분포(V)를 동적으로 또는 정적으로 세팅하거나 제어하는 것을 특징으로 하는 제조 방법.The method according to any one of claims 1 to 15, wherein for plasma discharge two or more anodes which can be dropped on site and are preferably heated respectively, preferably anodes which can be operated separately respectively, are preferred. And a plasma density distribution (V) in the process chamber is set dynamically or statically by controlling the potential and / or the temperature applied to the anode, respectively, in the process chamber. 제1항 내지 제16항 중의 어느 한 항에 있어서, 공정실(PR) 중에 자계(H)를 생성하고(133, 135), 그 자계에 의해 부품 표면에서의 플라즈마 밀도 분포(V)를 정적으로 또는 동적으로 세팅하거나 제어하는, 바람직하게는 국부적으로 스위핑하는 것을 특징으로 하는 제조 방법.The magnetic field (H) is generated in the process chamber (PR) (133, 135), and the plasma density distribution (V) on the surface of the component is statically generated by the magnetic field. Or dynamically set or controlled, preferably locally swept. 제1항 내지 제17항 중의 어느 한 항에 있어서, 반응성 가스를 바람직하게는 부품 표면(120)과 대략 평행한 유입 방향으로, 그리고 더욱 바람직하게는 부품 표면으로부터 등 간격을 둔 주입 점에 의해 공정 분위기 중에 분배시켜 유입하는(137) 것을 특징으로 하는 제조 방법.18. The process according to any one of the preceding claims, wherein the reactive gas is processed in an inflow direction, preferably approximately parallel to the part surface 120, and more preferably by injection points equidistantly spaced from the part surface. Distributing in an atmosphere (137), characterized in that the manufacturing method. 제1항 내지 제18항 중의 어느 한 항에 있어서, 하나 이상의 플라즈마 촉진 처리 단계를 위해, 공정실(PR)중에서 불활성 작업 가스 및 반응성 가스 또는 그 가스상 반응 생성물을 제외한 가스의 분압을 10-8mbar 이하, 바람직하게는 10-9mbar 이하로 유지시키는(UHV) 것을 특징으로 하는 제조 방법.Claim 1 to claim 18 according to any one of, wherein for at least one plasma promotes treatment step, the process chamber (PR) in the partial pressure of the work other than the inert gas and a reactive gas or gaseous reaction product gas 10 -8 mbar Or less, preferably maintained below 10 −9 mbar (UHV). 제1항 내지 제19항 중의 어느 한 항에 있어서, 하나 이상의 플라즈마 촉진 처리 단계를 호모 에피택셜 층 또는 헤테로 에피택셜 층을 침착하는 것으로 하는 것을 특징으로 하는 제조 방법.20. The method of any one of claims 1 to 19, wherein the at least one plasma promoting step is to deposit a homo epitaxial layer or a hetero epitaxial layer. 제20항에 있어서, 호모 에피택셜 층 또는 헤테로 에피택셜 층으로서 실리콘/게르마늄 층을 침착하는 것을 특징으로 하는 제조 방법.The method of claim 20, wherein the silicon / germanium layer is deposited as a homo epitaxial layer or a hetero epitaxial layer. 제1항 내지 제21항 중의 어느 한 항에 있어서, 부품을 대략 디스크형의 부품(120)으로 하는 것을 특징으로 하는 제조 방법.22. The manufacturing method according to any one of claims 1 to 21, wherein the part is a substantially disc-shaped part (120). 제1항 내지 제22항 중의 어느 한 항에 있어서, 처리되는 부품을 실리콘 웨이퍼 또는 바람직하게는 비화칼륨, 인화인듐, 탄화실리콘, 또는 유리로 이뤄진 결합 반도체로 된 웨이퍼로 하는 것을 특징으로 하는 제조 방법.23. The manufacturing method according to any one of claims 1 to 22, wherein the component to be treated is a silicon wafer or a wafer made of a bonded semiconductor made of potassium arsenide, indium phosphide, silicon carbide, or glass, preferably glass. . 제1항 내지 제23항 중의 어느 한 항에 있어서, 층을 다음의 재료 중의 하나 이상으로 침착하는 것을 특징으로 하는 제조 방법:24. A process according to any one of the preceding claims, wherein the layer is deposited with one or more of the following materials: 실리콘, 실리콘/게르마늄 화합물, 실리콘/게르마늄/탄소 화합물, 다이아몬드, 다이아몬드상 화합물, 탄화실리콘, 질화실리콘, 산화알루미늄, 산화실리콘, 질화갈륨, 비화갈륨, 알루미늄, 구리, 인화인듐, 입방형 질화붕소.Silicon, silicon / germanium compound, silicon / germanium / carbon compound, diamond, diamond compound, silicon carbide, silicon nitride, aluminum oxide, silicon oxide, gallium nitride, gallium arsenide, aluminum, copper, indium phosphide, cubic boron nitride. 제1항 내지 제24항 중의 어느 한 항에 있어서, 바람직하게는 실리콘/게르마늄을 함유한 가상 기판을 제조하는데 사용하는 것을 특징으로 하는 제조 방법.The manufacturing method according to any one of claims 1 to 24, which is preferably used to manufacture a virtual substrate containing silicon / germanium. 제1항 내지 제25항 중의 어느 한 항에 있어서, 동시에 각각 처리하려는 표면의 직경이 150 ㎜ 이상, 바람직하게는 200 ㎜ 이상, 더욱 바람직하게는 300 ㎜ 이상인 부품을 처리하는데 사용하는 것을 특징으로 하는 제조 방법.26. The method according to any one of claims 1 to 25, wherein the surfaces of the surfaces to be treated at the same time are used for treating parts having a diameter of at least 150 mm, preferably at least 200 mm, more preferably at least 300 mm. Manufacturing method. 제1항 내지 제26항 중의 어느 한 항에 있어서, 플라즈마 촉진 처리 단계를 60 ㎚/Min.의 코팅 속도로 부품을 코팅하는 것으로 하는 것을 특징으로 하는 제조 방법.27. The manufacturing method according to any one of claims 1 to 26, wherein the plasma promoting treatment step coats the component at a coating rate of 60 nm / Min. 가상 기판, 바람직하게는 실리콘/게르마늄계 가상 기판 또는 그를 기반으로 제작되는 부품을 제조하는 방법으로서, 하나 이상의 세정 단계를 포함하는 제조 방법에 있어서,A method of manufacturing a virtual substrate, preferably a silicon / germanium based virtual substrate or a component fabricated thereon, the method comprising: at least one cleaning step, 그 세정 단계를 플라즈마 촉진 세정 단계로서 행하고, 그 세정 단계 동안 세정 대상 기판을 공정실 중에 유입된 반응성 가스 또는 가스 혼합물에 노출시키고, 그 반응성 가스 또는 가스 혼합물을 부품 표면에서의 이온 에너지 E가The cleaning step is performed as a plasma accelerated cleaning step, during which the substrate to be cleaned is exposed to the reactive gas or gas mixture introduced into the process chamber, and the reactive gas or gas mixture is subjected to ion energy E at the surface of the part. 0 eV < E ≤ 15 eV0 eV <E ≤ 15 eV 로 되도록 하는 저 에너지 플라즈마 방전에 의해 활성화시키는 것을 특징으로 하는 가상 기판 또는 그에 기초한 부품의 제조 방법.A method of manufacturing a virtual substrate or a component based thereon, which is activated by a low energy plasma discharge. - 하나 이상의 진공 챔버(1),At least one vacuum chamber 1, - 진공 챔버 내의 하나 이상의 피가공재 지지대(5),At least one workpiece support 5 in the vacuum chamber, - 챔버(1) 중에 플라즈마를 발생시키는 플라즈마 발생 장치, 및A plasma generating device for generating a plasma in the chamber 1, and - 하나 이상의 반응성 가스 또는 가스 혼합물이 담긴 가스 탱크 장치에 접속되어 그 반응성 가스 또는 가스 혼합물을 챔버(1)로 유입하는 가스 유입 장치(7)를 구비한 진공 처리 시스템, 특히 제1항 내지 제28항 중의 어느 한 항에 따른 방법을 행하는 진공 처리 시스템에 있어서,A vacuum treatment system having a gas inlet device 7 connected to a gas tank device containing at least one reactive gas or gas mixture and introducing the reactive gas or gas mixture into the chamber 1, in particular claims 1 to 28. A vacuum processing system for carrying out the method according to claim 1, wherein 진공 챔버(1) 내에 공정실(PR)이 마련되고, 피가공재 지지대(5)는 공정실 (PR) 내에서 처리 위치에 노출되며, 공정실(PR) 중에 플라즈마(PL)가 발생되고, 가스 유입 장치는 공정실(PR)과 작용상 연계되되, 공정실 내벽 표면이 새 것의 상태에서 플라즈마 활성화 반응성 가스 또는 가스 혼합물에 대해 불활성인 재료(15, 15a, 113), 바람직하게는 유전체 재료 또는 흑연 재료로 추가로 이뤄지는 것을 특징으로 하는 진공 처리 시스템.The process chamber PR is provided in the vacuum chamber 1, the workpiece support 5 is exposed to the processing position in the process chamber PR, the plasma PL is generated in the process chamber PR, and the gas The inlet device is operatively associated with the process chamber PR, wherein the material 15, 15a, 113, preferably dielectric material or graphite, is inert to the plasma activated reactive gas or gas mixture with the process chamber inner wall surface fresh. Vacuum processing system, characterized in that further consisting of the material. 제29항의 전제부에 따른 진공 처리 시스템에 있어서,30. A vacuum processing system according to the preamble of claim 29, 진공 챔버 내에 공정실(PR)이 마련되고, 가공재 지지대(5)는 공정실(PR) 내에서 처리 위치에 노출되며, 공정실(PR) 중에 플라즈마(PL)가 발생되고, 가스 유입 장치(7)는 공정실(PR)과 작용상 연계되되, 공정실(PR)이 대부분의 면 섹션을 따라 진공 챔버 벽으로부터 안쪽으로 떨어진 케이싱(14, 15b)에 의해 추가로 형성되는 것을 특징으로 하는 진공 처리 시스템.The process chamber PR is provided in the vacuum chamber, the workpiece support 5 is exposed to the processing position in the process chamber PR, the plasma PL is generated in the process chamber PR, and the gas inflow apparatus 7 ) Is operatively associated with the process chamber PR, wherein the process chamber PR is further formed by casings 14 and 15b spaced inward from the vacuum chamber wall along most of the face sections. system. 제29항 또는 제30항의 특징이 조합된 진공 처리 시스템.A vacuum processing system incorporating the features of claim 29 or 30. 제29항 내지 제31항 중의 어느 한 항에 있어서, 공정실의 내면은 새 것의 상태에서 적어도 대부분에 걸쳐 다음의 재료 중의 하나 이상으로 이뤄지는 것을 특징으로 하는 진공 처리 시스템:32. The vacuum treatment system according to any one of claims 29 to 31, wherein the inner surface of the process chamber consists of one or more of the following materials over at least a majority in the state of the new: 석영, 흑연, 탄화실리콘, 질화실리콘, 산화알루미늄, 산화티타늄, 산화탄탈, 산화니오븀, 산화지르코늄, 또는 그러한 재료가 적층된 조합체, 다이아몬드상 탄소 또는 다이아몬드.Quartz, graphite, silicon carbide, silicon nitride, aluminum oxide, titanium oxide, tantalum oxide, niobium oxide, zirconium oxide, or a combination of such materials, diamond-like carbon or diamond. 제29항 내지 제32항 중의 어느 한 항에 있어서, 공정실 벽(14, 15b, 113)은 진공 챔버 벽(1)에 대해 교환이 가능하게 고정되는 것을 특징으로 하는 진공 처리 시스템.33. The vacuum processing system according to any of claims 29 to 32, wherein the process chamber walls (14, 15b, 113) are interchangeably fixed with respect to the vacuum chamber walls (1). 제29항 내지 제33항 중의 어느 한 항에 있어서, 플라즈마 발생 장치는 피가공재 지지대(5)의 구역에서의 이온 에너지 E가 0 eV < E ≤ 15 eV인 저 에너지 플라즈마 방전을 발생시키는 장치인 것을 특징으로 하는 진공 처리 시스템.34. The plasma generating apparatus according to any one of claims 29 to 33, wherein the plasma generating apparatus is a device for generating a low energy plasma discharge in which the ion energy E in the region of the workpiece support 5 is 0 eV < E &lt; 15 eV. Characterized by a vacuum processing system. 제34항에 있어서, 플라즈마 발생 장치는 전자 에너지가 ≤ 100 eV, 바람직하게는 ≤ 50 eV인 전자 원(105)을 포함하고, 바람직하게는 열 음극(107), 특히 직가열식 열 음극을 구비한 DC 저 전압 플라즈마 발생 장치인 것을 특징으로 하는 진공 처리 시스템.35. The plasma generator according to claim 34 comprises an electron source 105 having an electron energy of ≤ 100 eV, preferably ≤ 50 eV, preferably with a thermal cathode 107, in particular a direct heating cathode. And a DC low voltage plasma generator. 제29항 내지 제35항 중의 어느 한 항에 있어서, 진공 챔버(1)에는 바람직하게는 그에 대해 전기 절연된 채로 음극실(109)이 장착되고, 그 음극실(109)은 방출구(111)를 경유하여 진공 챔(1)와 연통되는 것을 특징으로 하는 진공 처리 시스템.36. The vacuum chamber (1) according to any of claims 29 to 35, wherein the vacuum chamber (1) is preferably equipped with a cathode chamber (109) with electrical insulation therebetween, the cathode chamber (109) having a discharge port (111). A vacuum processing system, characterized in that the communication with the vacuum chamber (1) via. 제36항에 있어서, 방출구(111)의 축선(a)은 피가공재 지지대(19)의 피가공재 수납 면(119a)과 교차되고, 바람직하게는 대략 중심에서 거의 수직으로 교차되는 것을 특징으로 하는 진공 처리 시스템.37. The work piece according to claim 36, characterized in that the axis a of the discharge port 111 intersects with the workpiece receiving surface 119a of the workpiece support 19, preferably approximately perpendicularly from the center. Vacuum processing system. 제30항 또는 제30항을 인용하는 제32항 내지 제37항 중의 어느 한 항에 있어서, 공정실 벽(15b)의 재료는 금속, 바람직하게는 탄탈 또는 인코넬로 이뤄지는 것을 특징으로 하는 진공 처리 시스템.38. The vacuum treatment system according to any one of claims 32 to 37, wherein the material of the process chamber wall 15b consists of a metal, preferably tantalum or inconel. . 제35항 내지 제38항 중의 어느 한 항에 있어서, 공정실(PR) 내에 장소상으로 떨어진 2개 이상의 양극(117a, 117b)이 마련되고, 그 양극(117a, 117b)은 상이한 전위로 놓일 수 있고, 바람직하게는 각기 가열될 수 있는 것을 특징으로 하는 진공 처리 시스템.39. The method according to any one of claims 35 to 38, wherein two or more anodes 117a and 117b spaced in place are provided in the process chamber PR, and the anodes 117a and 117b can be placed at different potentials. And preferably each can be heated. 제36항 또는 제37항에 있어서, 방출구 축선(A)을 따라 그 종 방향 정렬이어긋난 2개 이상의 양극(17a, 117b)이 공정실 내에 바람직하게는 축선에 동축상으로 마련되고, 그 양극(117a, 117b)은 상이한 전위로 놓일 수 있고, 바람직하게는 각기 가열될 수 있는 것을 특징으로 하는 진공 처리 시스템.38. The two or more anodes 17a, 117b, longitudinally misaligned along the outlet axis A, are provided coaxially with the axis, preferably in the process chamber, and the anode thereof. 117a, 117b can be placed at different potentials, preferably each can be heated. 제29항 내지 제40항 중의 어느 한 항에 있어서, 진공 챔버 벽(101)은 대부분의 면 섹션에서 이중 벽으로 형성되고, 그 개재 공간은 온도 조절 매체 접속 라인, 바람직하게는 온도 조절 액체용 접속 라인에 접속되는 것을 특징으로 하는 진공 처리 시스템.41. The vacuum chamber wall (101) according to any one of claims 29 to 40, wherein the vacuum chamber wall (101) is formed as a double wall in most of the face sections, the intervening space being connected to a temperature control medium connection line, preferably for a temperature control liquid Vacuum processing system, characterized in that connected to the line. 제29항 내지 제41항 중의 어느 한 항에 있어서, 공정실(PR) 중에 자계를 생성하고, 바람직하게는 진공 챔버의 외부에 배치된 헬름홀쯔 코일(133)을 포함하는 자계 생성 장치(133, 135), 바람직하게는 제어가 가능한 자계 생성 장치가 마련되는 것을 특징으로 하는 진공 처리 시스템.42. The magnetic field generating device (133) according to any one of claims 29 to 41, comprising a Helmholtz coil (133) which generates a magnetic field in the process chamber (PR) and is preferably arranged outside the vacuum chamber. 135), preferably a controllable magnetic field generating device is provided. 제29항 내지 제42항 중의 어느 한 항에 있어서, 공정실(PR)은 대부분의 면 섹션을 따라 진공 챔버 벽(1)으로부터 이격되고, 공정실(PR)의 내부 및 그에 따라 형성된 개재 공간은 동일하거나 상이한 펌핑 횡단면을 경유하여 공통의 펌핑 접속 라인과 작용상 연계되거나, 공정실과 개재 공간에 대해 하나 이상의 펌핑 접속 라인이 각각 마련되는 것을 특징으로 하는 진공 처리 시스템.43. The process chamber (PR) according to any of claims 29 to 42, wherein the process chamber (PR) is spaced apart from the vacuum chamber wall (1) along most of the face sections, and the interior of the process chamber (PR) and the intervening spaces formed thereby A vacuum processing system, characterized in that one or more pumping connection lines are operatively associated with a common pumping connection line via the same or different pumping cross sections, or at least one pumping connection line is provided for the process chamber and the intervening space, respectively. 제29항 내지 제43항 중의 어느 한 항에 있어서, 피가공재 지지대(119)는 공정실(PR)의 개구부에 대해 바람직하게는 선형으로, 그리고 개구부 면의 법선 방향으로 구동(121)되어 이동될 수 있고, 바람직하게는 공정실(PR) 쪽으로 상승 이동된 위치에서 공정실 내부 공간을 폐쇄하는 것을 특징으로 하는 진공 처리 시스템.44. The workpiece support 119 according to any one of claims 29 to 43 is driven linearly 121 with respect to the opening of the process chamber PR and in the normal direction of the opening face to be moved. And preferably closes the process chamber interior space at a position moved up towards the process chamber (PR). 제29항 내지 제44항 중의 어느 한 항에 있어서, 피가공재 지지대(119)는 온도 조절 장치(127)와 작용상 연계되는 것을 특징으로 하는 진공 처리 시스템.45. The vacuum processing system according to any of claims 29 to 44, wherein the workpiece support (119) is operatively associated with the temperature control device (127). 제29항 내지 제45항 중의 어느 한 항에 있어서, 진공 챔버(1)는 밀봉 폐쇄될수 있는 하나 이상의 피가공재 공급 개구부(129)를 구비하는 것을 특징으로 하는 진공 처리 시스템.46. The vacuum processing system according to any of claims 29 to 45, wherein the vacuum chamber (1) has one or more workpiece supply openings (129) which can be hermetically closed. 제29항 내지 제46항 중의 어느 한 항에 있어서, 진공 챔버(1)는 제어가 가능하게 폐쇄될 수 있는 피가공재 공급 개구부(129)를 구비하고, 그 공급 개구부가 진공 피가공재 이송 장치를 경유하여 연결되는 2개 이상의 진공 챔버가 마련되는 것을 특징으로 하는 진공 처리 시스템.47. The vacuum chamber (1) according to any one of claims 29 to 46, wherein the vacuum chamber (1) has a workpiece supply opening (129) that can be controlled to be closed, the supply opening being via the vacuum workpiece transport device. And two or more vacuum chambers connected to each other. 제47항에 있어서, 진공 이송 장치는 선형 이송 장치이거나 회전식 이송 장치(150)이고, 바람직하게는 후자인 것을 특징으로 하는 진공 처리 시스템.48. The vacuum processing system according to claim 47, wherein the vacuum conveying device is a linear conveying device or a rotary conveying device (150), preferably the latter. 제47항 또는 제48항에 있어서, 진공 챔버(1) 내에 마련되는 공정실(PR)은 진공 챔버(1)의 금속제 내면 그 자체에 접경되고, 플라즈마를 발생시키는 플라즈마 발생 장치 및 하나 이상의 반응성 가스가 담긴 탱크 장치와 접속되는 가스 유입 장치를 구비하는 것을 특징으로 하는 진공 처리 시스템.49. The plasma generating device and at least one reactive gas according to claim 47 or 48, wherein the process chamber PR provided in the vacuum chamber 1 is bound to the metal inner surface itself of the vacuum chamber 1, and generates plasma. And a gas inlet device connected to the tank device containing the vacuum.
KR10-2003-7011145A 2001-02-26 2002-02-14 Method for producing parts and a vacuum processing system KR20030090650A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
CH358/01 2001-02-26
CH3582001 2001-02-26
PCT/CH2002/000090 WO2002068710A1 (en) 2001-02-26 2002-02-14 Method for producing parts and a vacuum processing system

Publications (1)

Publication Number Publication Date
KR20030090650A true KR20030090650A (en) 2003-11-28

Family

ID=4511818

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2003-7011145A KR20030090650A (en) 2001-02-26 2002-02-14 Method for producing parts and a vacuum processing system

Country Status (6)

Country Link
EP (1) EP1366208A1 (en)
JP (1) JP2004519108A (en)
KR (1) KR20030090650A (en)
CN (1) CN1494604A (en)
TW (1) TW573050B (en)
WO (1) WO2002068710A1 (en)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070259130A1 (en) * 2004-06-08 2007-11-08 Hans Von Kaenel System for Low-Energy Plasma-Enhanced Chemical Vapor Deposition
ES2373915T3 (en) * 2005-03-07 2012-02-10 Sharp Kabushiki Kaisha APPLIANCE FOR PLASMA TREATMENT AND SEMI-CONDUCTING GAS FILM MANUFACTURE METHOD USING THE SAME.
US20070117414A1 (en) * 2005-10-05 2007-05-24 Stephen Moffatt Methods and apparatus for epitaxial film formation
KR100892249B1 (en) * 2007-11-21 2009-04-09 주식회사 디엠에스 A plasma chemical reactor
DE102011088099A1 (en) * 2011-12-09 2013-06-13 Von Ardenne Anlagentechnik Gmbh Vacuum chamber for use during manufacture of substrate, has inner layer which is secured to wall of metallic chamber housing
CN104421437B (en) * 2013-08-20 2017-10-17 中微半导体设备(上海)有限公司 Movable valve, portable shielding door and vacuum flush system
CN107601480B (en) * 2017-10-20 2023-07-25 南通富莱克流体装备有限公司 Graphene production equipment
CN108990245B (en) * 2018-06-04 2021-01-12 台州学院 Small-size adjustable plasma source in area
DE102022102768A1 (en) 2022-02-07 2023-08-10 Stephan Wege Symmetrical process reactor

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4786616A (en) * 1987-06-12 1988-11-22 American Telephone And Telegraph Company Method for heteroepitaxial growth using multiple MBE chambers
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US5824365A (en) * 1996-06-24 1998-10-20 Micron Technology, Inc. Method of inhibiting deposition of material on an internal wall of a chemical vapor deposition reactor
US6217715B1 (en) * 1997-02-06 2001-04-17 Applied Materials, Inc. Coating of vacuum chambers to reduce pump down time and base pressure
TW460943B (en) * 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
WO1998058099A1 (en) * 1997-06-13 1998-12-23 Balzers Hochvakuum Ag Method for producing coated workpieces, uses and installation for the method
US6120660A (en) * 1998-02-11 2000-09-19 Silicon Genesis Corporation Removable liner design for plasma immersion ion implantation
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same

Also Published As

Publication number Publication date
JP2004519108A (en) 2004-06-24
TW573050B (en) 2004-01-21
CN1494604A (en) 2004-05-05
WO2002068710A1 (en) 2002-09-06
EP1366208A1 (en) 2003-12-03

Similar Documents

Publication Publication Date Title
US9466479B2 (en) System and process for high-density, low-energy plasma enhanced vapor phase epitaxy
US4481229A (en) Method for growing silicon-including film by employing plasma deposition
US4973494A (en) Microwave enhanced CVD method for depositing a boron nitride and carbon
US7125588B2 (en) Pulsed plasma CVD method for forming a film
JP4906169B2 (en) Method for manufacturing a coated workpiece, use of the method and apparatus therefor
CN104428441B (en) Aluminum-nitride buffer and active layers by physical vapor deposition
US6143128A (en) Apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US7494545B2 (en) Epitaxial deposition process and apparatus
KR100277833B1 (en) Radio Wave Induced Plasma Source Generator
US20060118043A1 (en) Method for producing coated workpieces, uses and installation for the method
RU2189663C2 (en) Method and device for producing thin semiconductor film
KR20030090650A (en) Method for producing parts and a vacuum processing system
RU2769751C1 (en) Device for deposition of ultra-thick layers of polycrystalline silicon
JPS6348817A (en) Epitaxial growth method
JP2001237446A (en) Thin-film polycrystalline silicon, silicon-based photoelectric conversion element and its manufacturing method
AU2012202511B2 (en) System and Process for High-Density, Low-Energy Plasma Enhanced Vapor Phase Epitaxy
JPH0252422A (en) Manufacture of thin film and apparatus therefor
JPH06179968A (en) High frequency sputtering device
JPH09162201A (en) Method and device for manufacture of semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
NORF Unpaid initial registration fee