KR20030071709A - Manufacturing method for semiconductor device - Google Patents

Manufacturing method for semiconductor device Download PDF

Info

Publication number
KR20030071709A
KR20030071709A KR1020030056426A KR20030056426A KR20030071709A KR 20030071709 A KR20030071709 A KR 20030071709A KR 1020030056426 A KR1020030056426 A KR 1020030056426A KR 20030056426 A KR20030056426 A KR 20030056426A KR 20030071709 A KR20030071709 A KR 20030071709A
Authority
KR
South Korea
Prior art keywords
insulating film
interlayer insulating
forming
gate
film
Prior art date
Application number
KR1020030056426A
Other languages
Korean (ko)
Other versions
KR100403638B1 (en
Inventor
정우찬
이종구
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR10-2003-0056426A priority Critical patent/KR100403638B1/en
Publication of KR20030071709A publication Critical patent/KR20030071709A/en
Application granted granted Critical
Publication of KR100403638B1 publication Critical patent/KR100403638B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

PURPOSE: A method for manufacturing a semiconductor device is provided to be capable of improving gap-filling between gates and reliability by using a sputter etching when forming an interlayer dielectric. CONSTITUTION: After forming an isolation layer(101) on a semiconductor substrate(100), a plurality of gates(120) are formed. The first interlayer dielectric is partially filled into gaps between the gates. The first interlayer dielectric is firstly etched by using sputtering and secondly etched by using isotropic etching. Then, the second interlayer dielectric(130) is entirely filled into the gaps. A silicon oxide layer having good step coverage and deposition speed is used as the first interlayer dielectric and deposited using HDP(High Density Plasma) CVD.

Description

반도체 장치의 제조방법{Manufacturing method for semiconductor device}Manufacturing method for semiconductor device

본 발명은 반도체 장치의 제조방법에 관한 것으로서, 특히, 고밀도 플라즈마(High Density Plasma : HDP)를 이용하여 층간 절연막을 형성하여 반도체 장치를 제조하는 방법에 관한 것이다.The present invention relates to a method for manufacturing a semiconductor device, and more particularly, to a method for manufacturing a semiconductor device by forming an interlayer insulating film using a high density plasma (HDP).

반도체 장치가 고도로 고집적화되면서 장치간의 거리가 점차로 좁아지고 있다. 따라서, 반도체 장치에서 중요한 구성요소인 모스 트랜지스터(MOS Transistor)의 게이트 선폭도 미세화되면서 게이트간의 거리도 짧아지고 있다. 게다가, DRAM 등의 고집적화된 반도체 장치에서는 자가정렬에 의한 콘택형성법을 적용하기 때문에 게이트의 높이를 충분히 증가시키면서 상대적으로 게이트간 너비에 비해서 골의 깊이가 깊어져 절연막에 의한 골의 충진공정이 문제로 대두되고 있다.As semiconductor devices are highly integrated, the distance between devices is gradually narrowing. Therefore, the gate line width of the MOS transistor, which is an important component of the semiconductor device, is also miniaturized, and the distance between the gates is shortened. In addition, in the case of highly integrated semiconductor devices such as DRAM, the contact formation method using self-alignment is applied, and the depth of the valley becomes deeper than the width between the gates while sufficiently increasing the height of the gate. It is emerging.

이러한 게이트 사이에 형성되는 층간 절연막으로서, 일반적으로 고온에서 유동 충진성이 큰 BPSG(Borophospho-silicate glass)가 사용되어 왔으나, 고집적화된 반도체 제조공정에서는 고온의 공정을 사용할 수 없기 때문에 현재는 고밀도 플라즈마에 의한 층간 절연막으로 대체되어 사용되고 있다. 그리하여, 현재 사용하고 있는 층간 절연막을 충진하는 방법은, 게이트 형성 후 고밀도 플라즈마를 이용한 화학기상증착법(HDP CVD)으로 실리콘 산화막을 소정 두께 증착하고 습식식각으로 소정 두께의 산화막을 제거하고 나서 다시 HDP CVD로 실리콘 산화막을 형성한다.In general, BPSG (Borophospho-silicate glass), which has a high flow filling property at high temperatures, has been used as an interlayer insulating film formed between the gates. However, since the high temperature process cannot be used in the highly integrated semiconductor manufacturing process, it is currently used for high density plasma. It is used to replace the interlayer insulating film. Thus, the current method of filling the interlayer insulating film is to deposit a predetermined thickness of the silicon oxide film by chemical vapor deposition (HDP CVD) using a high density plasma after gate formation, and then remove the oxide film having a predetermined thickness by wet etching, and then HDP CVD. To form a silicon oxide film.

그런데, 이러한 층간 절연막 형성방법은, 도 11에 도시한 바와 같이, 게이트간의 중앙 경계 영역에 기공 형태의 결함으로 나타나는 보이드(void) 현상을 막을 수 없다. 그리하여, 추후 진행되는 비트라인 등의 막 형성 공정에서 보이드를 중심으로 발전되는 물리적인 크랙(crack)이나 반도체 장치의 제조공정 완료 후에 제품이 완성되고 나서 전기적인 소자 특성의 결함 즉, 게이트 라인(gate line)이 단락되어 불량을 발생시키는 단점이 있다.By the way, as shown in FIG. 11, the void phenomenon which appears as a pore defect in the center boundary area | region between gates cannot be prevented as shown in FIG. Thus, defects in electrical device characteristics, that is, gate lines, are formed after the product is completed after completion of the physical crack or semiconductor device manufacturing process, which is mainly centered on voids, in a subsequent film formation process such as a bit line. line) is short-circuited to cause a defect.

따라서, 본 발명이 이루고자 하는 기술적 과제는 반도체 장치의 제조방법에 있어서, 게이트 형성 후 층간 절연막 형성시에 게이트간의 중앙 영역에 보이드 형상이 발생하지 않도록 하는 반도체 장치의 제조방법을 제공하는 것이다.Accordingly, an object of the present invention is to provide a method of manufacturing a semiconductor device in which a void shape does not occur in the center region between gates during the formation of an interlayer insulating film after gate formation.

도 1은 본 발명의 제조방법으로 제조한 반도체 장치를 도시한 단면도이다.1 is a cross-sectional view showing a semiconductor device manufactured by the manufacturing method of the present invention.

도 2, 도 3a, 도 3b, 도 4 내지 도 6은 본 발명에 의한 반도체 장치의 제조방법을 설명하기 위하여 도시한 단면도들이다.2, 3A, 3B, and 4 to 6 are cross-sectional views illustrating a method of manufacturing a semiconductor device according to the present invention.

도 7a는 본 발명의 층간 절연막을 형성하기 위한 고밀도 플라즈마를 이용한 반도체 제조장치의 개략도이다.7A is a schematic diagram of a semiconductor manufacturing apparatus using a high density plasma for forming the interlayer insulating film of the present invention.

도 7b는 본 발명의 층간 절연막을 형성하는 방법을 나타낸 단위공정 흐름도이다.7B is a unit process flowchart illustrating a method of forming an interlayer insulating film of the present invention.

도 8은 본 발명의 층간 절연막을 형성하기 위한 증착조건 및 스퍼터 식각조건을 도시한 표이다.8 is a table showing deposition conditions and sputter etching conditions for forming the interlayer insulating film of the present invention.

도 9는 도 3b까지의 공정을 진행 후 주사전자현미경(Scanning electron microscope)으로 관찰한 단면사진이다.FIG. 9 is a cross-sectional photograph taken through a scanning electron microscope after the process up to FIG. 3B.

도 10은 도 4까지의 공정을 진행 후 주사전자현미경으로 관찰한 단면사진이다.FIG. 10 is a cross-sectional photograph taken through a scanning electron microscope after the process up to FIG. 4.

상기 기술적 과제를 달성하기 위한 본 발명에 따른 반도체 장치의 제조방법에서는, 먼저, 반도체 기판 상에 소자분리용 절연막을 형성하고 소자영역에 소정 간격으로 게이트를 형성한다. 게이트가 형성된 반도체 기판 상에 게이트 사이의 공간이 매몰되지 않도록 소정 두께의 제1 층간 절연막을 증착하여 형성한다. 그리고 제1 층간 절연막을 소정 두께만큼 전면 스퍼터(sputter) 식각하고, 이 제1 층간 절연막을 등방성 식각법을 이용하여 소정 두께로 식각하여 제거한다. 그런 다음, 게이트 사이의 공간을 완전히 충진할 수 있도록 제1 층간 절연막 상에 제2 층간 절연막을 증착 형성한다.In the method of manufacturing a semiconductor device according to the present invention for achieving the above technical problem, first, an insulating film for device isolation is formed on a semiconductor substrate, and gates are formed in a device region at predetermined intervals. The first interlayer insulating film having a predetermined thickness is formed by depositing the gap between the gates on the semiconductor substrate on which the gate is formed. The first interlayer insulating film is then sputter-etched by a predetermined thickness, and the first interlayer insulating film is etched to a predetermined thickness using an isotropic etching method and removed. Then, a second interlayer insulating film is deposited on the first interlayer insulating film so as to completely fill the space between the gates.

여기서, 반도체 기판의 기지 실리콘에 형성된 소자분리용 절연막은 트렌치 소자분리법에 의해서 형성한다. 그리고, 반도체 기판의 소자영역에 게이트 절연막을 형성하는 단계는, 먼저 소자영역에 게이트 절연막을 형성하고 그 위에 게이트 도전막을 형성한 후, 게이트 도전막을 패터닝하여 게이트 패턴을 형성하고 게이트 패턴의 측벽에 스페이서 절연막을 형성하여 완성한다. 한편, 게이트 도전막은, 게이트 도전막 상부에 마스크용 절연막을 더 형성하여 게이트 패턴 형성후 진행될 콘택 형성시에 자가정렬에 의한 콘택형성이 용이하도록 한다.Here, the insulating film for element isolation formed on the known silicon of the semiconductor substrate is formed by the trench element isolation method. In the forming of the gate insulating film in the device region of the semiconductor substrate, first, a gate insulating film is formed in the device region, and a gate conductive film is formed thereon. An insulating film is formed and completed. In the gate conductive film, a mask insulating film is further formed on the gate conductive film to facilitate contact formation by self-alignment at the time of forming a contact to be performed after the gate pattern is formed.

제1 층간 절연막은 스텝커버리지(step coverage)가 좋고 증착속도가 우수한 실리콘 산화막을 이용하는 것이 바람직하고, 이러한 실리콘 산화막은 고밀도 플라즈마를 이용한 화학기상증착법(High Density plasma Chemical Vapor Deposition : HDP CVD)으로 형성되는 것이 더욱 바람직하다. 이 때, 이 실리콘 산화막은 실리콘 소스가스로서 실렌(SiH4)을 사용할 수도 있다.It is preferable to use a silicon oxide film having a high step coverage and a high deposition rate as the first interlayer insulating film, and the silicon oxide film is formed by High Density plasma Chemical Vapor Deposition (HDP CVD) using high density plasma. More preferred. At this time, the silicon oxide film may use silicon (SiH 4 ) as the silicon source gas.

이렇게 제1 층간 절연막을 형성한 후 바로 인시튜(in-situ)로 스퍼터 식각을 이용하여 실리콘 산화막을 소정 두께 식각한다. 이러한 스퍼터 식각시에 사용되는 분위기 가스는 헬륨(He) 가스와 산소(O2) 가스 중 어느 하나를 포함하는 것이 플라즈마의 발생을 용이하게 하고 실리콘 산화막의 충진 특성과 파티클 특성을 양호하게 한다.After the formation of the first interlayer insulating film, the silicon oxide film is etched by a predetermined thickness in-situ using sputter etching. Atmospheric gas used in the sputter etching includes one of helium (He) gas and oxygen (O 2 ) gas to facilitate the generation of plasma and to improve the filling and particle characteristics of the silicon oxide film.

이렇게 제1 층간 절연막이 형성된 후 습식식각법을 이용하여 반도체 기판 상에 형성된 실리콘 산화막을 소정 두께 제거하여 플라즈마를 이용한 증착공정 중에 발생되는 불규칙 증착물을 제거하고 패턴 부분의 프로파일(profile)을 후속 절연막 중착시에 충진이 잘 되도록 라운딩 처리한다.After the first interlayer insulating film is formed, the silicon oxide film formed on the semiconductor substrate is removed by a wet etching method to remove irregular deposits generated during the deposition process using plasma, and the profile of the pattern portion is formed in the subsequent insulating film. It is rounded for good fill in optical illusion.

그런 다음, 다시 제2 층간 절연막을 형성하여 게이트 패턴 사이의 골을 완전히 충진시킨다. 이 때 사용되는 층간 절연막은 실리콘 산화막이고, HDP CVD에 의해서 증착되는 것이 증착속도가 높아 공정시간을 감소시킬 수 있다.Then, a second interlayer insulating film is again formed to completely fill the valleys between the gate patterns. The interlayer insulating film used at this time is a silicon oxide film, the deposition by HDP CVD can reduce the process time due to the high deposition rate.

이와 같이, 본 발명에 의한 반도체 장치의 제조방법은, 게이트 패턴 형성 후 층간 절연막을 형성할 때 먼저 고밀도 플라즈마를 이용하여 실리콘 산화막을 증착하고 인시튜로 헬륨 또는 산소 가스를 이용한 스퍼터 식각을 진행함으로써, 층간 절연막에 의한 게이트간 골의 충진이 보이드 없이 진행될 수 있다. 그리고, 이러한 보이드 없는 반도체 장치는 제품 완성 후 물리적 신뢰성과 전기적 안정성이 향상되는 장점이 있다.As described above, in the method of manufacturing a semiconductor device according to the present invention, when the interlayer insulating film is formed after the gate pattern is formed, the silicon oxide film is first deposited using high density plasma, and the sputter etching using helium or oxygen gas is performed in situ. The filling of the inter-gate valleys by the interlayer insulating film may proceed without voids. And, such a void-free semiconductor device has the advantage that the physical reliability and electrical stability is improved after completion of the product.

이하, 첨부도면을 참조하여 본 발명의 실시예를 상세히 설명한다. 그러나, 다음에 예시하는 본 발명의 실시예는 여러 가지 다른 형태로 변형될 수 있으며, 본 발명의 범위가 다음에 상술하는 실시예에 한정되는 것은 아니다. 본 발명의 실시예는 당 업계에서 통상의 지식을 가진 자에게 본 발명을 보다 완전하게 설명하기 위하여 제공되어지는 것이다.Hereinafter, with reference to the accompanying drawings will be described an embodiment of the present invention; However, embodiments of the present invention illustrated below may be modified in many different forms, and the scope of the present invention is not limited to the embodiments described below. The embodiments of the present invention are provided to more completely explain the present invention to those skilled in the art.

도 1은 본 발명에 따른 반도체 장치의 제조방법에 의해서 제조된 반도체 장치의 단면도이다. 도시된 바와 같이, 본 발명에 따른 반도체 장치에서, 반도체 기판(100) 하부로 소정 깊이 함몰되어 트렌치가 형성되어 있고, 이 트렌치에 절연막이 충진되어 형성된 소자분리용 산화막(101)이 각 소자간을 분리하고 있다. 소자영역에는 소스(103, source)와 드레인 정션들(103, Drain junction)이 소정 간격으로 이격되어 형성되어 있으며, 이들 소스(103)와 드레인(103) 사이에는 반도체 기판(100)의 기지 실리콘과의 사이에 초박막의 게이트 절연막(110)을 개재하고 도전성막을 포함하고 있는 복수의 게이트(120)가 형성되어 있다. 이들 게이트(120) 사이에는 고밀도 플라즈마로 형성된 층간 절연막(130)이 충진되어 있고, 그 위에는비트라인(150)이 다시 그 위에는 제2의 층간 절연막(140)이 형성되어 있다. 그리고 도시되지 않았으나 후속으로 진행되는 공정에 의해서 캐패시터(capacitor)를 비롯하여 기타 다른 소자들을 형성할 수 있다.1 is a cross-sectional view of a semiconductor device manufactured by the method of manufacturing a semiconductor device according to the present invention. As shown, in the semiconductor device according to the present invention, a trench is formed by recessing a predetermined depth under the semiconductor substrate 100, and an oxide film 101 for isolation of an element formed by filling an insulating film in the trench is formed between the elements. I'm separating. In the device region, a source 103 and a drain junction 103 are formed to be spaced apart at predetermined intervals, and between the source 103 and the drain 103, the silicon and the base silicon of the semiconductor substrate 100 are formed. A plurality of gates 120 including an electrically conductive film are formed between the thin film gate insulating film 110 in between. An interlayer insulating layer 130 formed of high density plasma is filled between the gates 120, and a bit line 150 is formed thereon, and a second interlayer insulating layer 140 is formed thereon. Although not shown, a capacitor and other devices may be formed by a subsequent process.

도 2 내지 도 6은 도 1의 본 발명에 의한 반도체 장치의 제조방법을 순차적으로 나타낸 단면도들이다.2 to 6 are cross-sectional views sequentially illustrating a method of manufacturing a semiconductor device according to the present invention of FIG. 1.

도 2를 참조하면, 반도체 기판(100) 상에 소자분리용 산화막(101)을 형성하고 소자영역에는 게이트(120)를 형성한다. 이 때, 소자분리용 산화막(101)은 트렌치 소자분리법에 의해서 먼저 반도체 기판(100) 상에 트렌치를 형성하고 트렌치 내부에 충진용 절연막으로서 실리콘 산화막을 형성하여 완성한다. 그리고, 이러한 소자분리 공정이 완료된 후 소자가 형성될 소자영역의 기지 실리콘에 초박막의 게이트 절연막(110)을 형성하고, 그 위에 게이트 도전막(121,123)을 형성하며 추후 진행될 자가정렬 콘택형성법에 이용하기 위하여 게이트 도전막 상에 마스크용 절연막(125)을 형성한다. 그런 다음, 통상의 사진 식각 공정을 거쳐서 게이트 도전막(121,123)에 게이트 패턴을 형성한다. 이렇게 형성된 게이트 패턴 상에 화학기상증착법을 이용하여 절연막을 형성하고 건식식각법을 이용한 이방성 식각에 의해서 게이트 패턴의 측벽에 절연막 스페이서(127)를 형성하여 게이트를 완성한다. 한편, 게이트 도전막(121,123)은 단일의 도전성 물질을 증착하여 형성할 수도 있고, 본 도면에서와 같이, 복수의 도전막이 조합되어 형성될 수도 있다.Referring to FIG. 2, the isolation layer 101 is formed on the semiconductor substrate 100 and the gate 120 is formed in the device region. In this case, the device isolation oxide film 101 is formed by first forming a trench on the semiconductor substrate 100 by a trench device isolation method and forming a silicon oxide film as a filling insulating film in the trench. After the device isolation process is completed, an ultra-thin gate insulating film 110 is formed on the known silicon of the device region where the device is to be formed, the gate conductive films 121 and 123 are formed thereon, and used for the self-aligned contact formation method to be performed later. In order to form the mask insulating film 125 on the gate conductive film. Thereafter, a gate pattern is formed on the gate conductive layers 121 and 123 through a normal photolithography process. An insulating film is formed on the gate pattern by using chemical vapor deposition and an insulating film spacer 127 is formed on the sidewall of the gate pattern by anisotropic etching using dry etching to complete the gate. The gate conductive layers 121 and 123 may be formed by depositing a single conductive material, or may be formed by combining a plurality of conductive layers as shown in the drawing.

도 3a를 참조하면, 게이트(120)가 완성된 반도체 기판(100) 상에 제1 층간 절연막(131)을 증착하여 형성한다. 여기서, 제1 층간 절연막(131)으로는 실리콘 산화막을 사용하며, 이러한 실리콘 산화막은 게이트(120)간 간격 크기(a)의 2분의 1보다 낮은 두께(0.5 a)로 증착된다. 이 때 형성되는 실리콘 산화막은, 고밀도 플라즈마를 이용한 화학기상증착법에 의해서 형성되며, 막 형성에 사용되는 실리콘 소스가스로는 실렌(SiH4) 가스를 사용하며, 산소 소스가스로서 산소 가스를 헬륨과 혼합하여 사용한다. 또한, 플라즈마를 발생시키기 위한 전력은 고주파수의 전력을 사용한다. 도 9는 전술한 도 3a의 공정까지 진행한 후 반도체 제조장치의 단면을 확인하기 위하여 주사전자현미경(SEM)으로 관찰한 사진이다.Referring to FIG. 3A, the gate 120 is formed by depositing a first interlayer insulating layer 131 on the completed semiconductor substrate 100. Here, a silicon oxide film is used as the first interlayer insulating film 131, and the silicon oxide film is deposited to a thickness 0.5a lower than half of the gap size a between the gates 120. The silicon oxide film formed at this time is formed by chemical vapor deposition using a high density plasma. As the silicon source gas used for film formation, silane (SiH 4 ) gas is used, and oxygen gas is mixed with helium as an oxygen source gas. use. In addition, the power for generating the plasma uses a high frequency power. 9 is a photograph taken through a scanning electron microscope (SEM) to confirm the cross section of the semiconductor manufacturing apparatus after the process up to the process of FIG.

도 3b를 참조하면, 이렇게 형성된 고밀도 플라즈마 실리콘 산화막을 건식식각법의 하나인 스퍼터링 식각(sputtering etch)으로 일부를 제거한다. 이 때 사용되는 분위기 가스로는 전술한 고밀도 플라즈마를 이용한 실리콘 산화막 형성에서 사용했던 가스 중에서 실렌 가스를 제외하고 산소와 헬륨의 혼합가스를 사용한다. 그리고, 스퍼터링에 의한 식각은 플라즈마화 분위기 가스의 반도체 기판(100) 상에 충돌하는 세기로 식각속도가 강화되기 때문에, 비교적 화학기상증착 때보다도 높은 파워를 사용하게 된다. 그러면, 도면에서 본 바와 같이, 게이트(120)를 중심으로 중앙은 첨예한 산모양의 피치를 형성하는 층간 절연막(131)의 형상을 얻을 수 있다. 즉, 게이트(120) 중앙으로부터 게이트(120) 사이의 골에 형성된 층간 절연막(131)의 측벽 기울기가 현저히 완만해져 증착공정에서 일반적으로 발생하기 쉬운 역기울기(reverse slope)를 가진 오버행(overhang) 현상이 없어진다. 그리하여 후속되는 제2 층간 절연막(도 5의 133) 형성공정을 진행할 때, 게이트(120)들간의 골 부분에서 발생하기 쉬운 오버행 현상에 의한 보이드(void)의 발생을 억제할 수 있다. 도 10은 도 3b까지의 공정을 완료한 후에 반도체 제조장치의 단면을 확인하기 위하여 주사전자현미경으로 관찰한 사진이다.Referring to FIG. 3B, a portion of the high-density plasma silicon oxide film thus formed is removed by sputtering etch, which is one of dry etching methods. At this time, a mixed gas of oxygen and helium is used as the atmosphere gas except for the silane gas among the gases used in the silicon oxide film formation using the high density plasma described above. Since the etching by sputtering enhances the etching rate due to the strength impinging on the semiconductor substrate 100 of the plasma atmosphere gas, a higher power is used than in the case of chemical vapor deposition. Then, as shown in the figure, it is possible to obtain the shape of the interlayer insulating film 131 which forms a sharp pitch in the center of the gate 120. That is, an overhang phenomenon with an inverse slope, which generally occurs in the deposition process because the sidewall slope of the interlayer insulating layer 131 formed in the valley between the gate 120 from the center of the gate 120 is remarkably gentle. This disappears. Thus, when the subsequent process of forming the second interlayer insulating film 133 of FIG. 5 is performed, generation of voids due to an overhang phenomenon that is likely to occur in the valleys between the gates 120 can be suppressed. FIG. 10 is a photograph taken through a scanning electron microscope to confirm a cross section of the semiconductor manufacturing apparatus after completing the process up to FIG. 3B.

도 4를 참조하면, 습식식각을 이용하여 층간 절연막(131)을 등방성 식각하여 전 공정에서 진행된 스퍼터 식각시에 불균일한 식각부위의 실리콘 산화막을 제거하여 층간 절연막(131)의 형태를 부드럽게 정리한다. 그러면, 산모양의 피치를 형성하던 층간 절연막(131)의 형태는 비교적 둥그렇게 정리되어 후속되는 제2 층간 절연막(133) 형성시에 게이트(120)간의 갭충진(Gap filling)이 용이하도록 한다.Referring to FIG. 4, the interlayer insulating layer 131 is isotropically etched by using wet etching to remove the silicon oxide film of the non-uniform etching portion during the sputter etching performed in the previous process, thereby smoothly arranging the shape of the interlayer insulating layer 131. Then, the shape of the interlayer insulating film 131 forming the pitch of the mountain is arranged in a relatively round shape so as to facilitate gap filling between the gates 120 during the subsequent formation of the second interlayer insulating film 133.

도 5를 참조하면, 반도체 기판(100) 상에 다시 제2 층간 절연막(133)을 고밀도 플라즈마를 이용한 화학기상증착법(HDP CVD)으로 형성한다. 이 때, 제2 층간 절연막(133)은 역시 제1 층간 절연막(131)과 같은 실리콘 산화막이며, 사용되는 소스가스는 역시 실렌(SiH4) 가스와 산소 가스이며, 캐리어 가스(carrier gas)로서 헬륨을 사용한다. 그러면, 도시된 바와 같이, 게이트(120)간에 형성된 골(gap)이 보이드 없이 완전히 충진된다. 이렇게 하여 제1 층간 절연막(131)과 제2 층간 절연막(133)으로 형성된 층간절연막(130)이 완성된다.Referring to FIG. 5, the second interlayer insulating layer 133 is again formed on the semiconductor substrate 100 by chemical vapor deposition (HDP CVD) using high density plasma. At this time, the second interlayer insulating film 133 is also the same silicon oxide film as the first interlayer insulating film 131, and the source gas used is also a silane (SiH 4 ) gas and an oxygen gas, and helium as a carrier gas. Use Then, as shown, the gaps formed between the gates 120 are completely filled without voids. In this way, the interlayer insulating film 130 formed of the first interlayer insulating film 131 and the second interlayer insulating film 133 is completed.

도 6을 참조하면, 통상의 반도체 제조공정에 의해서 전술한 제2 층간 절연막(133) 상에 형성된 굴곡과 단차를 없애고 평탄화하기 위해서 화학적 기계연마법(Chemical mechanical polishing)을 이용하여 층간 절연막(130)을 평탄하게 연마해낸다. 그런 다음, 본 실시예와 같이 DRAM 공정인 경우에는 비트라인(도 1의150) 형성공정을 진행하여 비트라인을 형성하고, 제품의 특성에 맞게 후속공정을 진행하여 반도체 장치의 제조공정을 완료한다.Referring to FIG. 6, the interlayer insulating layer 130 may be formed using chemical mechanical polishing to remove and planarize the bending and the step formed on the second interlayer insulating layer 133 described above by a conventional semiconductor manufacturing process. Polish it smoothly. Then, in the case of the DRAM process as in the present embodiment, the bit line (150 of FIG. 1) is formed to form a bit line, and subsequent processes are completed according to the characteristics of the product to complete the manufacturing process of the semiconductor device. .

도 7a는 본 발명의 고밀도 플라즈마를 이용한 층간 절연막을 형성하기 위한 반도체 제조장치의 개략도이고, 도 7b는 본 발명에 의한 고밀도 플라즈마를 이용한 제1 층간 절연막의 형성방법을 나타낸 단위 공정 흐름도이며, 도 8은 도 7b의 고밀도 플라즈마를 이용한 층간 절연막 형성방법 중 제1단계인 실리콘 산화막 형성공정 조건과, 제2단계인 스퍼터 식각 공정 조건을 나타낸 테이블이다. 여기서는 공정에서 가장 중요한 반응가스와 그의 공급량 및 반응기 내에 인가해 주는 고주파수 전력(예를 들어, RF(radio frequency) 파워)에 대해서만 설명한다.7A is a schematic diagram of a semiconductor manufacturing apparatus for forming an interlayer insulating film using the high density plasma of the present invention, and FIG. 7B is a unit process flowchart showing a method of forming the first interlayer insulating film using the high density plasma according to the present invention. 7B is a table showing a silicon oxide film forming process condition of a first step and a sputter etching process condition of a second step of the method for forming an interlayer insulating film using the high density plasma of FIG. 7B. Here, only the most important reaction gas in the process, its supply amount and high frequency power (for example, radio frequency (RF) power) applied in the reactor will be described.

도 7a를 참조하면, 고밀도 플라즈마를 이용한 반도체 제조장치는 반도체 기판(100)을 올려놓을 수 있는 기판 지지대(201)를 갖는 반응챔버(210)와, 이 기판 지지대(201)와 대응하여 반응챔버(210) 내의 일측에 마련된 가스공급부(203, 예를 들면, 인젝터:injector 나 샤워헤드: shower head)와, 반응챔버(210)에 교류 주파수를 가진 전력을 인가하는 교류 주파수전력 발생기(220)를 포함하고 있다. 이 때 교류 주파수전력 발생기(220)는 복수의 주파수를 가진 주파수 발생기를 포함하는데, 하나는 고주파수 발생기이고 하나는 저주파수 발생기이다. 이때, 고주파수 발생기는 라디오파를 발생하는 RF 발생기(Radio Frequency generator)이다.Referring to FIG. 7A, a semiconductor manufacturing apparatus using high density plasma includes a reaction chamber 210 having a substrate support 201 on which a semiconductor substrate 100 can be placed, and a reaction chamber corresponding to the substrate support 201. A gas supply unit 203 provided at one side in the 210, for example, an injector or shower head, and an AC frequency power generator 220 for applying electric power having an AC frequency to the reaction chamber 210. Doing. In this case, the AC frequency power generator 220 includes a frequency generator having a plurality of frequencies, one of which is a high frequency generator and one of which is a low frequency generator. At this time, the high frequency generator is an RF generator (Radio Frequency generator) for generating a radio wave.

한편, 반응챔버(210)의 외부에는 가스공급부(203)에 반응가스와 분위기가스를 공급해주는 가스공급장치(230)가 마련되어 있고, 반응챔버(210)의 일측에는 반응챔버(210)의 내부 압력을 저압으로 유지시킬 수 있도록 진공펌프를 포함하는 진공장치(240)가 연결되어 있다.On the other hand, the gas supply unit 230 for supplying the reaction gas and the atmosphere gas to the gas supply unit 203 is provided outside the reaction chamber 210, the pressure inside the reaction chamber 210 on one side of the reaction chamber 210 The vacuum device 240 including the vacuum pump is connected to maintain the low pressure.

도 7b는 본 발명에 의한 고밀도 플라즈마(High density plasma)를 이용한 반도체 제조장치에서 층간 절연막 형성방법을 나타낸 공정 흐름도이다. 이를 참조하면, 크게 3단계로 구성되어 있다. 즉, 소정의 단차를 가진 패턴이 형성된 반도체 기판(도 7a의 100)을 반응챔버(도 7a의 210) 내에 준비하는 단계(s1)와, 고밀도 플라즈마를 발생시켜 반도체 기판(100) 상에 층간 절연막을 형성하는 단계(s2)와, 이렇게 반도체 기판(100) 상에 형성된 층간 절연막을 스퍼터 식각(sputtering etch)하여 일부를 제거하는 단계(s3)로 구성되어 있다.7B is a process flowchart illustrating a method of forming an interlayer insulating film in a semiconductor manufacturing apparatus using a high density plasma according to the present invention. Referring to this, it is largely composed of three steps. That is, a step (s1) of preparing a semiconductor substrate (100 in FIG. 7A) having a pattern having a predetermined step in the reaction chamber (210 in FIG. 7A), and generating a high density plasma to form an interlayer insulating film on the semiconductor substrate 100. (S2) and sputter etching the interlayer insulating film formed on the semiconductor substrate 100 to remove a portion (s3).

반도체 기판을 준비하는 단계(s1)에서는, 먼저 게이트 패턴과 같은 소정의 단차와 굴곡을 형성하는 패턴을 가진 반도체 기판(100)을 반도체 제조장치의 반응챔버(210) 내에 위치시킨다. 그리고 반응챔버(210) 내부를 공정이 진행될 수 있도록 적정한 조건으로 분위기를 조성하여 공정을 준비한다. 이러한 분위기 조건에는 압력과 온도 및 분위기 가스 등이 포함된다. 즉, 압력은 저압으로 유지하고, 온도는 상온이나 혹은 경우에 따라서 고온으로 유지하며, 분위기 가스는 N2, Ar 등과 같은 불활성가스로서 반응기 내의 압력과 온도를 균일하게 유지시키는데 중요한 역할을 한다.In the preparing of the semiconductor substrate (s1), the semiconductor substrate 100 having a pattern for forming a predetermined step and a bend such as a gate pattern is first placed in the reaction chamber 210 of the semiconductor manufacturing apparatus. In addition, the process is prepared by forming an atmosphere under appropriate conditions so that the process may proceed in the reaction chamber 210. Such atmospheric conditions include pressure, temperature, and atmospheric gases. That is, the pressure is maintained at a low pressure, the temperature is maintained at room temperature or, if necessary, at a high temperature, the atmosphere gas is an inert gas such as N 2 , Ar and the like plays an important role in maintaining the pressure and temperature in the reactor uniformly.

그런 다음, 고밀도 플라즈마를 이용하여 층간 절연막을 형성하는 단계(s2)에서는, 반응챔버(도 7a의 210) 내부에 소정량으로 증착공정에 필요한 반응가스 가스들을 공급한다. 여기서 반응가스들은 실리콘 산화막(SiO2)의 소스가스가 되는실렌(SiH4)과 산소 및 이들 가스를 운반하는 역할을 하며 플라즈마 발생을 강화시킬 수 있는 보조가스로서 헬륨 등의 불활성기체가 포함된다. 가스 공급량에 있어서, 산소 가스가 실렌 가스보다 많은 량이 공급되어야 안정된 실리콘 산화막이 형성된다. 이 때, 실렌 가스는 30 sccm 내지 300 sccm의 유속으로 공급된다. 이러한 반응가스는 반응보조 가스로서 산소 가스와 헬륨 가스를 더 포함하는 것이 실리콘 산화막의 양호한 특성을 얻을 수 있다. 이 때, 산소 가스는 반응가스 및 반응보조 가스로서 50 sccm 내지 500 sccm의 유량으로 공급되며, 헬륨 가스는 50 sccm 내지 1000 sccm의 유량으로 공급된다.Then, in the step (s2) of forming the interlayer insulating film using a high density plasma, the reaction gas gases required for the deposition process are supplied to the inside of the reaction chamber (210 in FIG. 7A) in a predetermined amount. Here, the reaction gases include silane (SiH 4 ), which is a source gas of silicon oxide (SiO 2 ), oxygen, and an inert gas such as helium as an auxiliary gas that serves to transport these gases and enhance plasma generation. In the gas supply amount, a stable silicon oxide film is formed only when the oxygen gas is supplied in a larger amount than the silane gas. At this time, the silane gas is supplied at a flow rate of 30 sccm to 300 sccm. Such a reaction gas may further include oxygen gas and helium gas as reaction aid gases to obtain good characteristics of the silicon oxide film. At this time, the oxygen gas is supplied at a flow rate of 50 sccm to 500 sccm as the reaction gas and the reaction auxiliary gas, and the helium gas is supplied at a flow rate of 50 sccm to 1000 sccm.

이렇게 반응가스들이 공급되면서 반응챔버 내에는 소정의 고주파수를 가진 전력(Radio frequency electric power)이 인가된다. 그리하여 반응챔버 내에는 글로벌 방전(global discharge)에 의해서 반응가스들이 전하를 띤 플라즈마로 변형되면서 반도체 기판 상에 플라즈마 영역을 발생시킨다. 그러면, 이들 플라즈마화된 반응가스들은 반도체 기판 상으로 이동되어 반도체 기판 표면 상에서 플라즈마들 사이에 상호 화학반응(Si + O + O)을 일으키면서 증착되어 실리콘 산화막을 형성한다. 이렇게 증착되는 고밀도 플라즈마에 의한 산화막 형성 공정은 증착속도가 매우 빠르면서 상대적으로 스텝커버리지가 좋은 장점이 있다. 그러나, 소정의 단차가 있는 패턴에서는 패턴의 골의 입구에 증착이 더 빨리 진행되어 오버행 현상이 발생한다. 따라서, 골이 충진되지 않을 정도의 두께인 골 사이의 거리의 1/2 이하의 두께로 증착한다.As the reaction gases are supplied, power having a predetermined high frequency (Radio frequency electric power) is applied to the reaction chamber. Thus, in the reaction chamber, the reaction gases are transformed into a charged plasma by a global discharge to generate a plasma region on the semiconductor substrate. These plasmalized reaction gases are then transferred onto the semiconductor substrate and deposited while causing mutual chemical reactions (Si + O + O) between the plasmas on the semiconductor substrate surface to form a silicon oxide film. The oxide film formation process by the high density plasma deposited in this way has the advantage that the step coverage is relatively good while the deposition rate is very fast. However, in a pattern having a predetermined step, deposition proceeds faster at the inlet of the valley of the pattern, resulting in an overhang phenomenon. Therefore, it is deposited to a thickness of 1/2 or less of the distance between the bones, the thickness of the bone is not filled.

공정이 진행되는 동안, 반응챔버에는 고주파수의 전력(High frequency power)인 라디오파 전력(RF Power)을 500 w 내지 1500 w 크기로 인가해 줌으로써, 반응챔버 내에 공급된 가스들을 플라즈마화시킬 수 있다. 또한, 반응챔버에 100 KHz 내지 1000 KHz의 저주파수의 전력(Low frequency power)을 2500 w 내지 3500 w의 세기로 인가하여 고밀도 플라즈마를 형성하기 위한 조건을 강화시킨다. 이 경우에, 고주파수 전력은 상기 기판 지지대에 인가되고, 저주파수 전력은 반응챔버의 상부벽에 인가되고 저주파수의 전력이 고주파수 전력보다 큰 값을 갖는 것이 고밀도 플라즈마 형성에 바람직하다.During the process, by applying a radio frequency power (RF Power) of 500 w to 1500 w of high frequency power to the reaction chamber, it is possible to plasma the gases supplied in the reaction chamber. In addition, a low frequency power of 100 KHz to 1000 KHz is applied to the reaction chamber at an intensity of 2500 w to 3500 w to enhance the conditions for forming a high density plasma. In this case, it is preferable for high density plasma formation that high frequency power is applied to the substrate support, low frequency power is applied to the upper wall of the reaction chamber, and the low frequency power has a value larger than the high frequency power.

이렇게 반도체 기판 상에 층간 절연막 증착공정이 완료되면, 스퍼터 식각을 하여 패턴의 골 입구에 형성되기 쉬운 층간 절연막(실리콘 산화막)을 제거한다(s3). 이러한 스퍼터 식각은 타 반응챔버에서 실행할 수도 있고, 동일 반응챔버 내에서 공급되는 반응가스와 공정조건만을 변화시켜 인시튜로 진행할 수도 있다. 이 때, 사용되는 반응가스로는 스퍼터용 플라즈마를 발생시킬 수 있는 가스를 사용하는데, 즉, 산소 가스와 헬륨을 혼합하여 반응기 내로 공급한다. 이 때, 산소 가스는 0 sccm 내지 500 sccm의 유량으로 공급되고, 헬륨 가스는 0 sccm 내지 1000 sccm의 유량으로 공급될 수 있다. 이렇게 스퍼터용 가스를 공급하면서 동시에 반응챔버에 RF(radio frequency) 전력과 함께 저주파수의 전력을 걸어주어 반응챔버 내에 글로벌 방전(global discharge)이 발생하도록 한다. 그러면 이러한 글로벌 방전에 의해서 스퍼터용 가스인 헬륨과 산소가 활성화되어 전하를 띤 플라즈마 형태로 변환되고, 반도체 기판 상부에 플라즈마 영역이 형성된다. 이렇게 플라즈마화된 스퍼터용 가스는 반도체 기판 쪽으로 가속되어 실리콘 산화막과 충돌하는 이온 충돌(Ion bombardment)에 의해서 반도체 기판 표면에 중착되어 실리콘 산화막을 구성하는 산화실리콘 분자(SiO2)는 실리콘 원자(Si)와 산소 원자(O)로 결합이 깨어지면서 반도체 기판으로부터 분리가 되고 일부는 전자를 받아 이온화되며, 스퍼터 방식의 식각이 진행된다. 이러한 스퍼터 식각은 식각의 이방성(anisotropic etch)이 강하기 때문에 이온 진행방향에 대해서 수직으로 드러나는 부분의 식각이 가장 빠르고 패턴들 사이에 형성된 골의 입구 모서리 부분에 상대적으로 결합력이 취약한 실리콘 산화막이 비교적 많이 식각되는 경향이 있다. 그리고, 이온 충돌에 의해서 분리된 실리콘 원자(Si)는 다시 플라즈마화된 산소들과 결합하여 패턴의 골 사이에서 증착되는 재증착 현상(redeposition)이 발생하기도 한다.When the interlayer insulation film deposition process is completed on the semiconductor substrate as described above, the interlayer insulation film (silicon oxide film) easily formed at the valley entrance of the pattern is removed by sputter etching (s3). Such sputter etching may be performed in another reaction chamber, or may be performed in-situ by changing only reaction gas and process conditions supplied in the same reaction chamber. At this time, as the reaction gas used, a gas capable of generating a plasma for sputtering is used, that is, oxygen gas and helium are mixed and supplied into the reactor. At this time, the oxygen gas may be supplied at a flow rate of 0 sccm to 500 sccm, and the helium gas may be supplied at a flow rate of 0 sccm to 1000 sccm. In this way, while supplying the gas for the sputter, at the same time by applying a low-frequency power with RF (radio frequency) power to the reaction chamber to generate a global discharge (global discharge) in the reaction chamber. Then, helium and oxygen, which are sputtering gases, are activated and converted into a charged plasma form by the global discharge, and a plasma region is formed on the semiconductor substrate. The plasma-formed sputtering gas is accelerated toward the semiconductor substrate and is deposited on the surface of the semiconductor substrate by ion bombardment colliding with the silicon oxide film to form the silicon oxide film (SiO 2 ). As the bond breaks with the oxygen atom (O), it is separated from the semiconductor substrate, and some are ionized by electrons, and sputter etching is performed. Since the sputter etching has a strong anisotropic etch, the silicon oxide film is etched with a relatively weak bonding force at the inlet edge of the bone formed between the patterns, because the etching of the portion that is vertically exposed to the direction of ion migration is fast. Tend to be. In addition, a redeposition phenomenon in which silicon atoms (Si) separated by ion bombardment are combined with oxygenated plasma again and deposited between the valleys of the pattern may occur.

그리하여 스퍼터 식각(sputtering etch)이 완료된 후, 도 3b 및 도 9의 주사전자현미경 사진에서 본 바와 같이, 패턴을 중심으로 삼각형의 산모양으로 식각된다. 이러한 형태의 식각은 추후 패턴의 골을 형성하기 위해서 추가로 제2 층간 절연막(일반적으로 고밀도 플라즈마를 이용한 실리콘 산화막)을 증착할 때, 골 입구부분에 실리콘 소스가스들이 집중되어 증착이 빨라지면서 발생하는 오버행 현상을 방지할 수 있어, 추후 제2 층간 절연막 충진 후 보이드 결함을 방지할 수 있다.Thus, after the sputtering etch is completed, as shown in the scanning electron micrographs of FIGS. 3B and 9, the pattern is etched into a triangular acid shape around the pattern. This type of etching occurs when the second interlayer insulating film (generally, silicon oxide film using high-density plasma) is deposited to form a later patterned valley, and the silicon source gases are concentrated at the inlet of the bone, resulting in faster deposition. The overhang phenomenon can be prevented, and void defects can be prevented after filling the second interlayer insulating film later.

도 8을 참조하면, 사용되는 반응가스는 실리콘 산화막 형성 공정의 경우, 실렌과 산소 및 헬륨이고, 스퍼터 식각의 경우 산소와 헬륨을 사용한다. 여기서, 헬륨은 증착 반응이나 식각 반응에서 직접적으로 참여하지는 않지만, 반응가스들을반응챔버 내로 운반하는 캐리어 가스 역할을 하고 반응기 내에서는 글로벌 방전시 주변의 반응가스들과 전자를 주고받으면서 플라즈마화되어 이동하면서 충돌 횟수를 증가시킴으로써, 고밀도의 플라즈마를 형성하는 데 중요한 매개적 역할을 한다. 그리하여, 실리콘 산화막을 형성할 경우에는 실렌 및 산소를 플라즈마화시켜 고밀도의 플라즈마를 형성하고, 이러한 고밀도의 플라즈마에 의해서 증착속도가 아주 높은 공정을 성취할 수 있다. 이들 반응 가스들의 공급량은 증착속도와 관계가 있어 매우 민감하게 조절해야한다. 즉, 본 발명의 경우, 실렌을 30 sccm 내지 300 sccm의 유량으로 공급하며, 산소 가스의 경우 50 sccm 내지 500 sccm의 유량으로 공급하는데 실렌의 공급량보다 많은 비율로 공급한다. 그리고, 캐리어 가스인 헬륨의 경우에는, 유량이 50 sccm 내지 1000 sccm으로서 전술한 반응가스들을 운반할 수 있을 정도로 충분한 량을 공급한다.Referring to FIG. 8, the reaction gases used are silane, oxygen, and helium in the silicon oxide film forming process, and oxygen and helium in the sputter etching process. Here, helium does not directly participate in the deposition reaction or the etching reaction, but acts as a carrier gas for transporting the reaction gases into the reaction chamber, and in the reactor, plasma is moved while exchanging electrons with surrounding reaction gases during global discharge. By increasing the number of collisions, it plays an important mediating role in the formation of high density plasma. Thus, in the case of forming a silicon oxide film, a high density plasma can be formed by converting silane and oxygen into plasma, and a process having a very high deposition rate can be achieved by such a high density plasma. The supply of these reactant gases is related to the deposition rate and should be controlled very sensitively. That is, in the case of the present invention, the silane is supplied at a flow rate of 30 sccm to 300 sccm, and in the case of oxygen gas, the silane is supplied at a rate higher than the supply amount of the silane in a flow rate of 50 sccm to 500 sccm. In the case of helium, which is a carrier gas, a flow rate of 50 sccm to 1000 sccm is supplied to an amount sufficient to carry the reaction gases described above.

이 때, 반응챔버(도 7a의 210)에는 전술한 반응가스들을 플라즈마화시키기 위해서는 소정 주파수의 전력(예를 들어, Radio Frequency power)을 인가해 주어야 하는데, 그 방법은 반도체 기판(100)을 중심으로 반도체 기판을 지지하고 있는 하부의 기판지지대(도 7a의 201)에는 고주파수 전력(High Frequency power)을 인가해 주고, 반도체 기판(100)과 대응하여 상부에 위치한 반응챔버(도 7a의 210)의 상부벽(도 7a의 210a)에는 저주파수 전력(Low Frequency power)을 인가한다. 즉, 기판 지지대(201)에는 13.56 MHz의 라디오파 전력(Radio Frequency Power)이 인가되고, 이와 대응되는 타측으로서 반응챔버(210)의 상부벽(도 7a의 210a)에는 비교적 저주파수인 100 KHz 내지 1000 KHz의 주파수를 갖는 전력을 인가한다. 그 전력의 크기는 반응챔버(210)의 상부벽에 걸리는 저주파수 전력(LF power)의 경우 2500 w 내지 3500 w이고, 기판 지지대(도 7a의 201)에 인가되는 고주파수 전력(HF power)의 경우에는 500 w 내지 1500 w로서 저주파수 전력이 고주파수 전력보다 전력의 세기보다 더 크다.At this time, in order to plasma the reaction gases described above, the reaction chamber (210 of FIG. 7A) should be supplied with a power of a predetermined frequency (for example, radio frequency power), and the method centers on the semiconductor substrate 100. Therefore, high frequency power is applied to the lower substrate support (201 of FIG. 7A) that supports the semiconductor substrate, and the reaction chamber (210 of FIG. 7A) positioned above the semiconductor substrate 100 is applied. Low frequency power is applied to the upper wall 210a of FIG. 7A. That is, 13.56 MHz of radio frequency power is applied to the substrate support 201, and the lower side is 100 KHz to 1000 having a relatively low frequency on the upper wall (210a of FIG. 7A) of the reaction chamber 210. Apply power with a frequency of KHz. The magnitude of the power is 2500 w to 3500 w in the case of the low frequency power (LF power) applied to the upper wall of the reaction chamber 210, and in the case of the high frequency power (HF power) applied to the substrate support (201 of FIG. 7A). From 500 w to 1500 w, the low frequency power is greater than the intensity of the power than the high frequency power.

스퍼터 식각(sputter etch)의 경우에는 산소 가스를 소량 공급하여 플라즈마화시키면서 역시 자신도 플라즈마화되어 전체적으로 고농도의 이온화된 입자들을 발생시키며, 이들 고밀도 이온화된 입자들은 플라즈마 영역 내에서 발생되는 반도체 기판의 전위차(DC drop)에 의해서 가속되어 반도체 기판 상의 실리콘 산화막과 충돌하게 된다. 그리하여 식각속도가 현저히 높은 스퍼터링 식각을 달성할 수 있다. 이 때, 공급되는 스퍼터 가스의 공급량은 산소 가스의 경우에는 0 sccm 내지 500 sccm이고, 헬륨 가스의 경우에는 0 sccm 내지 1000 sccm으로서 산소 가스의 공급량에 따라 헬륨 가스 공급량이 가변적으로 공급된다. 여기서, 스퍼터용으로 사용되는 산소 가스 및 헬륨 가스는 각각 단독으로 사용될 수도 있고, 혼합하여 사용할 수도 있다. 그러나, 고밀도 플라즈마를 이용한 실리콘 산화막 형성시에 일반적으로 나타나는 버블(bubble) 문제는 산소 가스를 함유함으로써 해결할 수 있으나 산소 가스는 반응 중에 파티클(particle)을 발생시키는 단점이 있고, 반대로 헬륨 가스는 공정반응 중에 파티클의 문제는 해결할 수 있으나 버블 문제를 해결할 수 없어 통산 헬륨 가스와 산소 가스를 적당한 비율로 혼합하여 사용하는 것이 바람직하다. 또한, 헬륨 가스만으로도 스퍼터 식각 공정을 진행할 경우에는, 스퍼터 식각 도중에 산소 원자(O)와 실리콘 원자(Si)의 재결합에 의한 실리콘 산화막 재증착 현상은동반하지 않고 순수하게 스퍼터 식각 반응만이 진행된다. 스퍼터 식각에서는 이온입자의 층돌빈도에 의해서 식각속도가 의존하기 때문에 고주파수 및 저주파수 전력(HF 및 LF power)은 실리콘 산화막을 형성할 때보다 높은 전력을 인가한다. 즉, 저주파수(LF power) 전력은, 3500 w 내지 5000 w이고, 고주파수 전력(HF power)의 경우에는 2000 w 내지 3000 w 정도이다.In the case of the sputter etch, a small amount of oxygen gas is supplied to make plasma, and also the plasma itself is generated to generate a high concentration of ionized particles, and these high density ionized particles generate a potential difference of the semiconductor substrate generated in the plasma region. Accelerated by (DC drop) to collide with the silicon oxide film on the semiconductor substrate. Thus, sputtering etching with a significantly high etching rate can be achieved. At this time, the supply amount of the sputter gas to be supplied is 0 sccm to 500 sccm in case of oxygen gas, and 0 sccm to 1000 sccm in case of helium gas, and the helium gas supply amount is variably supplied according to the supply amount of oxygen gas. Here, the oxygen gas and the helium gas used for the sputtering may be used alone, or may be used after mixing. However, the bubble problem that is commonly seen in the formation of silicon oxide film using high density plasma can be solved by containing oxygen gas, but oxygen gas has the disadvantage of generating particles during the reaction, whereas helium gas is a process reaction. While the problem of particles can be solved, the bubble problem cannot be solved, and it is preferable to use a mixture of helium gas and oxygen gas in an appropriate ratio. In addition, when the sputter etching process is performed using only helium gas, only the sputter etching reaction proceeds purely without accompanying the silicon oxide film redeposition phenomenon by the recombination of oxygen atoms (O) and silicon atoms (Si) during the sputter etching. In the sputter etching, since the etching speed depends on the laminar frequency of the ion particles, the high frequency and low frequency powers (HF and LF power) apply a higher power than when the silicon oxide film is formed. That is, the low frequency (LF power) power is 3500 w to 5000 w, and in the case of the high frequency power (HF power), it is about 2000 w to 3000 w.

이상과 같이, 본 발명에 따른 반도체 장치의 제조방법은, 게이트 패턴 사이와 같이 패턴간에 단차가 높은 골이 형성되는 부분에 층간 절연막을 채울 때, 고밀도 플라즈마를 이용하는 층간 절연막 형성단계 후 인시튜로 스퍼터 식각 단계를 포함함으로써, 게이트 사이에 형성된 골을 보이드 없이 충진할 수 있어 공정안정성을 확보할 수 있다.As described above, in the method of manufacturing a semiconductor device according to the present invention, when an interlayer insulating film is filled in a portion where a high step difference is formed between patterns such as between gate patterns, an in-situ sputtering is performed after the interlayer insulating film forming step using high density plasma. By including the etching step, the valleys formed between the gates can be filled without voids, thereby securing process stability.

그리고, 반도체 장치 내에 특히 모스 트랜지스터의 중요한 요소인 게이트 패턴간에 입체 결함인 보이드가 형성되지 않으므로, 반도체 장치의 물리적인 신뢰성과 전기적 신뢰성을 크게 향상시킬 수 있다.Since voids, which are three-dimensional defects, are not formed between the gate patterns, which are particularly important elements of the MOS transistors, in the semiconductor device, physical and electrical reliability of the semiconductor device can be greatly improved.

한편, 이와 같은 본 발명은, 게이트 사이를 충진하는 층간 절연막으로서, 실리콘 산화막을 사용하고 있지만, 실리콘 산화막 이외에도 다른 막질, 예를 들어, 실리콘 질화막(Silicon nitride)이나 실리콘 질소산화막(oxynitride) 같은 막을 적용할 수도 있다. 그럴 경우에는 습식식각의 경우에 적용되는 식각용액이 달라져, 실리콘 질화막을 식각할 수 있는 인산(H3PO4)과 같은 화학용액을 사용하여야 한다.In the present invention, a silicon oxide film is used as the interlayer insulating film filling the gates. However, in addition to the silicon oxide film, another film quality, for example, a silicon nitride film or a silicon oxynitride film, is applied. You may. In this case, the etching solution used in the case of wet etching is different, and a chemical solution such as phosphoric acid (H 3 PO 4 ), which can etch the silicon nitride film, must be used.

그리고, 본 발명의 고밀도 플라즈마를 이용한 층간 절연막 형성방법은 게이트 패턴과 같이 일정 간격으로 골을 형성하는 다른 패턴, 예를 들어 비트라인 패턴이나 금속배선 패턴에서도 단차 충진용 절연막으로서 충분히 사용될 수 있다.In addition, the method for forming an interlayer insulating film using the high density plasma of the present invention can be sufficiently used as an insulating film for filling gaps in other patterns in which valleys are formed at regular intervals, such as gate patterns, for example, bit line patterns and metal wiring patterns.

또한, 상기와 같은 본 발명은 고밀도 플라즈마를 발생하는 방법으로 라디오파 이외에 헬리콘 소스(Helicon source)나 ECR(Electron cyclotron resonance) 등을 사용할 수도 있다.In addition, the present invention as described above may use a Helicon source (Helicon source), ECR (Electron cyclotron resonance) in addition to the radio wave as a method for generating a high-density plasma.

상술한 바와 같이 본 발명의 반도체 장치의 제조방법은, 게이트 패턴을 형성하고 난 후에 고밀도 플라즈마를 이용한 층간 절연막을 형성하고 즉시 건식식각인 스퍼터 식각으로 소정 두께 절연막을 식각 제거하고 이후 패턴사이에 형성된 골의 나머지를 고밀도 플라즈마를 이용한 층간 절연막을 충진함으로써, 패턴 사이의 골을 보이드 결함없이 용이하게 충진할 수 있다. 크랙과 같은 치명적인 결함을 발전시킬 가능성이 적어 물리적으로 신뢰성 있고 전기적으로도 안전한 반도체 장치를 제공할 수 있다.As described above, in the method of manufacturing a semiconductor device of the present invention, after forming a gate pattern, an interlayer insulating film using high-density plasma is formed, and a predetermined thickness insulating film is etched away by sputter etching, which is a dry etching, and then a valley formed between the patterns. By filling the rest of the interlayer insulating film using a high density plasma, the valleys between the patterns can be easily filled without void defects. The likelihood of developing fatal defects such as cracks is less likely to provide a physically reliable and electrically safe semiconductor device.

Claims (11)

a) 반도체 기판 상에 소자분리용 절연막을 형성하고 소자영역에 소정 간격으로 게이트를 형성하는 단계;a) forming a device isolation insulating film on the semiconductor substrate and forming gates at predetermined intervals in the device region; b) 상기 게이트가 형성된 반도체 기판 상에 상기 게이트 사이의 공간이 매몰되지 않도록 소정 두께의 제1 층간 절연막을 증착하는 단계;b) depositing a first interlayer insulating film having a predetermined thickness so that the space between the gates is not buried on the semiconductor substrate on which the gate is formed; c) 상기 제1 층간 절연막을 소정 두께만큼 전면 스퍼터(sputter) 식각하는단계;c) etching the first sputtered interlayer insulating film by a predetermined thickness; d) 상기 제1 층간 절연막을 등방성 식각법을 이용하여 부분적으로 제거하는 단계; 및d) partially removing the first interlayer insulating film using an isotropic etching method; And e) 상기 게이트 사이의 공간을 완전히 충진할 수 있도록 상기 제1 층간 절연막 상에 제2 층간 절연막을 증착 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 장치의 제조방법.e) depositing and forming a second interlayer insulating film on said first interlayer insulating film so as to completely fill the space between said gates. 제1항에 있어서, 상기 a) 단계는The method of claim 1, wherein step a) 상기 반도체 기판의 기지 실리콘에 트렌치 소자분리법에 의해서 소자분리용 절연막을 형성하는 단계;Forming an insulating film for device isolation in the silicon of the semiconductor substrate by trench isolation; 상기 반도체 기판의 소자영역에 게이트 절연막을 형성하는 단계;Forming a gate insulating film in the device region of the semiconductor substrate; 상기 게이트 절연막 상에 게이트 도전막을 형성하는 단계;Forming a gate conductive film on the gate insulating film; 상기 게이트 도전막을 패터닝하여 게이트 패턴을 형성하는 단계; 및Patterning the gate conductive layer to form a gate pattern; And 상기 게이트 패턴의 측벽에 스페이서 절연막을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 장치의 제조방법.Forming a spacer insulating film on sidewalls of the gate pattern. 제2항에 있어서, 상기 게이트 도전막을 형성하는 단계는 상기 게이트 도전막 상에 마스크용 절연막을 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 장치의 제조방법.The method of claim 2, wherein the forming of the gate conductive film further comprises forming an insulating film for a mask on the gate conductive film. 제1항에 있어서, 상기 b) 단계의 층간 절연막은 고밀도 플라즈마를 이용한 화학기상증착법(High Density plasma Chemical Vapor Deposition)으로 형성되는 것을 특징으로 하는 반도체 장치의 제조방법.The method of claim 1, wherein the interlayer insulating film of step b) is formed by a high density plasma chemical vapor deposition using high density plasma. 제4항에 있어서, 상기 층간 절연막은 실리콘 산화막인 것을 특징으로 하는 특징으로 하는 반도체 장치의 제조방법.The method of manufacturing a semiconductor device according to claim 4, wherein the interlayer insulating film is a silicon oxide film. 제5항에 있어서, 상기 실리콘 산화막은 실리콘 소스 가스가 실렌(SiH4)인 것을 특징으로 하는 반도체 장치의 제조방법.The method of manufacturing a semiconductor device according to claim 5, wherein the silicon oxide film is made of silicon (SiH 4 ). 제1항에 있어서, 상기 c) 단계는 상기 제1 층간 절연막의 형성과 동시에 동일 반응챔버 내에서 인시튜(in-situ)로 진행되는 것을 특징으로 하는 반도체 장치의 제조방법.The method of claim 1, wherein the step c) is performed in-situ in the same reaction chamber at the same time as the formation of the first interlayer insulating film. 제7항에 있어서, 상기 스퍼터 식각시 사용되는 분위기 가스는 헬륨과 산소 중 어느 하나를 포함하는 것을 특징으로 하는 반도체 장치의 제조방법.The method of claim 7, wherein the atmosphere gas used for the sputter etching comprises one of helium and oxygen. 제1항에 있어서, 상기 d) 단계는 습식식각법을 이용하여 진행하는 것을 특징으로 하는 반도체 장치의 제조방법.The method of claim 1, wherein the d) is performed by using a wet etching method. 제1항에 있어서, 상기 e) 단계에서 상기 층간 절연막은 실리콘 산화막인 것을 특징으로 하는 반도체 장치의 제조방법.2. The method of claim 1, wherein the interlayer insulating film is a silicon oxide film in step e). 제10항에 있어서, 상기 실리콘 산화막은 고밀도 플라즈마를 이용한 화학기상증착법에 의해서 증착되는 것을 특징으로 하는 반도체 장치의 제조방법.The method of claim 10, wherein the silicon oxide film is deposited by chemical vapor deposition using a high density plasma.
KR10-2003-0056426A 2003-08-14 2003-08-14 Manufacturing method for semiconductor device KR100403638B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR10-2003-0056426A KR100403638B1 (en) 2003-08-14 2003-08-14 Manufacturing method for semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2003-0056426A KR100403638B1 (en) 2003-08-14 2003-08-14 Manufacturing method for semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-0040686A Division KR100403630B1 (en) 2001-07-07 2001-07-07 Method for forming inter-layer dielectric film of semiconductor device by HDP CVD

Publications (2)

Publication Number Publication Date
KR20030071709A true KR20030071709A (en) 2003-09-06
KR100403638B1 KR100403638B1 (en) 2003-11-01

Family

ID=32227617

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2003-0056426A KR100403638B1 (en) 2003-08-14 2003-08-14 Manufacturing method for semiconductor device

Country Status (1)

Country Link
KR (1) KR100403638B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100769588B1 (en) * 2006-08-28 2007-10-23 (주)울텍 Method for fabricating nano-structure based silicon

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100769588B1 (en) * 2006-08-28 2007-10-23 (주)울텍 Method for fabricating nano-structure based silicon

Also Published As

Publication number Publication date
KR100403638B1 (en) 2003-11-01

Similar Documents

Publication Publication Date Title
KR100403630B1 (en) Method for forming inter-layer dielectric film of semiconductor device by HDP CVD
US20020052119A1 (en) In-situ flowing bpsg gap fill process using hdp
US7276426B2 (en) Methods of forming semiconductor constructions
US6914015B2 (en) HDP process for high aspect ratio gap filling
JP7374308B2 (en) Method and apparatus for depositing dielectric materials
KR100555539B1 (en) Gap-fill method using high density plasma chemical vapor deposition process and manufacturing method for integrated circuits device comprising the gap-fill method
KR100518587B1 (en) Fabrication Method for shallow trench isolation structure and microelectronic device having the same structure
JPH09134895A (en) Manufacture of semiconductor device
TW556316B (en) A method of fabricating a shallow trench isolation with high aspect ratio
US7939419B2 (en) Method of filling a trench in a substrate
US7566924B2 (en) Semiconductor device with gate spacer of positive slope and fabrication method thereof
US7018905B1 (en) Method of forming isolation film in semiconductor device
US20060270185A1 (en) Method of forming isolation film of semiconductor device
KR100403638B1 (en) Manufacturing method for semiconductor device
TW202240661A (en) Substrate processing method
US20070273003A1 (en) Semiconductor device and manufacturing method thereof
US7026172B2 (en) Reduced thickness variation in a material layer deposited in narrow and wide integrated circuit trenches
US6472307B1 (en) Methods for improved encapsulation of thick metal features in integrated circuit fabrication
US20060068540A1 (en) Sequential chemical vapor deposition - spin-on dielectric deposition process
KR20060037563A (en) Method of forming a high density plasma insulating layer using remote plasma generator
KR100842904B1 (en) Method for forming isolation layer of semiconductor device
KR100637095B1 (en) Method of manufacturing semiconductor device
KR100363845B1 (en) Method of forming a high density plasma film in a semiconductor device
JP2004207280A (en) Element isolating structure forming method and method of manufacturing semiconductor device
JP2001035834A (en) Dry etching method

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20060928

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee