KR200269379Y1 - A detection device of trouble signal from optical signal receive system - Google Patents

A detection device of trouble signal from optical signal receive system Download PDF

Info

Publication number
KR200269379Y1
KR200269379Y1 KR2020010040270U KR20010040270U KR200269379Y1 KR 200269379 Y1 KR200269379 Y1 KR 200269379Y1 KR 2020010040270 U KR2020010040270 U KR 2020010040270U KR 20010040270 U KR20010040270 U KR 20010040270U KR 200269379 Y1 KR200269379 Y1 KR 200269379Y1
Authority
KR
South Korea
Prior art keywords
signal
optical
los
lop
lof
Prior art date
Application number
KR2020010040270U
Other languages
Korean (ko)
Inventor
박정재
Original Assignee
엘지전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엘지전자주식회사 filed Critical 엘지전자주식회사
Priority to KR2020010040270U priority Critical patent/KR200269379Y1/en
Application granted granted Critical
Publication of KR200269379Y1 publication Critical patent/KR200269379Y1/en

Links

Abstract

본 고안은 광신호를 이용하여 광통신을 하는 수신장치에 관한 것으로, 특히, 장애신호 검출장치에 관한 것이며, 광케이블로부터 광신호를 수신하는 광수신장치에 있어서; 광케이블에 접속하여 광신호로부터 클럭신호와 데이터 신호를 출력하는 동시에 LOP, LOS, LOF의 장애신호를 출력하는 광수신부와; 상기 광수신부로부터 출력되는 LOP, LOS, LOF의 장애신호를 입력받는 동시에 외부로부터 클럭신호를 입력받고 계수하여 LOP, LOS, LOF의 발생신호를 출력하는 계수부를 포함하여 이루어지는 특징에 의하여 PD의 특성을 일정하게 개별적인 조정을 하지 않아도 되므로, 양산성이 있는 동시에 품질을 균일하게 할 수 있는 효과와 PD의 Dark Noise에 의한 Boundary 상태에서 Chattering 현상이 발생하지 않는 효과가 있다.The present invention relates to a receiver for optical communication using an optical signal, and more particularly, to a failure signal detection apparatus, comprising: an optical receiver for receiving an optical signal from an optical cable; An optical receiver which is connected to an optical cable and outputs a clock signal and a data signal from the optical signal and outputs a fault signal of LOP, LOS and LOF; The characteristics of the PD are characterized by including a counter for receiving the LOP, LOS, LOF output signal from the optical receiver and receiving and counting clock signals from the outside and outputting the generated signals of LOP, LOS, and LOF. There is no need to make individual adjustments constantly, so it has mass production and uniformity of quality, and chattering does not occur in the boundary state caused by dark noise of PD.

Description

광신호 수신장치의 장애신호 검출장치{A DETECTION DEVICE OF TROUBLE SIGNAL FROM OPTICAL SIGNAL RECEIVE SYSTEM}Fault signal detection device of optical signal receiver {A DETECTION DEVICE OF TROUBLE SIGNAL FROM OPTICAL SIGNAL RECEIVE SYSTEM}

본 고안은 광신호를 이용하여 광통신을 하는 수신장치에 관한 것으로, 특히, 장애신호 검출장치에 관한 것이다.The present invention relates to a receiver for optical communication using an optical signal, and more particularly, to a failure signal detection apparatus.

광통신 장치는 광을 이용하여 통신신호를 송수신하는 것으로, 광신호의 광대역(Wide Band) 특성과 적은 에너지를 이용하여 원거리 전송이 가능한 등의 장점에 의하여 많이 사용되고 있다.Optical communication devices transmit and receive communication signals using light, and are widely used due to advantages such as wideband characteristics of optical signals and long distance transmission using less energy.

상기와 같은 광통신 장비의 광선로는 하나의 선로를 이용하여 대량의 음성채널신호를 동시에 전송하는 것이며, 따라서, 장애가 발생하는 경우, 대량의 음성채널에 해당하는 통신신호를 동시에 전송하지 못하는 문제가 있으므로, 그 유지보수가 매우 중요하다.The optical path of the optical communication equipment as described above transmits a large number of voice channel signals at the same time using a single line. Therefore, when a failure occurs, there is a problem in that a communication signal corresponding to a large number of voice channels cannot be transmitted at the same time. The maintenance is very important.

상기 광통신 장비는 송신되는 광신호가 수신측에서 수신되지 않는 LOS(Loss Of Signal), 광전력이 수신되지 않는 LOP(Loss Of Power), 광신호의 동기용 프레임 신호가 수신되지 않는 LOF(Loss Of Frame) 등의 장애발생 경보신호를 검출 또는 판별하므로써 해당 유지보수를 한다.The optical communication equipment includes a Loss Of Signal (LOS) in which an optical signal to be transmitted is not received at a receiving side, a Loss Of Power (LOP) in which optical power is not received, and a LOF (Los Of Of Frame) in which a frame signal for synchronizing optical signals is not received. The relevant maintenance is performed by detecting or discriminating a fault alarm signal such as).

이하, 종래 기술에 의한 광신호 수신장치의 장애신호 검출장치를 첨부된 도면을 참조하여 설명한다.Hereinafter, a failure signal detection device of a conventional optical signal receiver will be described with reference to the accompanying drawings.

종래 기술을 설명하기 위하여 첨부된 것으로, 도1은 종래 기술에 의한 광신호 수신장치의 장애신호 검출장치이다.Attached to explain the prior art, Figure 1 is a failure signal detection device of the conventional optical signal receiving apparatus.

상기 첨부된 도1을 참조하면, 종래 기술에 의한 광신호 수신장치의 장애신호 검출장치는, 광신호를 전송하는 광케이블(10)과,Referring to FIG. 1, the fault signal detecting apparatus of the conventional optical signal receiver includes an optical cable 10 for transmitting an optical signal,

상기 광케이블을 통하여 수신되는 광신호를 처리하여 전시적 신호로 출력하는 것으로써, 광케이블(10)로부터 인가되는 광신호를 검출하여 전류(Current) 신호로 출력하고, 상기의 전류를 전압으로 변환하여 출력하는 동시에 광전력이 검출되지 않는 경우 LOP(Loss Of Power) 장애신호를 출력하는 PD(Photo Detect)부(22);The optical signal received through the optical cable is processed and output as a display signal. The optical signal applied from the optical cable 10 is detected and output as a current signal, and the current is converted into a voltage and output. At the same time, if the optical power is not detected PD (Photo Detect) unit 22 for outputting a LOP (Loss Of Power) failure signal;

상기 PD부(22)로부터 출력되는 전압신호를 증폭하여 제한된 레벨만 출력되도록 하는 동시에 광신호가 검출되지 않는 경우 LOS(Loss Of Signal) 장애신호를 출력하는 LA(Limit Amplifier)부(24);A Limit Amplifier (LA) unit 24 for amplifying the voltage signal output from the PD unit 22 to output only a limited level and outputting a Loss of Signal (LOS) fault signal when an optical signal is not detected;

상기 LA부(24)로부터 출력되는 신호로부터 클럭과 데이터 신호를 복원하는 동시에 데이터 신호를 검출하지 못하는 경우 LOF(Loss Of Frame) 장애신호를 출력하는 CDR(Clock and Data Recovery)부(26)를 포함하여 이루어지는 광수신부로 구성된다.And a clock and data recovery (CDR) unit 26 for restoring a clock and data signal from the signal output from the LA unit 24 and outputting a loss of frame (LOF) fault signal when the data signal is not detected. It consists of the light receiving part which is made.

이하, 상기와 같은 구성의 종래 기술에 의한 광신호 수신장치의 장애신호 검출장치를 설명한다.Hereinafter, a failure signal detection device of the optical signal receiver according to the prior art having the above configuration will be described.

상기 광케이블(Optical Cable)(10)을 통하여 전기적 통신신호가 광신호로 전송되면, 상기 광수신부(20)에 의하여 전기적 신호로 변환하여 출력한다.When the electrical communication signal is transmitted as an optical signal through the optical cable 10, the optical receiver 20 converts the electrical signal into an electrical signal and outputs the electrical signal.

상기와 같은 광수신부(20)의 PD부(22)는, 광케이블(10)로부터 수신되는 광신호를 PD(Photo Diode)에 의하여 광전력으로부터 전기적인 전류신호로 검출 및 변환하고, 상기와 같은 전류를 TIA(Trans Impedance Amplifier)에 의하여 전압신호로 변환하여 출력한다.The PD unit 22 of the optical receiver 20 detects and converts an optical signal received from the optical cable 10 into an electrical current signal from optical power by using a photo diode (PD). Is converted into a voltage signal by TIA (Trans Impedance Amplifier) and output.

상기 PD부(22)의 PD는 광케이블로부터 인가되는 광신호의 광전력으로부터 전류신호로 변환하여 출력하는 것으로, 광전력이 인가되지 않는 경우, LOP(Loss Of Power) 장애신호를 발생한다.The PD of the PD unit 22 converts the optical power of the optical signal applied from the optical cable into a current signal and outputs the current signal. When the optical power is not applied, the PD generates a loss of power (LOP) fault signal.

상기 LA부(24)는 상기 PD부(22)로부터 출력된 전압신호를 증폭하여 일정한 레벨(Level) 범위에서만 출력하는 것으로써, 상기 일정한 레벨의 신호가 출력되지 않을 경우, LOS(Loss Of Signal) 장애신호를 출력한다.The LA unit 24 amplifies the voltage signal output from the PD unit 22 and outputs only the constant level range. When the signal of the constant level is not output, the LOS (Loss Of Signal) Output fault signal.

상기와 같이 LA부(24)로부터 출력되는 일정한 레벨의 신호를 입력받은CDR부(26)는 상기 입력받은 신호를 분석하여 클럭과 데이터 신호를 검출하여 출력하며, 상기와 같은 클럭신호와 데이터 신호가 검출되지 않는 경우 LOF(Loss Of Frame) 장애신호를 출력한다.As described above, the CDR unit 26 that receives the signal of a constant level output from the LA unit 24 analyzes the received signal and detects and outputs a clock and data signal. If not detected, outputs a LOF (Loss Of Frame) fault signal.

상기와 같은 구성의 종래 구성에 의한 장애신호 검출장치는 광수신부(20)로부터 출력되는 LOP, LOS, LOF 등과 같은 장애신호를 검출하는 즉시 장애경보를 발생하는 것이다.The failure signal detection apparatus according to the conventional configuration having the above-described configuration generates a failure alarm immediately upon detecting a failure signal such as LOP, LOS, LOF, etc. output from the optical receiver 20.

그러나, 상기와 같은 종래 기술은 장애신호가 PD부(22), LA부(24), CDR부(26)의 기능에 의존적이고, 상기와 같은 PD부(22), LA부(24), CDR부(26)는 PD(Photo Diode)의 특성에 의하여 크게 좌우되는 문제가 있다.However, in the prior art as described above, the failure signal is dependent on the functions of the PD unit 22, the LA unit 24, and the CDR unit 26, and the PD unit 22, the LA unit 24, the CDR as described above. The unit 26 has a problem that depends greatly on the characteristics of the photo diode (PD).

따라서, 특성을 일정하게 하기 위하여 각각의 PD마다 개별적으로 일정한 출력이 발생되도록 정밀 조정(Setting) 하여야 하는 문제와 양산에 적용하기 어려운 동시에 생산비용이 많이 소요되는 문제가 있다.Therefore, in order to make the characteristic constant, each PD needs to be precisely adjusted so that a constant output is generated, and there is a problem that it is difficult to apply to mass production and at the same time takes a lot of production cost.

또한, 상기 PD의 출력이 일정하도록 조정하는 경우에도, 장애신호가 발생되는 기준레벨의 설정이 임의적이므로, 장애신호를 구분하는 임계값 근처의 Boundary 상태에서는 연속적으로 장애상태와 정상상태를 반복하는 Chattering이 발생하는 문제가 있다.In addition, even when the output of the PD is adjusted to be constant, since the setting of the reference level at which the fault signal is generated is arbitrary, in the boundary state near the threshold for distinguishing the fault signal, the chattering continuously repeats the fault state and the normal state. There is a problem that occurs.

본 고안은 광신호 수신장치의 장애신호 검출장치에 있어서, 각각의 PD를 개별적으로 조정하지 않는 동시에 바운더리(Boundary) 상태에서 채터링(Chattering)이 발생하지 않도록 하는 장치를 제공하는 것이 그 목적이다.An object of the present invention is to provide an apparatus for detecting an error signal of an optical signal receiver, which does not individually adjust each PD and prevents chattering in a boundary state.

상기와 같은 목적을 달성하기 위하여 안출한 본 고안은, 광케이블로부터 광신호를 수신하는 광수신장치에 있어서; 광케이블에 접속하여 광신호로부터 클럭신호와 데이터 신호를 출력하는 동시에 LOP, LOS, LOF의 장애신호를 출력하는 광수신부와; 상기 광수신부로부터 출력되는 LOP, LOS, LOF의 장애신호를 입력받는 동시에 외부로부터 클럭신호를 입력받고 계수하여 LOP, LOS, LOF의 발생신호를 출력하는 계수부를 포함하여 이루어지는 특징이 있다.The present invention devised to achieve the above object is an optical receiving device for receiving an optical signal from an optical cable; An optical receiver which is connected to an optical cable and outputs a clock signal and a data signal from the optical signal and outputs a fault signal of LOP, LOS and LOF; It includes a counting unit for receiving the error signal of the LOP, LOS, LOF output from the optical receiver and at the same time receives the clock signal from the outside and counts to output the generated signal of the LOP, LOS, LOF.

도1은 종래 기술에 의한 광신호 수신장치의 장애신호 검출장치이고,1 is a failure signal detection apparatus of an optical signal receiver according to the prior art,

도2 는 본 고안에 의한 광신호 수신장치의 장애신호 검출장치 기능 구성도 이다.2 is a functional block diagram of a failure signal detection device of the optical signal receiver according to the present invention.

** 도면의 주요 부분에 대한 부호 설명 **** Explanation of symbols on the main parts of the drawing **

10,15 : 광케이블 20,25 : 광수신부10,15: optical cable 20,25: optical receiver

22 : PD부 24 : LA부22: PD part 24: LA part

26 : CDR부 30 : 계수부26 CDR portion 30 Counter portion

이하, 본 고안에 의한 광신호 수신장치의 장애신호 검출장치를 첨부된 도면을 참조하여 설명한다.Hereinafter, a failure signal detection device of an optical signal receiver according to the present invention will be described with reference to the accompanying drawings.

본 고안을 설명하기 위하여 첨부된 것으로, 도2 는 본 고안에 의한 광신호 수신장치의 장애신호 검출장치 기능 구성도 이다.Attached to explain the present invention, Figure 2 is a functional block diagram of the failure signal detection device of the optical signal receiving apparatus according to the present invention.

상기 첨부된 도2를 참조하면, 본 고안에 의한 것으로 광신호 수신장치의 장애신호 검출장치는, 광케이블로부터 광신호를 수신하는 광수신장치에 있어서,Referring to FIG. 2, the fault signal detecting apparatus of the optical signal receiving apparatus according to the present invention includes an optical receiving apparatus for receiving an optical signal from an optical cable.

광케이블(15)에 접속하여 입력되는 광신호(Optical Signal)로부터 클럭(Clock)신호와 데이터(Data) 신호를 출력하는 동시에 광전력이 수신되지 않는다는 LOP(Loss Of Power) 장애신호, 통신신호가 수신되지 않는다는 LOS(Loss Of Signal) 장애신호, 클럭과 데이터가 검출되지 않는다는 LOF(Loss Of Frame) 장애신호를 출력하는 광수신부(25)와,Outputs a clock signal and a data signal from an optical signal input by connecting to the optical cable 15 and receives a LOP (Loss Of Power) fault signal and a communication signal indicating that optical power is not received. An optical receiver 25 for outputting a LOS (Loss Of Signal) fault signal that does not appear, and a LOF (Loss Of Frame) fault signal that does not detect a clock and data;

상기 광수신부(25)로부터 출력되는 LOP, LOS, LOF의 장애신호를 입력받는 동시에 외부로부터 클럭(CLK)신호를 입력받고 계수하여 LOP, LOS, LOF의 발생신호를출력하는 것으로써, 상기 광수신부(25)로부터 출력되는 LOP 장애신호가 설정된 소정 시간동안 지속되는 경우 LOP 발생신호를 출력하고; 상기 광수신부(25)로부터 출력되는 LOS 장애신호가 설정된 소정 시간동안 지속되는 경우 LOS 발생신호를 출력하며; 상기 광수신부(25)로부터 출력되는 LOF 장애신호가 설정된 소정 시간동안 지속되는 경우 LOF 발생신호를 출력하는 계수부를 포함하여 구성된다.The optical receiver unit receives the LOP, LOS, and LOF error signals output from the optical receiver 25, and receives and counts a clock (CLK) signal from the outside to output the generated signals of the LOP, LOS, and LOF. Outputting an LOP generation signal when the LOP failure signal outputted from (25) lasts for a predetermined time; Outputting an LOS generation signal when the LOS failure signal output from the optical receiver 25 lasts for a predetermined time; And a counter for outputting a LOF generation signal when the LOF failure signal output from the optical receiver 25 lasts for a predetermined time.

이하, 상기와 같은 구성의 본 고안에 의한 것으로 광신호 수신장치의 장애신호 검출장치를 첨부된 도면을 참조하여 상세히 설명한다.Hereinafter, the fault signal detecting apparatus of the optical signal receiving apparatus according to the present invention having the above configuration will be described in detail with reference to the accompanying drawings.

상기 광케이블(15)을 통하여 매우 많은 다수 가입자의 통신신호가 전송되고, 상기와 같이 광신호에 의하여 전송되는 통신신호는 수신측에서 오류(Error) 없이 정상적으로 수신되어야 한다.The communication signal of a very large number of subscribers is transmitted through the optical cable 15, and the communication signal transmitted by the optical signal as described above should be normally received without an error at the receiving side.

상기와 같이 통신신호가 정상적으로 전송되는 것을 확인하기 위하여 광수신부(25)는 광신호를 수신하는 과정에서 광전력이 검출되는지 확인하고, 광전력이 검출되지 않는 경우는 LOP(Loss Of Power) 장애신호를 출력한다.In order to confirm that the communication signal is normally transmitted as described above, the optical receiver 25 checks whether the optical power is detected in the process of receiving the optical signal, and if the optical power is not detected, the LOP (Loss Of Power) fault signal. Outputs

또한, 상기와 같은 광전력이 검출되는 경우에도 통신신호가 검출되는지를 확인하고. 통신신호가 검출되지 않는 경우에는 통신신호가 검출되지 않는 다는 LOS(Loss Of Signal) 장애신호를 출력한다.In addition, it is checked whether a communication signal is detected even when the optical power as described above is detected. If no communication signal is detected, a LOS (Loss Of Signal) fault signal indicating that no communication signal is detected is output.

또한, 상기와 같은 통신신호가 검출되는 경우에도 클럭신호와 데이터 신호가 검출되는지를 확인하고, 클럭신호와 데이터 신호가 검출되지 않는 경우에는 상기의 클럭신호와 데이터 신호가 동기(Lock 또는 Synchronous)되지 않는 동시에 검출되지 않는다는 LOF(Loss Of Frame) 장애신호를 출력한다,Also, when the communication signal is detected as described above, it is checked whether the clock signal and the data signal are detected. If the clock signal and the data signal are not detected, the clock signal and the data signal are not locked or synchronized. Outputs a LOF (Loss Of Frame) fault signal indicating that

상기 광수신부(25)는 상기와 같은 LOP, LOS, LOF의 장애신호가 발생되지 않는 경우, 정상적인 통신신호를 해당 처리부에 출력하므로써, 통신이 진행되도록 한다.The optical receiver 25 outputs a normal communication signal to a corresponding processing unit when the failure signals of the LOP, LOS, and LOF are not generated, thereby allowing communication to proceed.

상기 광수신부(25)로부터 상기와 같은 LOP, LOS, LOF의 장애신호가 발생되어 출력되는 경우, 계수부(30)에서 상기의 LOP, LOS, LOF 장애신호를 입력하는 동시에 상기 광수신부(25)가 동작하는 기준이 되는 클럭(CLK)신호를 함께 입력받는다.When the above-mentioned failure signals of LOP, LOS, and LOF are generated and output from the optical receiver 25, the counter 30 receives the LOP, LOS, and LOF failure signals at the same time. A clock (CLK) signal that is a reference to operate is input together.

상기 계수부(30)는 각각 입력되는 상기와 같은 LOP, LOS, LOF의 장애신호가 설정된 소정의 시간 이상 지속되는 경우에 해당 LOP 발생신호, LOS 발생신호, LOF 발생신호를 출력한다.The counting unit 30 outputs the corresponding LOP generation signal, LOS generation signal, and LOF generation signal when the failure signals of the LOPs, LOSs, and LOFs, respectively, are input for more than a predetermined time.

상기 광수신부(25)에서 상기와 같은 기능을 하도록 하는 코드(Code) 프로그램은, 일 예로, 다음과 같다.A code program for performing the above functions in the optical receiver 25 is, for example, as follows.

* LOS 검출 로직 *LOS detection logic

library IEEE;library IEEE;

use IEEE.std_logic_1164.all;use IEEE.std_logic_1164.all;

entity los_detection isentity los_detection is

port( rx_los:in std_logic;port (rx_los: in std_logic;

ref_clk:in std_logicref_clk: in std_logic

););

end los_detection;end los_detection;

architecture logic of los_detection isarchitecture logic of los_detection is

signal counter,los_counter;std_logic_vector(8 downto 0);signal counter, los_counter; std_logic_vector (8 downto 0);

beginbegin

process(ref_clk)process (ref_clk)

beginbegin

if rising_edge(sysclk) thenif rising_edge (sysclk) then

if counter=X"FF" then counter<=X"00";if counter = X "FF" then counter <= X "00";

else rx_los='1'then los_counter<=los_counter + '1';else rx_los = '1'then los_counter <= los_counter +' 1 ';

end if;end if;

end if;end if;

if rising_edge(ref_clk) thenif rising_edge (ref_clk) then

if los_counter=counter then los<='1';if los_counter = counter then los <= '1';

else los<='0';else los <= '0';

end if;end if;

end if;end if;

end process;end process;

end logic;end logic;

따라서, 종래 기술과 같이 PD의 특성을 일정하게 하기 위하여 개별적인 조정을 하지 않아도 되고, Boundary 상태에서 PD의 Dark Noise에 의하여 장애신호가 Chattering 현상을 발생하지 않는 장점이 있다.Therefore, as in the prior art, it is not necessary to make individual adjustments in order to make the characteristics of the PD constant, and there is an advantage that the disturbance signal does not cause chattering due to the dark noise of the PD in the boundary state.

상기와 같은 구성의 본 고안은 광신호 수신장치에 있어서, PD의 특성을 일정하게 개별적인 조정을 하지 않아도 되므로, 양산성이 있는 동시에 품질을 균일하게 할 수 있는 효과가 있다.The present invention having the above-described configuration, in the optical signal receiving apparatus, does not have to adjust the characteristics of the PD constantly, thereby producing mass productivity and uniformity.

또한, PD의 Dark Noise에 의하여 Boundary 상태에서 발생하는 Chattering 현상이 발생하지 않는 효과가 있다.In addition, the chattering phenomenon that occurs in the boundary state due to the dark noise of the PD does not occur.

Claims (3)

광케이블로부터 광신호를 수신하는 광수신장치에 있어서,In the optical receiving device for receiving an optical signal from an optical cable, 광케이블에 접속하여 광신호로부터 클럭신호와 데이터 신호를 출력하는 동시에 LOP, LOS, LOF의 장애신호를 출력하는 광수신부와,An optical receiver connected to an optical cable to output a clock signal and a data signal from the optical signal and to output a fault signal of LOP, LOS, and LOF; 상기 광수신부로부터 출력되는 LOP, LOS, LOF의 장애신호를 입력받는 동시에 외부로부터 클럭신호를 입력받고 계수하여 LOP, LOS, LOF의 발생신호를 출력하는 계수부를 포함하여 이루어지는 구성을 특징으로 하는 광신호 수신장치의 장애신호 검출장치.Optical signal characterized in that it comprises a counter for receiving the error signal of the LOP, LOS, LOF output from the optical receiver and at the same time receiving and counting the clock signal from the outside to output the generated signal of the LOP, LOS, LOF Disturbance signal detection device of receiver. 제1 항에 있어서, 상기 계수부는,The method of claim 1, wherein the counting unit, 상기 광수신부로부터 출력되는 LOP 장애신호가 설정된 소정 시간동안 지속되는 경우 LOP 발생신호를 출력하고,If the LOP failure signal output from the optical receiver lasts for a predetermined time, and outputs the LOP generation signal, 상기 광수신부로부터 출력되는 LOS 장애신호가 설정된 소정 시간동안 지속되는 경우 LOS 발생신호를 출력하며,If the LOS failure signal output from the optical receiver lasts for a predetermined time period, and outputs the LOS generation signal, 상기 광수신부로부터 출력되는 LOF 장애신호가 설정된 소정 시간동안 지속되는 경우 LOF 발생신호를 출력하는 구성을 특징으로 하는 광신호 수신장치의 장애신호 검출장치.And outputting a LOF generation signal when the LOF failure signal output from the optical receiver is set for a predetermined time. 제1 항에 있어서,According to claim 1, 상기 계수부가 입력받는 클럭신호는 LOP, LOS, LOP의 장애신호가 설정된 소정의 시간동안 지속되는지를 계수하는 것으로써, 상기 광수신부가 동작하는 기준클럭신호인 것을 특징으로 하는 광신호 수신장치의 장애신호 검출장치.The clock signal received by the counter unit counts whether a fault signal of LOP, LOS, or LOP lasts for a predetermined time, and is a reference clock signal of the optical receiver unit. Signal detection device.
KR2020010040270U 2001-12-27 2001-12-27 A detection device of trouble signal from optical signal receive system KR200269379Y1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR2020010040270U KR200269379Y1 (en) 2001-12-27 2001-12-27 A detection device of trouble signal from optical signal receive system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR2020010040270U KR200269379Y1 (en) 2001-12-27 2001-12-27 A detection device of trouble signal from optical signal receive system

Publications (1)

Publication Number Publication Date
KR200269379Y1 true KR200269379Y1 (en) 2002-03-21

Family

ID=73115003

Family Applications (1)

Application Number Title Priority Date Filing Date
KR2020010040270U KR200269379Y1 (en) 2001-12-27 2001-12-27 A detection device of trouble signal from optical signal receive system

Country Status (1)

Country Link
KR (1) KR200269379Y1 (en)

Similar Documents

Publication Publication Date Title
US10454575B2 (en) Fiber recognition method, optical line terminal, and recognition system
US6430715B1 (en) Protocol and bit rate independent test system
EP0559659B1 (en) Passive optical network
CN101212258A (en) Photorecepteur
US10574353B2 (en) Signal processing apparatus, optical line terminal, and communications system
KR20110132425A (en) Method for identifying an optical network unit and an optical access system and device
JPS59165537A (en) Light star repeater
CA2172761C (en) Optical telecommunication method providing a transmitting and receiving service channel
EP1641149B1 (en) Optical signal quality supervisory device
KR200269379Y1 (en) A detection device of trouble signal from optical signal receive system
US5805319A (en) Circuit for discriminating the presence of extraordinariness in an output signal
WO2001063803A1 (en) Simple, high-speed optical signal pattern and protocol detection
US6501573B1 (en) Apparatus and method for monitoring the qualities of optical signal using clock amplitude
KR100295759B1 (en) Apparatus for decting los of optic path
JP4983916B2 (en) Optical reproducing apparatus and optical reproducing method
JPH08256119A (en) Burst optical reception circuit
JP2639277B2 (en) Digital data instantaneous interruption detection circuit
KR100273645B1 (en) Apparatus for detecting loss of signal in optical reciever
JPH0267027A (en) Bidirectional optical communication circuit
JPH0340539A (en) Device for detecting disconnection of optical signal input
JPS63206054A (en) Method and device for supervising transmission line
Zeng et al. Experimental demonstration of a novel 5/10-Gb/s burst-mode clock and data recovery circuit for gigabit PONs
JP2001313609A (en) Optical space transmitting device
JP2003332986A (en) Optical module and optical communication system
JPH05211480A (en) Optical loopback method

Legal Events

Date Code Title Description
REGI Registration of establishment
FPAY Annual fee payment

Payment date: 20100226

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee